KR100734260B1 - Method for fabricating MOS transistor using double spacer - Google Patents

Method for fabricating MOS transistor using double spacer Download PDF

Info

Publication number
KR100734260B1
KR100734260B1 KR1020010049037A KR20010049037A KR100734260B1 KR 100734260 B1 KR100734260 B1 KR 100734260B1 KR 1020010049037 A KR1020010049037 A KR 1020010049037A KR 20010049037 A KR20010049037 A KR 20010049037A KR 100734260 B1 KR100734260 B1 KR 100734260B1
Authority
KR
South Korea
Prior art keywords
insulating film
spacer
oxide film
film
semiconductor substrate
Prior art date
Application number
KR1020010049037A
Other languages
Korean (ko)
Other versions
KR20030015001A (en
Inventor
김영광
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020010049037A priority Critical patent/KR100734260B1/en
Publication of KR20030015001A publication Critical patent/KR20030015001A/en
Application granted granted Critical
Publication of KR100734260B1 publication Critical patent/KR100734260B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Abstract

본 발명의 모스 트랜지스터의 제조 방법에 의하면, 반도체 기판 위에 제1 산화막, 제1 절연막, 제2 산화막 및 제2 절연막을 순차적으로 형성한다. 제2 절연막, 제2 산화막, 제1 절연막 및 제1 산화막의 일부를 순차적으로 제거하여 반도체 기판의 일부 표면을 노출시키는 개구부를 형성한다. 개구부 내의 제1 산화막, 제1 절연막 및 제2 산화막의 측면을 덮는 제1 스페이서를 형성한다. 개구부 내의 상기 제1 스페이서, 제2 산화막 및 제2 절연막의 측면을 덮는 제2 스페이서를 형성한다. 제2 스페이서에 의해 둘러싸인 개구부 내에 게이트 절연막 및 게이트 도전막 패턴을 형성한다. 제2 절연막, 제2 산화막 및 제1 스페이서를 제거하여 제1 스페이서에 의해 덮여있던 반도체 기판의 일부 표면을 노출시킨다. 노출된 반도체 기판의 일부 표면 위에 비스듬한 각도로 할로 이온 주입 공정을 수행한다. 제1 절연막 및 제1 산화막을 순차적으로 제거한다. 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 저농도 불순물 이온을 일정 각도로 반도체 기판에 주입한다. 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 고농도 불순물 이온을 수직하게 반도체 기판에 주입한다. 그리고 주입된 불순물 이온들을 확산하여 할로 영역, 저농도 소스/드레인 영역 및 고농도 소스/드레인 영역을 형성한다.According to the manufacturing method of the MOS transistor of this invention, a 1st oxide film, a 1st insulating film, a 2nd oxide film, and a 2nd insulating film are formed in order on a semiconductor substrate. A portion of the second insulating film, the second oxide film, the first insulating film, and the first oxide film is sequentially removed to form an opening that exposes a portion of the surface of the semiconductor substrate. A first spacer covering side surfaces of the first oxide film, the first insulating film, and the second oxide film in the opening is formed. A second spacer covering side surfaces of the first spacer, the second oxide film, and the second insulating film in the opening is formed. A gate insulating film and a gate conductive film pattern are formed in the opening surrounded by the second spacer. The second insulating film, the second oxide film, and the first spacer are removed to expose a portion of the surface of the semiconductor substrate covered by the first spacer. A halo ion implantation process is performed at an oblique angle over some surfaces of the exposed semiconductor substrate. The first insulating film and the first oxide film are sequentially removed. Low concentration impurity ions are implanted into the semiconductor substrate at a predetermined angle using the second spacer and the gate conductive layer pattern as an ion implantation mask. High concentration impurity ions are vertically implanted into the semiconductor substrate using the second spacer and the gate conductive film pattern as an ion implantation mask. The implanted impurity ions are diffused to form a halo region, a low concentration source / drain region, and a high concentration source / drain region.

Description

이중 스페이서를 이용한 모스 트랜지스터 제조 방법{Method for fabricating MOS transistor using double spacer}Method for fabricating MOS transistor using double spacer

도 1 내지 도 7은 본 발명에 따른 모스 트랜지스터 제조 방법을 설명하기 위하여 나타내 보인 단면도들이다.1 to 7 are cross-sectional views illustrating a method of manufacturing a MOS transistor according to the present invention.

본 발명은 반도체 소자 제조 방법에 관한 것으로서, 보다 상세하게는 이중 스페이서를 이용한 모스 트랜지스터 제조 방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a MOS transistor using a double spacer.

최근 반도체 소자의 집적도를 증가시키는 추세에 따라서, 모스(MOS; Metal Oxide Semiconductor) 트랜지스터의 채널 길이도 점점 짧아지고 있다. 그러나 채널 길이가 짧아짐에 따라 성능상의 문제점들과 공정상의 문제점들이 대두되고 있는 실정이다.In recent years, as the integration of semiconductor devices increases, the channel length of metal oxide semiconductor (MOS) transistors is also getting shorter. However, as the channel length is shortened, performance problems and process problems are on the rise.

이중 공정상의 문제점들은 대부분 포토리소그라피 공정과 밀접한 관계를 갖는다. 즉 일 예로서, 게이트 도전막을 미세 패턴으로 형성하기 위한 포토리소그라피 공정을 수행하는 경우, 패턴이 미세할수록 각종 미스얼라인이 발생할 가능성이 크며, 또한 웨이퍼 내의 불규칙한 CD(Critical Dimension) 컨트롤로 인하여 각 위 치별로 그 특성이 상이한 소자가 만들어져서 공정상의 균일성이 저하된다.The dual process problems are mostly related to the photolithography process. That is, as an example, in the case of performing a photolithography process for forming a gate conductive layer in a fine pattern, the finer the pattern is, the more likely it is to cause various misalignments, and because of irregular CD (Critical Dimension) control in the wafer, Devices having different characteristics for each tooth are made, thereby decreasing process uniformity.

한편 성능상의 문제점들 중, 그 일 예로서 게이트 도전막의 가장자리 아래 형성되는 게이트 절연막의 두께가 작아지며, 이에 따라 게이트 절연막의 커패시턴스 성분이 증가되어 트랜지스터의 동작 속도가 느려지는 문제가 발생한다. 이를 수식을 이용하여 보다 상세히 설명하면 다음과 같다. 아래의 수학식 1은 게이트 절연막의 커패시턴스 성분을 나타낸 수식이다.On the other hand, among the problems in performance, the thickness of the gate insulating film formed below the edge of the gate conductive film is reduced as an example, thereby increasing the capacitance component of the gate insulating film, causing a problem that the operation speed of the transistor is slowed. This will be described in more detail using a formula as follows. Equation 1 below is a formula showing the capacitance component of the gate insulating film.

Figure 112001020344390-pat00001
Figure 112001020344390-pat00001

여기서 C는 게이트 절연막의 커패시턴스이고, ε0 및 εox 는 유전 상수이며, A는 단면적이고, 그리고 Tox는 두께이다.Where C is the capacitance of the gate insulating film, ε 0 and ε ox are the dielectric constants, A is the cross section, and T ox is the thickness.

상기 수학식 1에서 알 수 있듯이, 게이트 절연막의 두께(Tox)가 작아질수록 커패시턴스(C)는 커진다. 이 커패시턴스는 시상수(time constant)와 반비례 관계이므로 커패시턴스가 커질수록 소자의 동작 속도가 저하된다.As can be seen from Equation 1, as the thickness T ox of the gate insulating film decreases, the capacitance C increases. Since this capacitance is inversely related to the time constant, the larger the capacitance, the slower the operation of the device.

따라서 종래에는 상기와 같이 게이트 도전막 가장자리에서의 게이트 절연막의 두께 감소를 억제하기 위하여, 폴리실리콘막으로 이루어진 게이트 도전막을 형성한 후에 다시 폴리실리콘막에 대한 산화 공정을 수행하고, 이어서 열처리 공정을 수행하는 방법을 사용하였다. 그러나 폴리실리콘막에 대한 산화 공정에 의해 오히려 두꺼운 산화막으로 인하여 후속 이온 주입 공정에서 불순물 확산이 충분히 이루 어지지 않아서 포화 전류(Idsat)가 감소될 수 있다. 불순물 확산이 충분히 이루어지도록 하기 위하여 열처리 공정을 보다 강화하여 수행할 수 있지만, 이는 얕은 접합 형성을 어렵게 만든다는 문제가 있다.Therefore, in order to suppress the reduction of the thickness of the gate insulating film at the edge of the gate conductive film as described above, after forming the gate conductive film made of the polysilicon film, the oxidation process of the polysilicon film is performed again, followed by the heat treatment process. Method was used. However, due to the oxidation process for the polysilicon film, the saturation current I dsat may be reduced because the impurity diffusion is not sufficiently achieved in the subsequent ion implantation process due to the rather thick oxide film. The heat treatment process may be performed to intensify impurity diffusion, but this makes it difficult to form a shallow junction.

본 발명이 이루고자 하는 기술적 과제는 채널 길이를 감소시키면서 종래에 발생되었던 성능상과 공정상의 문제점들을 억제시킬 수 있도록 이중 스페이서를 이용하여 모스 트랜지스터를 제조하는 방법을 제공하는 것이다.SUMMARY OF THE INVENTION The present invention has been made in an effort to provide a method of manufacturing a MOS transistor using a double spacer to reduce a channel length and to suppress performance and process problems in the related art.

상기 기술적 과제를 달성하기 위하여, 본 발명에 따른 모스 트랜지스터의 제조 방법은, 반도체 기판 위에 제1 산화막, 제1 절연막, 제2 산화막 및 제2 절연막을 순차적으로 형성하는 단계; 상기 제2 절연막, 제2 산화막, 제1 절연막 및 제1 산화막의 일부를 순차적으로 제거하여 상기 반도체 기판의 일부 표면을 노출시키는 개구부를 형성하는 단계; 상기 개구부 내의 상기 제1 산화막, 제1 절연막 및 제2 산화막의 측면을 덮는 제1 스페이서를 형성하는 단계; 상기 개구부 내의 상기 제1 스페이서, 제2 산화막 및 제2 절연막의 측면을 덮는 제2 스페이서를 형성하는 단계; 상기 제2 스페이서에 의해 둘러싸인 개구부 내에 게이트 절연막 및 게이트 도전막 패턴을 형성하는 단계; 상기 제2 절연막, 제2 산화막 및 상기 제1 스페이서를 제거하여 상기 제1 스페이서에 의해 덮여있던 반도체 기판의 일부 표면을 노출시키는 단계; 상기 노출된 반도체 기판의 일부 표면 위에 비스듬한 각도로 할로 이온 주입 공정을 수행하는 단계; 상기 제1 절연막 및 제1 산화막을 순차적으로 제거하는 단계; 상기 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 저농도 불순물 이온을 일정 각도로 상기 반도체 기판에 주입하는 단계; 상기 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 고농도 불순물 이온을 수직하게 상기 반도체 기판에 주입하는 단계; 및 상기 주입된 불순물 이온들을 확산하여 할로 영역, 저농도 소스/드레인 영역 및 고농도 소스/드레인 영역을 형성하는 단계를 포함하는 것을 특징으로 한다.In order to achieve the above technical problem, a method of manufacturing a MOS transistor according to the present invention comprises the steps of sequentially forming a first oxide film, a first insulating film, a second oxide film and a second insulating film on a semiconductor substrate; Sequentially removing portions of the second insulating film, the second oxide film, the first insulating film, and the first oxide film to form an opening exposing a part surface of the semiconductor substrate; Forming a first spacer covering side surfaces of the first oxide film, the first insulating film, and the second oxide film in the opening; Forming a second spacer covering side surfaces of the first spacer, the second oxide film, and the second insulating film in the opening; Forming a gate insulating film and a gate conductive film pattern in an opening surrounded by the second spacer; Removing the second insulating film, the second oxide film, and the first spacer to expose a portion of the surface of the semiconductor substrate covered by the first spacer; Performing a halo ion implantation process at an oblique angle on a portion of the exposed surface of the semiconductor substrate; Sequentially removing the first insulating film and the first oxide film; Implanting low concentration impurity ions into the semiconductor substrate at a predetermined angle using the second spacer and the gate conductive layer pattern as an ion implantation mask; Implanting high concentration impurity ions vertically into the semiconductor substrate using the second spacer and the gate conductive layer pattern as an ion implantation mask; And diffusing the implanted impurity ions to form a halo region, a low concentration source / drain region, and a high concentration source / drain region.

상기 게이트 도전막 패턴 위의 제1 실리사이드막 및 상기 저농도 소스/드레인 영역과 고농도 소스/드레인 영역 위의 제2 실리사이드막을 형성하는 단계를 더 포함하는 것이 바람직하다.The method may further include forming a first silicide layer on the gate conductive layer pattern and a second silicide layer on the low concentration source / drain region and the high concentration source / drain region.

상기 제1 절연막, 제2 절연막 및 제2 스페이서는 나이트라이드막을 사용하여 형성할 수 있다.The first insulating film, the second insulating film, and the second spacer may be formed using a nitride film.

상기 제1 스페이서는 산화막을 사용하여 형성할 수 있다.The first spacer may be formed using an oxide film.

상기 제1 스페이서를 형성하는 단계는, 상기 개구부 내의 상기 제1 산화막, 제1 절연막, 제2 산화막, 제2 절연막 및 노출된 반도체 기판을 덮는 제3 산화막을 형성하는 단계, 및 상기 제2 절연막의 상부 표면이 노출될 때까지 상기 제3 산화막을 이방성 식각하여 상기 제1 스페이서를 형성하는 단계를 포함하는 것이 바람직하다.The forming of the first spacer may include forming a third oxide film covering the first oxide film, the first insulating film, the second oxide film, the second insulating film, and the exposed semiconductor substrate in the opening, and the second insulating film. Anisotropically etching the third oxide film until the upper surface is exposed to form the first spacer.

상기 제2 스페이서를 형성하는 단계는, 상기 제1 스페이서, 제2 산화막, 제2 절연막 및 노출된 반도체 기판을 덮는 제3 절연막을 형성하는 단계, 및 상기 제2 절연막의 상부 표면이 노출될 때까지 상기 제3 절연막을 이방성 식각하여 제2 스페 이서를 형성하는 단계를 포함하는 것이 바람직하다.The forming of the second spacer may include forming a third insulating film covering the first spacer, the second oxide film, the second insulating film, and the exposed semiconductor substrate, and until the upper surface of the second insulating film is exposed. Anisotropically etching the third insulating film to form a second spacer.

상기 저농도 불순물 이온을 주입하는 주입 각도는 상기 게이트 도전막 패턴과 상기 저농도 소스/드레인 영역의 중첩 면적이 최소화될 수 있는 각도인 것이 바람직하다.The implantation angle at which the low concentration impurity ions are implanted is preferably an angle at which an overlapping area between the gate conductive layer pattern and the low concentration source / drain region can be minimized.

이하 첨부 도면을 참조하여 본 발명의 바람직한 실시예를 상세히 설명하기로 한다. 그러나, 본 발명의 실시예들은 여러 가지 다른 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 상술하는 실시예들로 인해 한정되어지는 것으로 해석되어져서는 안된다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. However, embodiments of the present invention may be modified in many different forms, and the scope of the present invention should not be construed as being limited by the embodiments described below.

도 1 내지 도 7은 본 발명에 따른 모스 트랜지스터 제조 방법을 설명하기 위하여 나타내 보인 단면도들이다.1 to 7 are cross-sectional views illustrating a method of manufacturing a MOS transistor according to the present invention.

먼저 도 1을 참조하면, 반도체 기판(100) 위에 패드 산화막으로서의 제1 산화막(101), 제1 절연막(102), 제2 산화막(103) 및 제2 절연막(104)을 순차적으로 형성한다. 제1 산화막(101)은 대략 100-300Å의 두께를 갖도록 형성하며, 제1 절연막(102)은 대략 100-300Å의 두께를 갖도록 형성하며, 제2 산화막(103)은 대략 500Å의 두께를 갖도록 형성하며, 그리고 제2 절연막(104)은 대략 1500Å의 두께를 갖도록 형성한다. 제1 절연막(102)및 제2 절연막(104)은 나이트라이드막으로 형성한다. 다음에 제2 절연막(104) 위에 포토레지스트막 패턴(105)을 형성한다. 상기 포토레지스트막 패턴(105)은 제2 절연막(104)의 일부 표면을 노출시키는 개구부(106)를 갖는다.First, referring to FIG. 1, a first oxide film 101, a first insulating film 102, a second oxide film 103, and a second insulating film 104 as a pad oxide film are sequentially formed on a semiconductor substrate 100. The first oxide film 101 is formed to have a thickness of about 100-300Å, the first insulating film 102 is formed to have a thickness of about 100-300Å, and the second oxide film 103 is formed to have a thickness of about 500Å. And, the second insulating film 104 is formed to have a thickness of approximately 1500Å. The first insulating film 102 and the second insulating film 104 are formed of a nitride film. Next, a photoresist film pattern 105 is formed on the second insulating film 104. The photoresist film pattern 105 has an opening 106 exposing a portion of the surface of the second insulating film 104.

다음에 도 2를 참조하면, 상기 포토레지스트막 패턴(도 1의 105)을 식각 마 스크로 한 식각 공정을 수행하여 제2 절연막(104), 제2 산화막(103), 제1 절연막(102) 및 제1 산화막(101)의 노출 부분을 순차적으로 제거한다. 이 식각 공정이 종료되면, 반도체 기판(100)의 일부 표면이 노출되며, 이후 상기 포토레지스트막 패턴(105)을 제거한다. 다음에 전면에 제3 산화막(108)을 형성한다. 상기 제3 산화막(108)은 대략 500Å의 두께로 형성하며, 제3 산화막(108)을 형성한 후 개구부(도 1의 106)는 그 폭이 더 작아진 개구부(107)가 된다.Next, referring to FIG. 2, an etching process using the photoresist film pattern 105 of FIG. 1 as an etching mask is performed to form the second insulating film 104, the second oxide film 103, and the first insulating film 102. And the exposed portions of the first oxide film 101 are sequentially removed. When the etching process is finished, a part of the surface of the semiconductor substrate 100 is exposed, and then the photoresist film pattern 105 is removed. Next, a third oxide film 108 is formed over the entire surface. The third oxide film 108 is formed to a thickness of approximately 500 GPa, and after the third oxide film 108 is formed, the openings 106 in FIG. 1 become openings 107 having smaller widths.

다음에 도 3을 참조하면, 제3 산화막(도 2의 108)에 대한 이방성 식각을 수행하여 제1 산화막(101), 제1 절연막(102) 및 제2 산화막(103)의 측면에 제1 스페이서(109)를 형성한다. 상기 제1 스페이서(109)를 형성하기 위하여 상기 이방성 식각은 반도체 기판(100)의 일부 표면과 제2 절연막(104)의 상부 표면이 완전히 노출될 때까지 수행된다. 제1 스페이서(109)의 높이는 대략 1000Å 이하가 되며, 두께는 대략 500Å 이하가 된다. 상기 제1 스페이서(109)를 형성한 후에는 전면에 제3 절연막(110)을 대략 500-1000Å의 두께로 형성한다. 이 제3 절연막(110)은 나이트라이드막을 사용하여 형성한다.Next, referring to FIG. 3, anisotropic etching of the third oxide film 108 of FIG. 2 is performed to form a first spacer on side surfaces of the first oxide film 101, the first insulating film 102, and the second oxide film 103. 109 is formed. In order to form the first spacer 109, the anisotropic etching is performed until a part of the surface of the semiconductor substrate 100 and the top surface of the second insulating layer 104 are completely exposed. The height of the first spacer 109 is about 1000 mm or less, and the thickness is about 500 mm or less. After the first spacer 109 is formed, a third insulating film 110 is formed on the entire surface to have a thickness of about 500-1000 Å. The third insulating film 110 is formed using a nitride film.

다음에 도 4를 참조하면, 상기 제3 절연막(110)에 대한 이방성 식각을 수행하여 제2 스페이서(111)를 형성한다. 상기 제2 스페이서(111)를 형성하기 위하여 상기 이방성 식각은 반도체 기판(100)의 일부 표면 및 제2 절연막(104)의 상부 표면이 완전히 노출될 때까지 수행되며, 식각이 종료된 후에 상기 제2 스페이서(111)는 제1 스페이서(109), 제2 산화막(103) 및 제2 절연막(104) 측면을 덮는다. 이때 제2 스페이서(111)의 두께는 대략 200Å이 된다. Next, referring to FIG. 4, anisotropic etching is performed on the third insulating layer 110 to form a second spacer 111. In order to form the second spacer 111, the anisotropic etching is performed until a part of the surface of the semiconductor substrate 100 and an upper surface of the second insulating layer 104 are completely exposed. After the etching is finished, the second anisotropic etching is performed. The spacer 111 covers the side surfaces of the first spacer 109, the second oxide film 103, and the second insulating film 104. At this time, the thickness of the second spacer 111 is approximately 200 mm.                     

다음에 도 5를 참조하면, 반도체 기판(100)의 노출 표면 위에 게이트 절연막(112)을 형성한다. 상기 게이트 절연막(112)은 산화막을 사용하여 형성한다. 다음에 제2 스페이서(111)에 의해 둘러싸인 내부를 예컨대 폴리실리콘막과 같은 게이트 도전막으로 채워서 게이트 도전막 패턴(113)을 형성한다. 상기 게이트 도전막 패턴(113)을 형성하기 위하여, 다마신(damascene) 공정을 이용한다. 즉 게이트 절연막(112)을 노출시키는 개구부를 갖는 결과물 전면에 게이트 도전막을 형성한다. 그리고 평탄화 공정을 사용하여 제2 절연막(104)이 노출될 때까지 게이트 도전막의 일부를 제거한다. 그러면 상기 게이트 도전막 패턴(113)이 형성된다.Next, referring to FIG. 5, a gate insulating layer 112 is formed on the exposed surface of the semiconductor substrate 100. The gate insulating film 112 is formed using an oxide film. Next, the gate conductive film pattern 113 is formed by filling the inside surrounded by the second spacer 111 with a gate conductive film such as a polysilicon film. In order to form the gate conductive layer pattern 113, a damascene process is used. That is, a gate conductive film is formed over the entire surface of the resultant having an opening exposing the gate insulating film 112. A portion of the gate conductive film is removed until the second insulating film 104 is exposed using a planarization process. As a result, the gate conductive layer pattern 113 is formed.

다음에 도 6을 참조하면, 제2 절연막(도 5의 104)을 선택적으로 제거하고, 이어서 제2 산화막(도 5의 103)도 선택적으로 제거한다. 상기 제2 산화막(103)이 제거될 때 동일한 물질로 이루어진 상기 제1 스페이서(109)도 함께 제거된다. 즉 제1 산화막(101)과 제2 스페이서(111)의 하단부 사이의 반도체 기판(100) 표면을 노출시키는 협곡(notch)이 만들어진다. 다음에 제1 절연막(102)을 이온 주입 마스크로 하여 할로(halo) 이온 주입 공정(도면에서 화살표로 표시)을 수행한다. n 채널 모스 트랜지스터의 경우, n형 불순물 이온을 주입하고, p 채널 모스 트랜지스터의 경우, p형 불순물 이온을 주입한다.Next, referring to FIG. 6, the second insulating film 104 (in FIG. 5) is selectively removed, and then the second oxide film (103 in FIG. 5) is also selectively removed. When the second oxide layer 103 is removed, the first spacer 109 made of the same material is also removed. That is, a notch for exposing the surface of the semiconductor substrate 100 between the first oxide film 101 and the lower end of the second spacer 111 is formed. Next, a halo ion implantation process (indicated by an arrow in the drawing) is performed using the first insulating film 102 as an ion implantation mask. In the case of an n-channel MOS transistor, n-type impurity ions are implanted, and in the case of a p-channel MOS transistor, p-type impurity ions are implanted.

다음에 도 7을 참조하면, 제1 절연막(도 6의 102) 및 제1 산화막(101)을 순차적으로 제거한다. 이때 제1 절연막(102) 제거시 동일 물질로 이루어진 제2 스페이서(111)도 일정 두께만큼 제거된다. 그리고 LDD(Lightly Doped Drain)을 형성하기 위하여 약간의 각도를 준 상태로 저농도의 불순물 이온을 주입한다. n 채널 모 스 트랜지스터의 경우, n형 불순물 이온을 주입하고, p 채널 모스 트랜지스터의 경우, p형 불순물 이온을 주입한다. 이어서 수직으로 고농도의 불순물 이온을 주입한다. 이 경우 마찬가지로 n 채널 모스 트랜지스터의 경우, n형 불순물 이온을 주입하고, p 채널 모스 트랜지스터의 경우, p형 불순물 이온을 주입한다. 상기 불순물 이온 주입 공정들을 수행한 후에는 확산 공정을 수행하여 할로 영역(114), 저농도 소스/드레인 영역(115) 및 고농도 소스/드레인 영역(116)을 형성한다. 이 경우 상기 저농도 불순물 이온의 주입 각도는 저농도 소스/드레인 영역(115)과 게이트 절연막(112)이 중첩되는 면적이 최소화되도록 조절할 수 있으며, 이에 따라 게이트 도전막 패턴(113)과 소스/드레인 영역(115, 116)이 중첩되는 커패시턴스를 최소화시킬 수 있다. 다음에 통상의 금속 실리사이드 공정을 수행하여 게이트 도전막 패턴(113) 위에 제1 실리사이드막(117)을 형성하고, 동시에 저농도 소스/드레인 영역(115) 및 고농도 소스/드레인 영역(116) 위에 제2 실리사이드막(118)을 형성한다. 이 경우 상기 제2 스페이서(111)의 하부가 함몰된 형태이므로 고농도 소스/드레인 영역(116) 위뿐만 아니라 저농도 소스/드레인 영역(115) 위에도 제2 실리사이드막(117)을 형성시킬 수 있으며, 이에 따라 소스와 드레인 사이의 저항(Rsd)을 감소시킬 수 있다. 다음에 통상의 금속 배선 공정을 수행한다.Next, referring to FIG. 7, the first insulating film 102 of FIG. 6 and the first oxide film 101 are sequentially removed. At this time, the second spacer 111 made of the same material is also removed by a predetermined thickness when the first insulating layer 102 is removed. In order to form a lightly doped drain (LDD), a low concentration of impurity ions are implanted at a slight angle. In the case of an n-channel MOS transistor, n-type impurity ions are implanted, and in the case of a p-channel MOS transistor, p-type impurity ions are implanted. Subsequently, a high concentration of impurity ions are implanted vertically. In this case, n-type impurity ions are implanted in the case of the n-channel MOS transistor, and p-type impurity ions are implanted in the case of the p-channel MOS transistor. After the impurity ion implantation processes are performed, a diffusion process is performed to form the halo region 114, the low concentration source / drain region 115, and the high concentration source / drain region 116. In this case, the implantation angle of the low concentration impurity ions may be adjusted to minimize the area where the low concentration source / drain region 115 and the gate insulating layer 112 overlap each other. Thus, the gate conductive layer pattern 113 and the source / drain region ( The overlapping capacitances 115 and 116 can be minimized. Next, a first silicide layer 117 is formed on the gate conductive layer pattern 113 by performing a conventional metal silicide process, and at the same time, the second silicide layer is formed on the low concentration source / drain region 115 and the high concentration source / drain region 116. The silicide film 118 is formed. In this case, since the lower portion of the second spacer 111 is recessed, the second silicide layer 117 may be formed not only on the high concentration source / drain region 116 but also on the low concentration source / drain region 115. Accordingly, the resistance R sd between the source and the drain may be reduced. Next, the usual metal wiring process is performed.

이상의 설명에서와 같이, 본 발명에 따른 이중 스페이서를 이용한 모스 트랜지스터의 제조 방법에 의하면 다음과 같은 이점들이 있다.As described above, according to the method of manufacturing the MOS transistor using the double spacer according to the present invention has the following advantages.

첫째로, 다마신 공정을 이용하여 게이트 도전막 패턴을 형성하므로 미세 패 턴 형성이 용이하다.First, since the gate conductive layer pattern is formed using the damascene process, it is easy to form a fine pattern.

둘째로, 저농도 불순물 이온의 주입 각도를 조절하여 저농도 소스/드레인 영역과 게이트 절연막이 중첩되는 면적을 최소화할 수 있으며, 이에 따라 게이트 도전막 패턴과 소스/드레인 영역이 중첩되는 커패시턴스를 최소화시킬 수 있다.Second, the area where the low concentration source / drain region overlaps with the gate insulating layer may be minimized by adjusting the implantation angle of low concentration impurity ions, thereby minimizing the capacitance where the gate conductive layer pattern and the source / drain region overlap. .

그리고 셋째로, 제2 스페이서의 하부가 함몰된 형태이므로 고농도 소스/드레인 영역 위뿐만 아니라 저농도 소스/드레인 영역 위에도 실리사이드막을 형성시킬 수 있으며, 이에 따라 소스와 드레인 사이의 저항을 감소시킬 수 있다.Third, since the lower portion of the second spacer is recessed, the silicide layer may be formed not only on the high concentration source / drain region but also on the low concentration source / drain region, thereby reducing the resistance between the source and the drain.

Claims (7)

반도체 기판 위에 제1 산화막, 제1 절연막, 제2 산화막 및 제2 절연막을 순차적으로 형성하는 단계;Sequentially forming a first oxide film, a first insulating film, a second oxide film, and a second insulating film on a semiconductor substrate; 상기 제2 절연막, 제2 산화막, 제1 절연막 및 제1 산화막의 일부를 순차적으로 제거하여 상기 반도체 기판의 일부 표면을 노출시키는 개구부를 형성하는 단계;Sequentially removing portions of the second insulating film, the second oxide film, the first insulating film, and the first oxide film to form an opening exposing a part surface of the semiconductor substrate; 상기 개구부 내의 상기 제1 산화막, 제1 절연막 및 제2 산화막의 측면을 덮는 제1 스페이서를 형성하는 단계;Forming a first spacer covering side surfaces of the first oxide film, the first insulating film, and the second oxide film in the opening; 상기 개구부 내의 상기 제1 스페이서, 제2 산화막 및 제2 절연막의 측면을 덮는 제2 스페이서를 형성하는 단계;Forming a second spacer covering side surfaces of the first spacer, the second oxide film, and the second insulating film in the opening; 상기 제2 스페이서에 의해 둘러싸인 개구부 내에 게이트 절연막 및 게이트 도전막 패턴을 형성하는 단계;Forming a gate insulating film and a gate conductive film pattern in an opening surrounded by the second spacer; 상기 제2 절연막, 제2 산화막 및 상기 제1 스페이서를 제거하여 상기 제1 스 페이서에 의해 덮여있던 반도체 기판의 일부 표면을 노출시키는 단계;Removing the second insulating film, the second oxide film, and the first spacer to expose a portion of the surface of the semiconductor substrate covered by the first spacer; 상기 노출된 반도체 기판의 일부 표면 위에 비스듬한 각도로 할로 이온 주입 공정을 수행하는 단계;Performing a halo ion implantation process at an oblique angle on a portion of the exposed surface of the semiconductor substrate; 상기 제1 절연막 및 제1 산화막을 순차적으로 제거하는 단계;Sequentially removing the first insulating film and the first oxide film; 상기 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 저농도 불순물 이온을 일정 각도로 상기 반도체 기판에 주입하는 단계;Implanting low concentration impurity ions into the semiconductor substrate at a predetermined angle using the second spacer and the gate conductive layer pattern as an ion implantation mask; 상기 제2 스페이서 및 게이트 도전막 패턴을 이온 주입 마스크로 고농도 불순물 이온을 수직하게 상기 반도체 기판에 주입하는 단계; 및Implanting high concentration impurity ions vertically into the semiconductor substrate using the second spacer and the gate conductive layer pattern as an ion implantation mask; And 상기 주입된 불순물 이온들을 확산하여 할로 영역, 저농도 소스/드레인 영역 및 고농도 소스/드레인 영역을 형성하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.Diffusing the implanted impurity ions to form a halo region, a low concentration source / drain region, and a high concentration source / drain region. 제1항에 있어서,The method of claim 1, 상기 게이트 도전막 패턴 위의 제1 실리사이드막 및 상기 저농도 소스/드레인 영역과 고농도 소스/드레인 영역 위의 제2 실리사이드막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.And forming a first silicide layer on the gate conductive layer pattern and a second silicide layer on the low concentration source / drain regions and the high concentration source / drain regions. 제1항에 있어서,The method of claim 1, 상기 제1 절연막, 제2 절연막 및 제2 스페이서는 나이트라이드막을 사용하여 형성하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.And the first insulating film, the second insulating film, and the second spacer are formed using a nitride film. 제1항에 있어서,The method of claim 1, 상기 제1 스페이서는 산화막을 사용하여 형성하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.The first spacer is formed by using an oxide film. 제1항에 있어서, 상기 제1 스페이서를 형성하는 단계는,The method of claim 1, wherein the forming of the first spacer comprises: 상기 개구부 내의 상기 제1 산화막, 제1 절연막, 제2 산화막, 제2 절연막 및 노출된 반도체 기판을 덮는 제3 산화막을 형성하는 단계; 및Forming a third oxide film covering the first oxide film, the first insulating film, the second oxide film, the second insulating film, and the exposed semiconductor substrate in the opening; And 상기 제2 절연막의 상부 표면이 노출될 때까지 상기 제3 산화막을 이방성 식각하여 상기 제1 스페이서를 형성하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.And anisotropically etching the third oxide film until the upper surface of the second insulating film is exposed to form the first spacer. 제1항에 있어서, 상기 제2 스페이서를 형성하는 단계는,The method of claim 1, wherein the forming of the second spacer comprises: 상기 제1 스페이서, 제2 산화막, 제2 절연막 및 노출된 반도체 기판을 덮는 제3 절연막을 형성하는 단계; 및Forming a third insulating film covering the first spacer, the second oxide film, the second insulating film, and the exposed semiconductor substrate; And 상기 제2 절연막의 상부 표면이 노출될 때까지 상기 제3 절연막을 이방성 식각하여 제2 스페이서를 형성하는 단계를 포함하는 것을 특징으로 하는 모스 트랜지스터의 제조 방법.And anisotropically etching the third insulating film until the upper surface of the second insulating film is exposed, thereby forming a second spacer. 제1항에 있어서,The method of claim 1, 상기 저농도 불순물 이온을 주입하는 주입 각도는 상기 게이트 도전막 패턴과 상기 저농도 소스/드레인 영역의 중첩 면적이 최소화될 수 있는 각도인 것을 특징으로 하는 모스 트랜지스터의 제조 방법.And a implantation angle at which the low concentration impurity ions are implanted is an angle at which an overlap area between the gate conductive layer pattern and the low concentration source / drain region is minimized.
KR1020010049037A 2001-08-14 2001-08-14 Method for fabricating MOS transistor using double spacer KR100734260B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020010049037A KR100734260B1 (en) 2001-08-14 2001-08-14 Method for fabricating MOS transistor using double spacer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020010049037A KR100734260B1 (en) 2001-08-14 2001-08-14 Method for fabricating MOS transistor using double spacer

Publications (2)

Publication Number Publication Date
KR20030015001A KR20030015001A (en) 2003-02-20
KR100734260B1 true KR100734260B1 (en) 2007-07-02

Family

ID=27719201

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020010049037A KR100734260B1 (en) 2001-08-14 2001-08-14 Method for fabricating MOS transistor using double spacer

Country Status (1)

Country Link
KR (1) KR100734260B1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0154306B1 (en) * 1995-10-31 1998-12-01 김광호 Method of fabricating mosfet
JPH1168090A (en) * 1997-08-26 1999-03-09 Nec Corp Manufacture of semiconductor device
KR20000034640A (en) * 1998-11-30 2000-06-26 김영환 Method for producing mos transistors
KR20010036277A (en) * 1999-10-07 2001-05-07 김영환 A semiconductor device and fabricating method thereof
KR20030013624A (en) * 2001-08-08 2003-02-15 삼성전자주식회사 Semiconductor device having notched gate electrode and method for manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0154306B1 (en) * 1995-10-31 1998-12-01 김광호 Method of fabricating mosfet
JPH1168090A (en) * 1997-08-26 1999-03-09 Nec Corp Manufacture of semiconductor device
KR20000034640A (en) * 1998-11-30 2000-06-26 김영환 Method for producing mos transistors
KR20010036277A (en) * 1999-10-07 2001-05-07 김영환 A semiconductor device and fabricating method thereof
KR20030013624A (en) * 2001-08-08 2003-02-15 삼성전자주식회사 Semiconductor device having notched gate electrode and method for manufacturing the same

Also Published As

Publication number Publication date
KR20030015001A (en) 2003-02-20

Similar Documents

Publication Publication Date Title
KR100221063B1 (en) Mos transistor and fabrication process therefor
US6190981B1 (en) Method for fabricating metal oxide semiconductor
US5962894A (en) Trench transistor with metal spacers
US5885887A (en) Method of making an igfet with selectively doped multilevel polysilicon gate
JP2000196079A (en) Manufacture of mos semiconductor
KR100322394B1 (en) Method of manufacturing semiconductor device
US6861375B1 (en) Method of fabricating semiconductor device
US6027964A (en) Method of making an IGFET with a selectively doped gate in combination with a protected resistor
US6261885B1 (en) Method for forming integrated circuit gate conductors from dual layers of polysilicon
US6218229B1 (en) Method of fabricating semiconductor device having a dual-gate
US5946581A (en) Method of manufacturing a semiconductor device by doping an active region after formation of a relatively thick oxide layer
US5770493A (en) Method of making NMOS and PMOS devices with simultaneously formed gates having different gate lengths
US5976925A (en) Process of fabricating a semiconductor devise having asymmetrically-doped active region and gate electrode
KR100734260B1 (en) Method for fabricating MOS transistor using double spacer
US6448142B1 (en) Method for fabricating a metal oxide semiconductor transistor
US5759900A (en) Method for manufacturing MOSFET
JPH0637309A (en) Semiconductor device and manufacture thereof
KR100227644B1 (en) Manufacturing method of a transistor
US6987038B2 (en) Method for fabricating MOS field effect transistor
US6124172A (en) Method of making a semiconductor device having source/drain structures with self-aligned heavily-doped and lightly-doped regions
KR20030013624A (en) Semiconductor device having notched gate electrode and method for manufacturing the same
KR100519507B1 (en) Method for Forming Semi-conductor Device
JP3070732B2 (en) Method for manufacturing MOS semiconductor device
KR100190380B1 (en) Method of enlarging cell ratio of access transistor vs. driver transistor
KR100734142B1 (en) Semiconductor device and method of manufacturing the semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110531

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee