KR100613585B1 - Method For Manufacturing Semiconductor Devices - Google Patents

Method For Manufacturing Semiconductor Devices Download PDF

Info

Publication number
KR100613585B1
KR100613585B1 KR1020030098105A KR20030098105A KR100613585B1 KR 100613585 B1 KR100613585 B1 KR 100613585B1 KR 1020030098105 A KR1020030098105 A KR 1020030098105A KR 20030098105 A KR20030098105 A KR 20030098105A KR 100613585 B1 KR100613585 B1 KR 100613585B1
Authority
KR
South Korea
Prior art keywords
gate electrode
source
layer
ions
drain region
Prior art date
Application number
KR1020030098105A
Other languages
Korean (ko)
Other versions
KR20050066754A (en
Inventor
김학동
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020030098105A priority Critical patent/KR100613585B1/en
Publication of KR20050066754A publication Critical patent/KR20050066754A/en
Application granted granted Critical
Publication of KR100613585B1 publication Critical patent/KR100613585B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명의 반도체 소자의 제조 방법은 반도체 기판의 액티브 영역에 게이트 절연막을 개재하며 게이트 전극이 형성되고, 상기 게이트 전극의 측벽에 스페이서가 형성되고, 상기 게이트 전극을 가운데 두고 상기 반도체 기판의 액티브 영역에 엘디디 영역을 갖는 소스/드레인 영역이 형성된 상태에서 게이트 전극과 소스/드레인 영역에 비정질화 이온으로서 Si 이온을 이온주입시키고, 상기 게이트 전극과 소스/드레인 영역을 포함하여 상기 반도체 기판의 전역 상에 Ti/TiN층을 형성하고, 상기 Ti/TiN층에 플로린(F) 이온을 이온주입시키고, 상기 Ti/TiN층을 열처리공정에 의해 실리사이드화 반응을 시킴으로써 상기 게이트 전극과 소스/드레인 영역에 티타늄 실리사이드층을 형성한다.In the method of manufacturing a semiconductor device of the present invention, a gate electrode is formed in the active region of the semiconductor substrate through a gate insulating film, a spacer is formed on the sidewall of the gate electrode, and the active region of the semiconductor substrate is centered on the gate electrode. Si ions are implanted into the gate electrode and the source / drain region as amorphous ions while the source / drain region having the LED region is formed, and the gate electrode and the source / drain region are included in the entire region of the semiconductor substrate. Forming a Ti / TiN layer, implanting fluorine (F) ions into the Ti / TiN layer, and performing a silicide reaction on the Ti / TiN layer by a heat treatment process, thereby forming titanium silicide in the gate electrode and the source / drain region. Form a layer.

따라서, 본 발명은 상기 티타늄 실리사이드층의 저항을 저감시킬 수 있다. 또한, 상기 티타늄 실리사이드층의 열적 안정성을 향상시키므로 상기 티타늄 실리사이드층의 저항을 균일화시킬 수 있고, 상기 티타늄 실리사이드층의 응집(agglomeration)을 억제할 수 있다Therefore, the present invention can reduce the resistance of the titanium silicide layer. In addition, since the thermal stability of the titanium silicide layer is improved, the resistance of the titanium silicide layer may be uniform, and agglomeration of the titanium silicide layer may be suppressed.

실리사이드층, 비정질화 이온, 저항, 열적 안정성, 입계 사이즈Silicide layer, amorphous ion, resistance, thermal stability, grain boundary size

Description

반도체 소자의 제조 방법{Method For Manufacturing Semiconductor Devices} Method for manufacturing semiconductor device {Method For Manufacturing Semiconductor Devices}             

도 1은 종래 기술에 의한 반도체 소자의 구조를 나타낸 단면도.1 is a cross-sectional view showing the structure of a semiconductor device according to the prior art.

도 2a 내지 도 2e는 본 발명의 실시예에 의한 반도체 소자의 제조 방법을 나타낸 단면 공정도.2A to 2E are cross-sectional process diagrams illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 3a 내지 도 3d는 본 발명의 다른 실시예에 의한 반도체 소자의 제조 방법을 나타낸 단면 공정도.3A to 3D are cross-sectional view illustrating a method of manufacturing a semiconductor device in accordance with another embodiment of the present invention.

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 더욱 상세하게는 게이트 전극과 소스/드레인 영역 상의 티타늄 실리사이드층의 저항을 저감시킴과 아울러 균일화시키도록 한 반도체 소자의 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device in which the resistance of the titanium silicide layer on the gate electrode and the source / drain regions is reduced and uniformized.

일반적으로, 반도체 소자의 고집적화가 진행됨에 따라 상기 반도체 소자의 미세화가 심화되므로 상기 반도체 소자를 위한 모스 트랜지스터도 미세화된다. 즉, 상기 모스 트랜지스터의 소스/드레인, 게이트 전극, 배선 등의 사이즈가 축소된다. 또한, 상기 소스/드레인과 배선 사이의 전기적인 연결을 위한 콘택홀 또는 상기 게이트 전극과 배선 사이의 전기적인 연결을 위한 콘택홀의 사이즈도 축소된다. 따라서, 상기 게이트 전극의 면 저항(sheet resistance)이 증가하고, 상기 콘택홀에서의 콘택 저항이 증가하므로 상기 모스 트랜지스터의 전기적인 신호 전달이 지연되고 나아가 상기 반도체 소자의 동작 속도가 저하된다.In general, as the integration of semiconductor devices increases, the miniaturization of the semiconductor devices intensifies, and therefore, the MOS transistors for the semiconductor devices are also miniaturized. That is, the size of the source / drain, gate electrode, wiring, etc. of the MOS transistor is reduced. In addition, the size of the contact hole for the electrical connection between the source / drain and the wiring or the contact hole for the electrical connection between the gate electrode and the wiring is also reduced. Therefore, the sheet resistance of the gate electrode increases and the contact resistance of the contact hole increases, thereby delaying the electrical signal transmission of the MOS transistor and further lowering the operating speed of the semiconductor device.

그럼에도 불구하고, 상기 반도체 소자의 고속화에 대한 요구가 점차 증가하므로 이러한 요구를 충족시키기 위해 상기 콘택 저항을 저감시키기 위한 방안들이 제안되어왔다. 이러한 방안들 중에는 상기 콘택홀의 소스/드레인 상에 비저항이 낮은 실리사이드(Silicide)층을 형성시키는 방법이 널리 사용되고 있다. 초기의 실리사이드 공정은 상기 게이트 전극과 상기 소스/드레인에 실리사이드층 형성시키는 공정을 각각 별개의 단계로 진행하기 때문에 제조 공정이 복잡하고 제조 비용이 많이 소요되는 문제점을 갖고 있다.Nevertheless, as the demand for higher speed of the semiconductor device is gradually increased, methods for reducing the contact resistance have been proposed to satisfy this demand. Among these methods, a method of forming a silicide layer having a low specific resistance on the source / drain of the contact hole is widely used. In the initial silicide process, since the silicide layer is formed on the gate electrode and the source / drain in separate steps, the manufacturing process is complicated and the manufacturing cost is high.

최근에는 상기 실리사이드 공정의 단순화 및 제조 비용의 절감을 위하여 살리사이드(Salicide: Self Aligned Silicide) 공정이 도입되고 있다. 상기 살리사이드 공정은 상기 게이트 전극과 소스/드레인 상에 상기 실리사이드층을 하나의 동일 공정에 의해 동시에 형성시킨다. 즉, 상기 살리사이드 공정은 단결정 실리콘과 다결정 실리콘 및 절연막 상에 동시에 고융점 금속층을 적층하고 나서 상기 고융점 금속층을 열처리하면, 상기 단결정 실리콘 및 다결정 실리콘 상의 고융점 금속층은 실리사이드층으로 실리사이드화되지만, 상기 절연막 상의 고융점 금속은 실리사이드화되지 않고 그대로 유지된다. 이후, 상기 실리사이드화되지 않은 고융점 금속을 식각공정에 의해 제거시킴으로써 상기 실리사이드층을 상기 단결정 실리콘 및 다결정 실리콘 상에만 남겨둘 수가 있다.Recently, in order to simplify the silicide process and reduce the manufacturing cost, a salicide (Salicide: Self Aligned Silicide) process has been introduced. The salicide process simultaneously forms the silicide layer on the gate electrode and the source / drain by one same process. That is, in the salicide process, when the high melting point metal layer is laminated on the single crystal silicon, the polycrystalline silicon, and the insulating film at the same time, and the heat treatment is performed, the high melting point metal layer on the single crystal silicon and the polycrystalline silicon is silicided into a silicide layer. The high melting point metal on the insulating film is not silicided and remains as it is. Thereafter, the silicide layer may be left only on the single crystal silicon and the polycrystalline silicon by removing the non-silicided high melting point metal by an etching process.

이러한 살리사이드 공정은 금속의 전기적 저항 및 실리사이드층의 전기적 저항이 양호한 티타늄 살리사이드 공정 또는 코발트 살리사이드 공정 등이 반도체 소자의 제조 공정에 널리 사용되고 있다.In the salicide process, a titanium salicide process or a cobalt salicide process having good electrical resistance of a metal and a silicide layer are widely used in a semiconductor device manufacturing process.

도 1은 종래 기술에 의한 반도체 소자를 나타낸 단면 구조도이다. 도 1에 도시된 바와 같이, 종래의 반도체 소자는 반도체 기판(10)의 액티브 영역을 정의하기 위해 상기 반도체 기판(10)의 필드 영역에 소자 분리막(11)이 형성되고, 상기 반도체 기판(10)의 액티브 영역 상에 게이트 절연막(13)을 개재하며 게이트 전극(15)의 패턴이 형성되고, 상기 게이트 전극(15)의 측벽에 스페이서(17)가 형성되고, 상기 반도체 기판(10)의 액티브 영역에 엘디디(LDD: lightly doped drain) 영역을 갖는 소스/드레인 영역(S/D)이 형성된다. 또한, 상기 소스/드레인 영역(S/D)과 게이트 전극(15)에 각각 TiSi2와 같은 티타늄 실리사이드층(21),(23)이 형성된다.1 is a cross-sectional structural view showing a semiconductor device according to the prior art. As shown in FIG. 1, in the conventional semiconductor device, an isolation layer 11 is formed in a field region of the semiconductor substrate 10 to define an active region of the semiconductor substrate 10, and the semiconductor substrate 10 is formed. A pattern of the gate electrode 15 is formed on the active region of the gate electrode 13, a spacer 17 is formed on the sidewall of the gate electrode 15, and an active region of the semiconductor substrate 10. A source / drain region S / D having a lightly doped drain (LDD) region is formed in the substrate. In addition, titanium silicide layers 21 and 23, such as TiSi 2 , are formed in the source / drain regions S / D and the gate electrode 15, respectively.

그런데, 종래에는 상기 티타늄 실리사이드층(21),(23)의 형성을 위한 고융점 금속층(미도시)과 게이트 전극(15) 및 소스/드레인 영역(S/D)이 비정질화되지 않은 상태에서 상기 티타늄 실리사이드층(21),(23)을 형성함으로써 금속층과 게이트 전극(15) 및 소스/드레인 영역(S/D)의 입계(grain boundary) 사이즈가 커지고, 상기 티타늄 실리사이드층(21),(23)의 저항이 커진다.However, in the related art, a high melting point metal layer (not shown), a gate electrode 15, and a source / drain region S / D for forming the titanium silicide layers 21 and 23 are not amorphous. By forming the titanium silicide layers 21 and 23, the grain boundary size of the metal layer, the gate electrode 15, and the source / drain regions S / D is increased, and the titanium silicide layers 21 and 23 are formed. ) Resistance increases.

또한, 상기 티타늄 실리사이드층(21),(23)의 열적 안정성(thermal stability)이 저하되므로 상기 티타늄 실리사이드층(21),(23)의 저항이 불균일하고, 상기 티타늄 실리사이드층(21),(23)의 응집(agglomeration)이 발생한다.In addition, since the thermal stability of the titanium silicide layers 21 and 23 is lowered, the resistance of the titanium silicide layers 21 and 23 is uneven, and the titanium silicide layers 21 and 23 are reduced. ) Agglomeration occurs.

따라서, 상기 소스/드레인 영역(S/D)의 콘택 저항이 증가하고 상기 게이트 전극(15)의 면 저항이 증가하므로 반도체 소자의 동작 속도가 저하된다.Therefore, the contact resistance of the source / drain regions S / D increases and the surface resistance of the gate electrode 15 increases, thereby lowering the operating speed of the semiconductor device.

따라서, 본 발명의 목적은 티타늄 실리사이드층의 저항을 저감시킴으로써 소스/드레인 영역의 콘택 저항과 게이트 전극의 면 저항을 저감시키는데 있다.Accordingly, an object of the present invention is to reduce the resistance of the titanium silicide layer to reduce the contact resistance of the source / drain regions and the surface resistance of the gate electrode.

본 발명의 다른 목적은 티타늄 실리사이드층의 열적 안정성을 향상시킴으로써 티타늄 실리사이드층의 저항을 균일화시키고 티타늄 실리사이드층의 응집을 억제하는데 있다.
Another object of the present invention is to equalize the resistance of the titanium silicide layer and to suppress the aggregation of the titanium silicide layer by improving the thermal stability of the titanium silicide layer.

삭제delete

따라서, 본 발명은 상기 게이트 전극의 다결정 실리콘층의 입계 사이즈를 감소시키므로 상기 게이트 전극의 면 저항과 콘택 저항을 저감시킬 수가 있다.Therefore, the present invention can reduce the grain size of the polycrystalline silicon layer of the gate electrode, thereby reducing the surface resistance and the contact resistance of the gate electrode.

이하, 본 발명에 의한 반도체 소자의 제조 방법을 첨부된 도면을 참조하여 상세히 설명하기로 한다. 종래의 부분과 동일한 구성 및 동일한 작용을 갖는 부분에는 동일 부호를 부여한다.Hereinafter, a method of manufacturing a semiconductor device according to the present invention will be described in detail with reference to the accompanying drawings. The same code | symbol is attached | subjected to the part which has the same structure and the same action as the conventional part.

도 2a 내지 도 2e는 본 발명의 실시예에 의한 반도체 소자의 제조 방법을 나타낸 단면 공정도이다.2A to 2E are cross-sectional process diagrams illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 2a를 참조하면, 먼저, 반도체 기판(10), 예를 들어 제 1 도전형 단결정 실리콘 기판 등을 준비한다. 여기서, 상기 제 1 도전형으로는 p형 또는 n형이 사용 가능하지만, 설명의 편의상 상기 반도체 기판(10)이 p형인 경우를 기준으로 설명하기로 한다.Referring to FIG. 2A, first, a semiconductor substrate 10, for example, a first conductivity type single crystal silicon substrate, is prepared. Here, the p-type or n-type can be used as the first conductivity type, but for convenience of description, the semiconductor substrate 10 will be described based on the case where the p-type.

이어서, 상기 반도체 기판(10)의 액티브 영역을 정의하기 위해 상기 반도체 기판(10)의 필드 영역에 소자 분리막(11)을 형성시킨다. 이때, 상기 소자 분리막(11)을 샐로우 트렌치 아이솔레이션(shallow trench isolation: STI) 공정에 의해 형성한다. 물론, 도면에 도시하지 않았지만, 상기 반도체 기판(10)의 소자 분리막을 로코스(LOCOS: local oxidation of silicon) 공정 등에 의해 형성하는 것도 가능하다.Subsequently, the device isolation layer 11 is formed in the field region of the semiconductor substrate 10 to define an active region of the semiconductor substrate 10. In this case, the device isolation layer 11 is formed by a shallow trench isolation (STI) process. Although not shown in the drawings, the device isolation film of the semiconductor substrate 10 may be formed by a local oxidation of silicon (LOCOS) process or the like.

이후, 상기 반도체 기판(10)의 액티브 영역 상에 게이트 절연막(13)을 원하는 두께로 형성하고, 상기 게이트 절연막(13) 상에 게이트 전극(15)을 위한 도전층, 예를 들어 불순물이 도핑된 다결정 실리콘층을 원하는 두께로 형성한다. 이어서, 사진 식각 공정을 이용하여 상기 반도체 기판(10)의 액티브 영역의 게이트 전극 형성 영역 외측의 다결정 실리콘층과 게이트 절연막(13)을 제거함으로써 상기 반도체 기판(10)의 액티브 영역의 게이트 전극 형성 영역 상에 상기 다결정 실리콘층으로 이루어진 게이트 전극(15)과 게이트 절연막(13)을 형성한다.Thereafter, a gate insulating layer 13 is formed on the active region of the semiconductor substrate 10 to a desired thickness, and a conductive layer for the gate electrode 15, for example, an impurity is doped on the gate insulating layer 13. A polycrystalline silicon layer is formed to a desired thickness. Subsequently, the gate electrode forming region of the active region of the semiconductor substrate 10 is removed by removing the polycrystalline silicon layer and the gate insulating layer 13 outside the gate electrode forming region of the active region of the semiconductor substrate 10 using a photolithography process. A gate electrode 15 and a gate insulating film 13 made of the polycrystalline silicon layer are formed on the gate electrode 15.

그런 다음, 상기 게이트 전극(15)을 이온주입 마스크층으로 이용하여 상기 반도체 기판(10)의 액티브 영역에 엘디디 형성 불순물, 예를 들어 n형 불순물을 저농도로 이온주입한다.Thereafter, ion-implanted impurities, such as n-type impurities, are implanted at low concentration into the active region of the semiconductor substrate 10 using the gate electrode 15 as an ion implantation mask layer.

이후, 예를 들어 화학 기상 증착 공정을 이용하여 상기 게이트 전극(15)을 포함하여 상기 반도체 기판(10)의 전역 상에 절연막, 예를 들어 질화막을 적층한다. 이어서, 예를 들어 에치백(etch back) 공정을 이용하여 상기 절연막을 처리함으로써 상기 게이트 전극(15)의 좌, 우 양측벽에 상기 질화막으로 이루어진 스페이서(17)를 형성함과 아울러 상기 게이트 전극(15)의 상부면과, 상기 게이트 전극(15) 외측의 액티브 영역의 표면을 노출시킨다.Subsequently, an insulating film, for example, a nitride film is deposited on the entirety of the semiconductor substrate 10 including the gate electrode 15 using, for example, a chemical vapor deposition process. Subsequently, the insulating layer is processed using, for example, an etch back process to form spacers 17 formed of the nitride layer on both left and right side walls of the gate electrode 15. The upper surface of 15 and the surface of the active region outside the gate electrode 15 are exposed.

그 다음에, 상기 게이트 전극(15)과 스페이서(33)를 이온주입 마스크층으로 이용하여 상기 반도체 기판(10)의 액티브 영역에 소스/드레인 영역 형성 불순물, 예를 들어 n형 불순물을 고농도로 이온주입한다.Then, using the gate electrode 15 and the spacer 33 as an ion implantation mask layer, the source / drain region forming impurities in the active region of the semiconductor substrate 10, for example n-type impurities, are ionized at high concentration. Inject.

이어서, 열처리 공정을 이용하여 상기 이온주입된 불순물을 확산시킴으로써 상기 반도체 기판(10)의 액티브 영역에 상기 게이트 전극(15)을 가운데 두고 이격한, 엘디디 영역을 갖는 소스/드레인 영역(S/D)의 접합을 형성한다.Subsequently, a source / drain region (S / D) having an LED region spaced apart from the gate electrode 15 in the active region of the semiconductor substrate 10 by diffusing the ion implanted impurities using a heat treatment process. ) To form a junction.

도 2b를 참조하면, 그 다음에, 예를 들어 스퍼터링 공정을 이용하여 상기 게이트 전극(15)을 포함하여 상기 반도체 기판(10)의 전역 상에 티타늄 실리사이드층을 위한 고융점 금속층, 예를 들어 Ti/TiN층(30)을 적층한다.Referring to FIG. 2B, a high melting point metal layer, eg Ti, for a titanium silicide layer over the entire surface of the semiconductor substrate 10, including the gate electrode 15, for example using a sputtering process The / TiN layer 30 is laminated.

이때, 상기 Ti/TiN층(30)의 Ti층(31)과 TiN층(33)을 각각 100~500Å의 두께로 형성한다.At this time, the Ti layer 31 and the TiN layer 33 of the Ti / TiN layer 30 are formed to a thickness of 100 ~ 500Å respectively.

도 2c를 참조하면, 이후, 상기 Ti/TiN층(30)과 상기 게이트 전극(15) 및 반도체 기판(10)의 소스/드레인 영역(S/D)을 비정질화시키기 위한 비정질화 이온, 예를 들어 SiF3 이온(35)을 50~150KeV의 에너지와 1E14~5E14 ions/cm2 의 농도로 이온주입시킨다. 이때, 상기 SiF3 이온(35)의 이온주입층은 상기 소스/드레인 영역(S/D)과 게이트 전극(15)의 표면 근처에 형성된다.Referring to FIG. 2C, thereafter, amorphous ions for amorphousizing the Ti / TiN layer 30, the gate electrode 15, and the source / drain region S / D of the semiconductor substrate 10 will be described. For example, SiF 3 ions 35 are implanted at an energy of 50 to 150 KeV and a concentration of 1E14 to 5E14 ions / cm 2 . In this case, an ion implantation layer of the SiF 3 ions 35 is formed near the surface of the source / drain region S / D and the gate electrode 15.

따라서, 상기 Ti/TiN층(30)과 상기 게이트 전극(15) 및 소스/드레인 영역(S/D)은 비정질화됨으로써 상기 Ti/TiN층(30)과 상기 게이트 전극(15) 및 소스/드레인 영역(S/D)의 입계 사이즈가 상기 SiF3 이온(35)의 이온주입 전에 비하여 축소될 수 있다.Accordingly, the Ti / TiN layer 30, the gate electrode 15, and the source / drain region S / D are amorphous to form the Ti / TiN layer 30, the gate electrode 15, and the source / drain. The grain boundary size of the region S / D may be reduced compared to before the ion implantation of the SiF 3 ions 35.

도 2d를 참조하면, 그런 다음, 제 1 열처리 공정, 예를 들어 급속 열처리 공정을 이용하여 도 2c의 Ti/TiN층(30)을 실리사이드화 반응시킴으로써 상기 소스/드레인 영역(S/D)과 게이트 전극(15) 상에 C-49 상태의 TiSi2와 같은 티타늄 실리사이드층(41),(43)을 형성한다. 이때, 상기 급속 열처리 공정을 600~800℃의 온도와 질소(N2) 가스의 분위기에서 10~60초 동안에 진행한다.Referring to FIG. 2D, the source / drain region S / D and the gate are then silicided by the Ti / TiN layer 30 of FIG. 2C using a first heat treatment process, for example, a rapid heat treatment process. Titanium silicide layers 41 and 43 such as TiSi 2 in the C-49 state are formed on the electrode 15. At this time, the rapid heat treatment process is performed for 10 to 60 seconds in a temperature of 600 ~ 800 ℃ and nitrogen (N 2 ) gas.

이어서, 예를 들어 습식 식각 공정을 이용하여 상기 실리사이드화 반응을 하지 않은 미반응 상태의 Ti/TiN층(30)을 모두 제거시킴으로써 상기 소스/드레인 영 역(S/D)과 게이트 전극(15) 상의 티타늄 실리사이드층(41),(43)을 남긴다. 이때, 상기 습식 식각 공정은 H2O와 H2O2 및 NH4OH를 예를 들어 5:1:1의 비율로 혼합한 식각액을 사용한다.Subsequently, the source / drain region S / D and the gate electrode 15 may be removed by, for example, removing all of the unreacted Ti / TiN layer 30 that has not been silicided using a wet etching process. The titanium silicide layers 41 and 43 remain on. In this case, the wet etching process uses an etchant obtained by mixing H 2 O, H 2 O 2, and NH 4 OH in a ratio of 5: 1: 1.

도 2e를 참조하면, 그런 다음, 제 2 열처리 공정, 예를 들어 급속 열처리 공정을 이용하여 도 2d의 티타늄 실리사이드층(41),(43)을 열처리함으로써 C-54 상태의 TiSi2와 같은 티타늄 실리사이드층(45),(47)으로 상변이시킨다. 이때, 상기 급속 열처리 공정을 650~850℃의 온도와 질소(N2) 가스의 분위기에서 10~60초 동안에 진행한다.Referring to FIG. 2E, a titanium silicide such as TiSi 2 in a C-54 state is then thermally treated by the second silicidation process, for example, by rapid thermal annealing. Phase transition to layers 45 and 47. At this time, the rapid heat treatment process is carried out in a temperature of 650 ~ 850 ℃ and nitrogen (N 2 ) gas for 10 to 60 seconds.

따라서, 상기 비정질화된 소스/드레인 영역(S/D)과 게이트 전극(15) 상에 상기 티타늄 실리사이드층(45),(47)이 형성되므로 상기 티타늄 실리사이드층(45),(47)의 입계 사이즈가 상기 SiF3 이온(35)의 이온주입하지 않는 종래에 비하여 축소될 수 있고 나아가 상기 티타늄 실리사이드층(45),(47)의 저항이 저감될 수 있다. 또한, 상기 SiF3 이온(35)의 플로린(F) 이온은 상기 티타늄 실리사이드층(45),(47)의 실리사이드화 반응 속도를 낮춤으로써 상기 티타늄 실리사이드층(45),(47)의 열적 안정성을 향상시키므로 상기 티타늄 실리사이드층(45),(47)의 저항을 균일화시킬 수 있고, 상기 티타늄 실리사이드층(45),(47)의 응집(agglomeration)을 억제할 수 있다.Therefore, since the titanium silicide layers 45 and 47 are formed on the amorphous source / drain regions S / D and the gate electrode 15, grain boundaries of the titanium silicide layers 45 and 47 are formed. The size can be reduced compared to the conventional non-implantation of the SiF 3 ions 35, and further the resistance of the titanium silicide layers 45, 47 can be reduced. In addition, the Florin (F) ions of the SiF 3 ions 35 lower the silicidation reaction rate of the titanium silicide layers 45 and 47 to improve thermal stability of the titanium silicide layers 45 and 47. As a result, the resistance of the titanium silicide layers 45 and 47 can be made uniform, and the agglomeration of the titanium silicide layers 45 and 47 can be suppressed.

따라서, 본 발명은 상기 소스/드레인 영역(S/D)의 콘택 저항과 게이트 전극 의 면 저항을 저감시키므로 반도체 소자의 동작 속도를 향상시킬 수가 있다.Therefore, the present invention can reduce the contact resistance of the source / drain regions S / D and the surface resistance of the gate electrode, thereby improving the operation speed of the semiconductor device.

이후, 도면에 도시하지 않았지만, 통상적인 공정을 이용하여 상기 반도체 기판 상에 층간 절연막을 형성하고, 상기 층간 절연막에 상기 게이트 전극과 소스/드레인의 콘택홀을 각각 형성하고, 상기 콘택홀의 내부와 함께 상기 층간 절연막 상에 장벽 금속층을 적층하고, 상기 콘택홀을 매립하도록 상기 장벽 금속층 상에 예를 들어 텅스텐층과 같은 도전층을 적층하고, 상기 텅스텐층을 평탄화 공정에 의해 상기 콘택홀에만 남기고, 상기 콘택홀의 텅스텐층에 전기적으로 연결되도록 상기 층간 절연막 상에 도전성 배선을 형성함으로써 본 발명의 반도체 소자의 제조 공정을 완료한다.Subsequently, although not shown in the drawings, an interlayer insulating film is formed on the semiconductor substrate using a conventional process, and contact holes of the gate electrode and the source / drain are formed in the interlayer insulating film, respectively, and together with the inside of the contact hole. A barrier metal layer is laminated on the interlayer insulating film, and a conductive layer such as, for example, a tungsten layer is laminated on the barrier metal layer to fill the contact hole, and the tungsten layer is left only in the contact hole by a planarization process. The manufacturing process of the semiconductor device of the present invention is completed by forming a conductive line on the interlayer insulating film so as to be electrically connected to the tungsten layer of the contact hole.

도 3a 내지 도 3e는 본 발명의 다른 실시예에 의한 반도체 소자의 제조 방법을 나타낸 단면 공정도이다. 본 발명의 실시예의 부분과 동일한 구성 및 동일한 작용을 갖는 부분에는 동일한 부호를 부여한다.3A to 3E are cross-sectional view illustrating a method of manufacturing a semiconductor device in accordance with another embodiment of the present invention. The same reference numerals are given to parts having the same configuration and the same operation as those of the embodiment of the present invention.

도 3a를 참조하면, 먼전, 도 2a의 과정을 동일하게 실시함으로써, 반도체 기판(10)의 필드 영역에 트렌치(11)를 형성하고, 상기 트렌치(11)에 소자 분리막(11)을 형성하고, 상기 반도체 기판(10)의 액티브 영역에 게이트 절연막(13)을 개재하며 게이트 전극(15)을 형성하고, 상기 게이트 전극(15)을 사이에 두고 상기 반도체 기판(10)의 액티브 영역에 엘디디 영역을 형성하고, 상기 게이트 전극(15)의 측벽에 스페이서(17)를 형성하고, 상기 게이트 전극(15)과 스페이서(17)를 사이에 두고 상기 반도체 기판(10)의 액티브 영역에 소스/드레인 영역(S/D)을 형성한다.Referring to FIG. 3A, the trenches 11 are formed in the field region of the semiconductor substrate 10 and the device isolation layer 11 is formed in the trenches 11 by performing the same procedure as in FIG. 2A. The gate electrode 15 is formed through the gate insulating layer 13 in the active region of the semiconductor substrate 10, and the LED region is formed in the active region of the semiconductor substrate 10 with the gate electrode 15 interposed therebetween. And a spacer 17 on sidewalls of the gate electrode 15, and source / drain regions in the active region of the semiconductor substrate 10 with the gate electrode 15 and the spacer 17 therebetween. (S / D) is formed.

그런 다음, 상기 소스 드레인 영역(S/D)과 게이트 전극(15)의 표면 근처에 비정질화 이온, 예를 들어 Si 이온(51)을 10~60KeV의 에너지와 1E13~3E14 ions/cm2 의 농도로 이온주입시킴으로써 상기 소스 드레인 영역(S/D)과 게이트 전극(15)을 비정질화시킨다. 이때, 상기 Si 이온(51)의 이온주입층은 상기 소스/드레인 영역(S/D)과 게이트 전극(15)의 표면 근처에 형성된다.Then, near the surface of the source drain region S / D and the gate electrode 15, amorphous ions, for example, Si ions 51, have an energy of 10 to 60 KeV and a concentration of 1E13 to 3E14 ions / cm 2 . The source drain region S / D and the gate electrode 15 are amorphous by ion implantation. In this case, an ion implantation layer of the Si ions 51 is formed near the surface of the source / drain region S / D and the gate electrode 15.

따라서, 상기 Ti/TiN층(30)과 상기 게이트 전극(15) 및 소스/드레인 영역(S/D)이 비정질화됨으로써 상기 Ti/TiN층(30)과 상기 게이트 전극(15) 및 소스/드레인 영역(S/D)의 입계 사이즈가 상기 Si 이온(51)의 이온주입 전에 비하여 축소될 수 있다.Accordingly, the Ti / TiN layer 30, the gate electrode 15, and the source / drain region S / D are amorphous to form the Ti / TiN layer 30, the gate electrode 15, and the source / drain. The grain boundary size of the region S / D can be reduced compared to before the ion implantation of the Si ions 51.

도 3b를 참조하면, 이후, 도 2b의 과정을 동일하게 실시함으로써 상기 게이트 전극(15)을 포함하여 상기 반도체 기판(10)의 전역 상에 티타늄 실리사이드층을 위한 고융점 금속층, 예를 들어 Ti/TiN층(30)을 적층한다. 이때, 상기 Ti/TiN층(30)의 Ti층(31)과 TiN층(33)을 각각 100~500Å의 두께로 형성한다.Referring to FIG. 3B, a high melting point metal layer for the titanium silicide layer, for example, Ti / The TiN layer 30 is laminated. At this time, the Ti layer 31 and the TiN layer 33 of the Ti / TiN layer 30 are formed to a thickness of 100 ~ 500Å respectively.

그 다음에, 상기 Ti/TiN층(30)에 플로린(F) 이온을 10~50KeV의 에너지와 5E14~1E15 ions/cm2 의 농도로 이온주입시킨다. 이때, 상기 플로린(F) 이온의 이온주입층은 상기 Ti층(31)에 형성된다.Next, Florin (F) ions are implanted into the Ti / TiN layer 30 at an energy of 10 to 50 KeV and a concentration of 5E14 to 1E15 ions / cm 2 . In this case, the ion implantation layer of the florin (F) ions is formed in the Ti layer 31.

도 3c를 참조하면, 이어서, 도 2d의 과정을 동일하게 실시함으로써 상기 소스/드레인 영역(S/D)과 게이트 전극(15) 상에 C-49 상태의 TiSi2와 같은 티타늄 실리사이드층(61),(63)을 형성한다.Referring to FIG. 3C, a titanium silicide layer 61 such as TiSi 2 in a C-49 state on the source / drain region S / D and the gate electrode 15 may be subsequently performed by performing the same process of FIG. 2D. And (63).

도 3d를 참조하면, 그런 다음, 도 2e의 과정을 동일하게 실시함으로써 도3c 에 도시된 C-49 상태의 TiSi2와 같은 티타늄 실리사이드층(61),(63)을 C-54 상태의 TiSi2와 같은 티타늄 실리사이드층(65),(67)으로 상변이시킨다.Referring to Figure 3d, then, even in the titanium silicide layer 61, a (63) C-54 status as by a TiSi 2 of the C-49 form shown in Figure 3c equally subjected to 2e process of TiSi 2 The phase change to titanium silicide layer 65, 67 as shown.

따라서, 상기 비정질화된 소스/드레인 영역(S/D)과 게이트 전극(15) 상에 상기 티타늄 실리사이드층(65),(67)이 형성되므로 상기 티타늄 실리사이드층(65),(67)의 입계 사이즈가 상기 Si 이온(51)의 이온주입 전에 비하여 축소될 수 있고 나아가 상기 티타늄 실리사이드층(65),(67)의 저항이 저감될 수 있다. 또한, 상기 플로린(F) 이온(53)은 상기 티타늄 실리사이드층(65),(67)의 실리사이드화 반응 속도를 낮춤으로써 상기 티타늄 실리사이드층(65),(67)의 열적 안정성을 향상시키므로 상기 티타늄 실리사이드층(65),(67)의 저항을 균일화시킬 수 있고, 상기 티타늄 실리사이드층(65),(67)의 응집(agglomeration)을 억제할 수 있다.Accordingly, since the titanium silicide layers 65 and 67 are formed on the amorphous source / drain regions S / D and the gate electrode 15, grain boundaries of the titanium silicide layers 65 and 67 are formed. The size can be reduced compared to before the ion implantation of the Si ions 51 and further, the resistance of the titanium silicide layers 65 and 67 can be reduced. In addition, since the florin (F) ion 53 improves the thermal stability of the titanium silicide layers 65 and 67 by lowering the silicideation reaction rate of the titanium silicide layers 65 and 67, the titanium The resistance of the silicide layers 65 and 67 can be made uniform, and the agglomeration of the titanium silicide layers 65 and 67 can be suppressed.

따라서, 본 발명은 상기 소스/드레인 영역(S/D)의 콘택 저항과 게이트 전극의 면 저항을 저감시키므로 반도체 소자의 동작 속도를 향상시킬 수가 있다.Therefore, the present invention can reduce the contact resistance of the source / drain regions S / D and the surface resistance of the gate electrode, thereby improving the operation speed of the semiconductor device.

이후, 도면에 도시하지 않았지만, 통상적인 공정을 이용하여 상기 반도체 기판 상에 층간 절연막을 형성하고, 상기 층간 절연막에 상기 게이트 전극과 소스/드레인의 콘택홀을 각각 형성하고, 상기 콘택홀의 내부와 함께 상기 층간 절연막 상에 장벽 금속층을 적층하고, 상기 콘택홀을 매립하도록 상기 장벽 금속층 상에 예를 들어 텅스텐층과 같은 도전층을 적층하고, 상기 텅스텐층을 평탄화 공정에 의해 상기 콘택홀에만 남기고, 상기 콘택홀의 텅스텐층에 전기적으로 연결되도록 상기 층간 절연막 상에 도전성 배선을 형성함으로써 본 발명의 반도체 소자의 제조 공정 을 완료한다.Subsequently, although not shown in the drawings, an interlayer insulating film is formed on the semiconductor substrate using a conventional process, and contact holes of the gate electrode and the source / drain are formed in the interlayer insulating film, respectively, and together with the inside of the contact hole. A barrier metal layer is laminated on the interlayer insulating film, and a conductive layer such as, for example, a tungsten layer is laminated on the barrier metal layer to fill the contact hole, and the tungsten layer is left only in the contact hole by a planarization process. The manufacturing process of the semiconductor device of the present invention is completed by forming a conductive line on the interlayer insulating film so as to be electrically connected to the tungsten layer of the contact hole.

이상에서 설명한 바와 같이, 본 발명에 의한 반도체 소자의 제조 방법은 반도체 기판의 액티브 영역에 게이트 절연막을 개재하며 게이트 전극이 형성되고, 상기 게이트 전극의 측벽에 스페이서가 형성되고, 상기 게이트 전극을 가운데 두고 상기 반도체 기판의 액티브 영역에 엘디디 영역을 갖는 소스/드레인 영역이 형성된 상태에서 게이트 전극과 소스/드레인 영역에 비정질화 이온으로서 Si 이온을 이온주입시키고, 상기 게이트 전극과 소스/드레인 영역을 포함하여 상기 반도체 기판의 전역 상에 Ti/TiN층을 형성하고, 상기 Ti/TiN층에 플로린(F) 이온을 이온주입시키고, 상기 Ti/TiN층을 열처리공정에 의해 실리사이드화 반응을 시킴으로써 상기 게이트 전극과 소스/드레인 영역에 티타늄 실리사이드층을 형성한다.As described above, in the method of manufacturing a semiconductor device according to the present invention, a gate electrode is formed in an active region of a semiconductor substrate through a gate insulating film, a spacer is formed on sidewalls of the gate electrode, and the gate electrode is centered. Si ions are implanted into the gate electrode and the source / drain region as amorphous ions in a state where a source / drain region having an LED region is formed in an active region of the semiconductor substrate, and includes the gate electrode and the source / drain region. Forming a Ti / TiN layer over the entire semiconductor substrate, implanting fluorine (F) ions into the Ti / TiN layer, and performing a silicide reaction on the Ti / TiN layer by a heat treatment process. A titanium silicide layer is formed in the source / drain regions.

따라서, 본 발명은 상기 티타늄 실리사이드층의 저항을 저감시킬 수 있다. 또한, 상기 티타늄 실리사이드층의 열적 안정성을 향상시키므로 상기 티타늄 실리사이드층의 저항을 균일화시킬 수 있고, 상기 티타늄 실리사이드층의 응집(agglomeration)을 억제할 수 있다.Therefore, the present invention can reduce the resistance of the titanium silicide layer. In addition, since the thermal stability of the titanium silicide layer is improved, the resistance of the titanium silicide layer may be uniform, and agglomeration of the titanium silicide layer may be suppressed.

따라서, 본 발명은 상기 소스/드레인 영역(S/D)의 콘택 저항과 게이트 전극의 면 저항을 저감시키므로 반도체 소자의 동작 속도를 향상시킬 수가 있다.Therefore, the present invention can reduce the contact resistance of the source / drain regions S / D and the surface resistance of the gate electrode, thereby improving the operation speed of the semiconductor device.

한편, 본 발명은 도시된 도면과 상세한 설명에 기술된 내용에 한정하지 않으며 본 발명의 사상을 벗어나지 않는 범위 내에서 다양한 형태의 변형도 가능함은 이 분야에 통상의 지식을 가진 자에게는 자명한 사실이다.On the other hand, the present invention is not limited to the contents described in the drawings and detailed description, it is obvious to those skilled in the art that various modifications can be made without departing from the spirit of the invention. .

Claims (9)

반도체 기판의 액티브 영역에 다결정 실리콘층의 게이트 전극을 가운데 두고 소스/드레인 영역을 형성하는 단계;Forming a source / drain region centering the gate electrode of the polycrystalline silicon layer on the active region of the semiconductor substrate; 상기 게이트 전극과 소스/드레인 영역을 포함하여 상기 반도체 기판의 전역 상에 임의의 금속층을 적층하는 단계; Depositing an arbitrary metal layer over the entirety of the semiconductor substrate including the gate electrode and source / drain regions; 상기 게이트 전극과 소스/드레인 영역을 비정질화시키기 위해 상기 게이트 전극과 소스/드레인 영역에 비정질화 이온을 이온주입시키는 단계; 및Implanting amorphous ions into the gate electrode and the source / drain region to amorphous the gate electrode and the source / drain region; And 상기 금속층을 실리사이드화시킴으로써 상기 소스/드레인 영역과 게이트 전극 상에 실리사이드층을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.Forming a silicide layer on the source / drain region and the gate electrode by suicided the metal layer. 제 1 항에 있어서, 상기 비정질화 이온으로서 SiF3 이온을 이온주입하는 것을 특징으로 하는 반도체 소자의 제조 방법.The method for manufacturing a semiconductor device according to claim 1, wherein ion implantation of SiF 3 ions as the amorphous ions is carried out. 제 2 항에 있어서, 상기 SiF3 이온을 50~150KeV의 에너지와 1E14~5E14 ions/cm2 의 농도로 이온주입시키는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of claim 2, wherein the SiF 3 ions are implanted at an energy of 50 to 150 KeV and at a concentration of 1E14 to 5E14 ions / cm 2 . 제 1 항 내지 제 3 항 중 어느 한 항에 있어서, 상기 금속층을 Ti/TiN층으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to any one of claims 1 to 3, wherein the metal layer is formed of a Ti / TiN layer. 반도체 기판의 액티브 영역에 다결정 실리콘층의 게이트 전극을 가운데 두고 소스/드레인 영역을 형성하는 단계;Forming a source / drain region centering the gate electrode of the polycrystalline silicon layer on the active region of the semiconductor substrate; 상기 게이트 전극과 소스/드레인 영역을 비정질화시키기 위해 상기 게이트 전극과 소스/드레인 영역에 비정질화 이온을 이온주입시키는 단계;Implanting amorphous ions into the gate electrode and the source / drain region to amorphous the gate electrode and the source / drain region; 상기 게이트 전극과 소스/드레인 영역을 포함하여 상기 반도체 기판의 전역 상에 임의의 금속층을 적층하는 단계;Depositing an arbitrary metal layer over the entirety of the semiconductor substrate including the gate electrode and source / drain regions; 상기 금속층에 플로린(F) 이온을 이온주입시키는 단계; 및Implanting fluorine (F) ions into the metal layer; And 상기 금속층을 실리사이드화시킴으로써 상기 소스/드레인 영역과 게이트 전극 상에 실리사이드층을 형성하는 단계를 포함하며,Silicideing the metal layer to form a silicide layer on the source / drain regions and the gate electrode, 상기 플로린 이온이 상기 실리사이드층의 열적 안정성을 향상시키는 것을 특징으로 하는 반도체 소자의 제조 방법.And said florin ions improve the thermal stability of said silicide layer. 제 5 항에 있어서, 상기 비정질화 이온으로서 Si 이온을 이온주입하는 것을 특징으로 하는 반도체 소자의 제조 방법.The method for manufacturing a semiconductor device according to claim 5, wherein ion implantation of Si ions is carried out as the amorphous ions. 제 6 항에 있어서, 상기 Si 이온을 10~60KeV의 에너지와 1E13~3E14 ions/cm2 의 농도로 이온주입는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 6, wherein the Si ions are implanted at an energy of 10 to 60 KeV and a concentration of 1E13 to 3E14 ions / cm 2 . 제 5 항에 있어서, 상기 플로린(F) 이온을 10~50KeV의 에너지와 5E14~1E15 ions/cm2 의 농도로 이온주입시키는 것을 특징으로 하는 반도체 소자의 제조 방법.The method of manufacturing a semiconductor device according to claim 5, wherein the fluorine (F) ions are implanted at an energy of 10 to 50 KeV and a concentration of 5E14 to 1E15 ions / cm 2 . 제 5 항 내지 제 8 항 중 어느 한 항에 있어서, 상기 금속층을 Ti/TiN층으로 형성하는 것을 특징으로 하는 반도체 소자의 제조 방법.The method for manufacturing a semiconductor device according to any one of claims 5 to 8, wherein the metal layer is formed of a Ti / TiN layer.
KR1020030098105A 2003-12-27 2003-12-27 Method For Manufacturing Semiconductor Devices KR100613585B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020030098105A KR100613585B1 (en) 2003-12-27 2003-12-27 Method For Manufacturing Semiconductor Devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030098105A KR100613585B1 (en) 2003-12-27 2003-12-27 Method For Manufacturing Semiconductor Devices

Publications (2)

Publication Number Publication Date
KR20050066754A KR20050066754A (en) 2005-06-30
KR100613585B1 true KR100613585B1 (en) 2006-08-17

Family

ID=37257784

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030098105A KR100613585B1 (en) 2003-12-27 2003-12-27 Method For Manufacturing Semiconductor Devices

Country Status (1)

Country Link
KR (1) KR100613585B1 (en)

Also Published As

Publication number Publication date
KR20050066754A (en) 2005-06-30

Similar Documents

Publication Publication Date Title
US6855641B2 (en) CMOS transistor having different PMOS and NMOS gate electrode structures and method of fabrication thereof
US5933741A (en) Method of making titanium silicide source/drains and tungsten silicide gate electrodes for field effect transistors
US6806534B2 (en) Damascene method for improved MOS transistor
US6451679B1 (en) Ion mixing between two-step titanium deposition process for titanium salicide CMOS technology
US7803702B2 (en) Method for fabricating MOS transistors
KR100835521B1 (en) Structrue of semiconcuctor device and method of menufacturing the same
JPH11284179A (en) Semiconductor device and manufacture thereof
US6855592B2 (en) Method for manufacturing semiconductor device
US6025241A (en) Method of fabricating semiconductor devices with self-aligned silicide
JP2006202860A (en) Semiconductor device and its manufacturing method
US20050124128A1 (en) Methods for manufacturing semiconductor device
KR100613585B1 (en) Method For Manufacturing Semiconductor Devices
JP2004253778A (en) Semiconductor device and its manufacturing method
KR100609239B1 (en) Method For Manufacturing Semiconductor Devices
US20050142784A1 (en) Methods of fabricating semiconductor devices
JPH10313117A (en) Mis transistor and manufacture thereof
KR100604496B1 (en) Method for fabricating semiconductor device
KR100572210B1 (en) Method For Manufacturing Semiconductor Devices
KR100580795B1 (en) Method For Manufacturing Semiconductor Devices
US7960280B2 (en) Process method to fully salicide (FUSI) both N-poly and P-poly on a CMOS flow
US6197672B1 (en) Method for forming polycide dual gate
KR100580798B1 (en) Method For Manufacturing Semiconductor Devices
JPH11177085A (en) Semiconductor device
KR100401500B1 (en) Method of fabricating semiconductor devices
JP2000021996A (en) Semiconductor device and its manufacture

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110719

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20120726

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee