KR100402339B1 - Physical model parameter extraction method and storage medium thereof, and non-linear element manufacturing method - Google Patents

Physical model parameter extraction method and storage medium thereof, and non-linear element manufacturing method Download PDF

Info

Publication number
KR100402339B1
KR100402339B1 KR10-2000-0061505A KR20000061505A KR100402339B1 KR 100402339 B1 KR100402339 B1 KR 100402339B1 KR 20000061505 A KR20000061505 A KR 20000061505A KR 100402339 B1 KR100402339 B1 KR 100402339B1
Authority
KR
South Korea
Prior art keywords
parameter
error function
group
value
function
Prior art date
Application number
KR10-2000-0061505A
Other languages
Korean (ko)
Other versions
KR20010060166A (en
Inventor
다니자와모또아끼
Original Assignee
미쓰비시덴키 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미쓰비시덴키 가부시키가이샤 filed Critical 미쓰비시덴키 가부시키가이샤
Publication of KR20010060166A publication Critical patent/KR20010060166A/en
Application granted granted Critical
Publication of KR100402339B1 publication Critical patent/KR100402339B1/en

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06GANALOGUE COMPUTERS
    • G06G7/00Devices in which the computing operation is performed by varying electric or magnetic quantities
    • G06G7/48Analogue computers for specific processes, systems or devices, e.g. simulators
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components

Abstract

물성 모델의 파라미터의 추출을 효율적으로 추출할 수 있는 기술을 제공한다.Provides a technique to efficiently extract the parameters of the physical property model.

물성 모델의 파라미터의 추출을 오차 함수 S의 최소치를 구함으로써 귀착시킨다. 그리고 우선, 오차 함수 S가 증대하는 파라미터의 갱신이 행해지는 확률을 정(+)으로 유지하면서 파라미터의 갱신을 행하는, 열거적 수법이 단계 121에 있어서 실행된다. 다음에 열거적 수법의 수속 조건으로서, 연속하는 t에 대해 오차 함수 S가 감소하였는지의 여부가 단계 122b에서 판단된다. 단계 122b에서 YES라고 판단된 경우에는, 단계 123으로 진행하고, 오차 함수 S가 감소하는 파라미터의 갱신이 행해지는 뉴튼법계 해법이 실행된다.Extraction of the parameters of the physical property model results in finding the minimum value of the error function S. First, in step 121, an enumeration method is performed in which the parameter is updated while maintaining the probability that the parameter update having the error function S increases is positive (+). Next, as a procedure condition of the enumerated method, it is determined in step 122b whether or not the error function S has decreased for successive t. If YES is determined in step 122b, the flow advances to step 123, where a Newtonian method solution is executed in which the parameter update with decreasing error function S is performed.

Description

물성 모델의 파라미터 추출 방법 및 기록 매체, 및 비선형 소자의 제조 방법{PHYSICAL MODEL PARAMETER EXTRACTION METHOD AND STORAGE MEDIUM THEREOF, AND NON-LINEAR ELEMENT MANUFACTURING METHOD}Parameter extraction method and recording medium of physical property model, and manufacturing method of nonlinear element {PHYSICAL MODEL PARAMETER EXTRACTION METHOD AND STORAGE MEDIUM THEREOF, AND NON-LINEAR ELEMENT MANUFACTURING METHOD}

본 발명은, 적어도 하나의 외부 요인으로 이루어지는 외부 요인군의 복수 vi(i=1, 2, …, m)의 각각에 대응하여, 각각 적어도 하나의 특성량으로 이루어지는특성량군 gi가 얻어지는 물성에 관한 것으로, 각각의 특성량 gs(s는 i가 채용하는 임의의 하나의 값을 나타낸다)에 대응하는 계산치의 각각을, 대응하는 상기 외부 요인 vs및 복수의 파라미터로 이루어지는 파라미터군 P의 함수 f(vs, P)로서 제공하는 물성 모델에 있어서, 파라미터군 P를 추출하는 기술에 관한 것이다.The present invention corresponds to the physical properties from which the characteristic quantity group g i composed of at least one characteristic quantity, respectively, corresponds to each of a plurality of vi (i = 1, 2, ..., m) of the external factor group composed of at least one external factor. And a function of a parameter group P consisting of said external factor v s and a plurality of parameters, each of the calculated values corresponding to each characteristic amount g s (s represents any one value employed by i). In the physical property model provided as f (v s , P), the present invention relates to a technique for extracting a parameter group P.

예를 들면 LSI(large scale integrated circuit)의 설계에 있어서 사용되는 회로 시뮬레이션을 위한, 모델 파라미터를 추출하는 기술에 관한 것이다.A technique for extracting model parameters, for example for circuit simulation used in the design of large scale integrated circuits (LSIs).

LSI의 제조에 있어서는, 그 회로를 설계하는 설계 공정과, 설계 공정에 의해서 얻어진 정보를 기초로 회로를 반도체 장치로서 실현하는 반도체 프로세스 공정으로 대별된다. 그리고, 설계 공정에 있어서는, 반도체 장치로서 실현되는 LSI 회로 (이하「LSI 장치」라 칭한다)가 발휘하여야 할 기능을 미리 예측하기 위해서, 회로 시뮬레이션이 행해진다.In the production of LSIs, the process is roughly divided into a design process for designing the circuit and a semiconductor process process for realizing the circuit as a semiconductor device based on the information obtained by the design process. And in the design process, circuit simulation is performed in advance in order to predict the function which the LSI circuit (henceforth "LSI apparatus") implemented as a semiconductor device should exhibit.

회로 시뮬레이션에 있어서는 회로 방정식의 정식화와, 디바이스 모델링이라는 2개의 중요한 관점이 있다. 그리고 디바이스 모델링에 있어서, 예를 들면 트랜지스터와 같은 비선형 디바이스의 전기 특성은, 디바이스를 모델화하여 얻어지는 해석식에 의해서 시뮬레이션된다. 이 해석식은 물리적이거나, 혹은 중간 경험적으로 결정되는 파라미터를 포함하고 있다.There are two important aspects of circuit simulation: formulation of circuit equations and device modeling. In device modeling, for example, electrical characteristics of a nonlinear device such as a transistor are simulated by an analysis formula obtained by modeling the device. This equation contains parameters that are determined physically or intermediate empirically.

회로 시뮬레이션을 정밀도 있게 실행하기 위해서는, 이들 디바이스 모델링에 있어서의 파라미터를 적절하게 결정할 필요가 있다. 그리고 이 결정을 위한 지표로서 통상은, LSI 장치의 실측 특성과 해석 모델에 기초를 둔 계산치와의 오차가선택된다. 혹은 LSI 장치의 실측 특성을 대신하여, 트랜지스터 등의 디바이스 내부에서 생기는 현상을 시뮬레이션하는 디바이스 시뮬레이션의 결과를 이용하는 경우도 있다.In order to execute the circuit simulation with precision, it is necessary to appropriately determine the parameters in these device modeling. And as an index for this determination, the error of the calculated value based on the measured characteristic and the analysis model of an LSI apparatus is normally selected normally. Alternatively, the results of device simulations for simulating phenomena occurring inside devices such as transistors may be used instead of the measured characteristics of the LSI device.

그리고 이 오차의 값이 최소가 되는 파라미터의 조합을 구하기 위해서, 종래부터 예를 들면 뉴튼법이 채용되어 있었다.In order to find a combination of parameters in which the value of this error is minimum, the Newton method has conventionally been adopted, for example.

그러나 뉴튼법에서는, 오차의 값이 감소하는 방향으로만 파라미터의 검색을 행하기 때문에, 해석 대상이 되는 물성 모델의 전체로서의 오차의 최소치 (이하「참된 해」라고 함)를 구하지 않고, 국소적인 최소치 (이하「국소적인 해」라고 함)을 참된 해라고 하여 파라미터를 결정하게 될 가능성이 있다.In Newton's method, however, the parameter is searched only in the direction in which the error value decreases. Therefore, the local minimum value is obtained without obtaining a minimum value (hereinafter, referred to as a "true solution") of the overall physical property model to be analyzed. There is a possibility that the parameter is determined as a true solution (hereinafter referred to as a "local solution").

한편, 난수(random number) 발생을 이용하여 국소적인 해법(local solution)에 빠지는 것을 피할 수 있는 전역적(global)인 검색 알고리즘도 존재하지만, 참된 해로 도달하기 위해 필요한 계산 부하가 방대해진다.On the other hand, there are also global search algorithms that can use random number generation to avoid falling into local solutions, but the computational load required to reach a true solution is enormous.

본 발명은 상기한 사정에 감안하여 이루어진 것으로, 참된 해를 얻기 위한 파라미터의 검색, 즉 파라미터의 추출을 효율적으로 추출할 수 있는 기술을 제공하는 것을 목적으로 하고 있다.SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and an object thereof is to provide a technique for efficiently extracting a parameter for obtaining a true solution, that is, extracting a parameter.

또한 컴퓨터에 파라미터를 효율적으로 추출시키는 프로그램을 기억하는 매체를 제공하는 것도 목적으로 한다.It is another object of the present invention to provide a medium for storing a program for efficiently extracting parameters from a computer.

또 이러한 파라미터 추출 기술을 채용한 물성 시뮬레이션을 포함하는 비선형 소자의 제조 방법을 제공하는 것도 목적으로 한다.It is another object of the present invention to provide a method for manufacturing a nonlinear device including physical property simulation employing such a parameter extraction technique.

본 발명에 따르면, (a) 적어도 하나의 외부 요인으로 이루어지는 외부 요인군의 복수 vi(i=1, 2, …, m)의 각각에 대응하여, 각각 적어도 하나의 특성량으로 이루어지는 특성량군 gi가 얻어지는 물성에 관한 것으로, 각각의 상기 특성량 gs(s는 i가 채용하는 임의의 하나의 값을 나타낸다)에 대응하는 계산치의 각각을, 대응하는 상기 외부 요인군 vs및 복수의 파라미터로 이루어지는 파라미터군 P의 함수 f (vs, P)로서 제공하는 물성 모델을 적용하는 단계와, (b) 각각의 상기 특성량 gs와 이것에 대응하는 상기 함수 f(vs, P)의 차에 무게 함수 ws를 곱한 값의 평방을, 상기 외부 요인군의 복수에 걸쳐 총합한 오차 함수 S에 대하여, 그 최소치를 제공하는 상기 파라미터군 P를 추출하는 단계를 포함하는, 물성 모델의 파라미터 추출 방법에 있어서, 상기 단계 (b)는 (b-1) 상기 오차 함수 S의 값이 증가하는 확률 Q를 정(+)으로 유지하면서, 상기 파라미터군 P를 갱신하면서, 상기 오차 함수 S의 값을 반복하여 구하고, 오차 함수 S의 값이 소정 횟수로 감소하면 정지하는 단계를 포함한다.According to the present invention, (a) the characteristic quantity group g each consisting of at least one characteristic quantity corresponding to each of a plurality of v i (i = 1, 2, ..., m) of the external factor group consisting of at least one external factor The physical properties of i are obtained, and each of the calculated values corresponding to each of the characteristic quantities g s (s represents any one value adopted by i) corresponds to the external factor group v s and a plurality of parameters. Applying a physical property model provided as a function f (v s , P) of the parameter group P consisting of (b) each of the characteristic quantities g s and the corresponding function f (v s , P) Extracting the parameter group P that provides a minimum for the error function S that sums a square of a difference multiplied by a weight function w s over a plurality of external factor groups; In the extraction method, the step ( b) (b-1) repeatedly calculating the value of the error function S while updating the parameter group P while keeping the probability Q at which the value of the error function S increases is positive (+), and the error function S And stopping when the value of is decreased a predetermined number of times.

본 발명에 따르면, 물성 모델의 파라미터 추출 방법에 있어서, 상기 단계 (b)는 (b-2) 상기 단계 (b-1)에서 마지막으로 갱신된 상기 파라미터군 P를 초기치로 하여, 상기 오차 함수 S가 단조 감소하는 방향으로만 상기 파라미터군 P를 갱신하는 단계를 더욱 포함한다.According to the present invention, in the parameter extraction method of the physical property model, the step (b) is (b-2) the error function S with the parameter group P last updated in the step (b-1) as an initial value. Updating the parameter group P only in a monotonically decreasing direction.

본 발명에 따르면, 물성 모델의 파라미터 추출 방법에 있어서, 상기 확률 Q는, 상기 파라미터군 P가 갱신될 때마다 단조롭게 변동하는 소정량에 기초하여 구해지고, 상기 소정량은 상기 파라미터군 P가 갱신될 때마다 상기 확률 Q를 감소하는 경향에 기여하고, 상기 단계 (b-1)'는, 상기 소정량이 소정의 값에 도달함에 따라서도 정지한다.According to the present invention, in the parameter extraction method of the physical property model, the probability Q is obtained based on a predetermined amount monotonously varying each time the parameter group P is updated, and the predetermined amount is updated by the parameter group P. Each time contributes to the tendency to decrease the probability Q, and the step (b-1) 'stops as the predetermined amount reaches a predetermined value.

본 발명에 따르면, 물성 모델의 파라미터 추출 방법을 단독으로, 혹은 미리 컴퓨터에 구비된 프로그램과 함께, 상기 컴퓨터에 실행시키는 프로그램이 기록된 컴퓨터 판독 가능한 기록 매체이다.According to the present invention, a computer-readable recording medium in which a program to be executed by the computer is recorded, either alone or in addition to a program provided in the computer in advance.

본 발명에 따르면, 물성 모델의 파라미터 추출 방법을 이용한 디바이스 모델링을 채용하는 특성 시뮬레이션과, 상기 특성 시뮬레이션에 기초하는 물리적 프로세스를 실행하여 비선형 소자를 제작하는, 비선형 소자의 제조 방법이다.According to the present invention, there is provided a method of manufacturing a non-linear device, in which a characteristic simulation employing device modeling using a parameter extraction method of a physical property model and a non-linear device are produced by performing a physical process based on the property simulation.

도 1은 본 발명에 따른 LSI 장치의 제조 공정의 개략을 예시하는 플로우차트.1 is a flowchart illustrating an outline of a manufacturing process of an LSI device according to the present invention.

도 2는 본 발명에 따른 파라미터 추출 장치의 동작을 나타내는 플로우차트.2 is a flowchart showing the operation of the parameter extraction apparatus according to the present invention;

도 3은 본 발명의 실시예의 처리를 분해하여 나타내는 플로우차트.3 is a flowchart showing the decomposition of the process of the embodiment of the present invention.

도 4는 뉴튼법계 해법을 나타내는 플로우차트.4 is a flowchart showing a Newton method solution.

〈도면의 주요 부분에 대한 부호의 설명〉<Explanation of symbols for main parts of drawing>

1 : 회로 시뮬레이터1: circuit simulator

903 : 회로 설계 공정903: Circuit Design Process

A. 기본적인 사상A. Basic Thoughts

실시예의 상세한 설명을 행하기 전에, 본 발명의 기본적인 사상에 대해 설명한다. LSI의 설계 공정의 일부인 회로 시뮬레이션에 포함되는 디바이스 모델링을 예로 들어 설명된 바와 같이, 종래부터 물성 모델을 설정하는 수법이 존재한다. 이 물성 모델은, 적어도 하나의 외부 요인으로 이루어지는 외부 요인군 vi(i는 외부 요인군의 변동을 나타내고, 여기서는 i=1, 2, 3, …, m으로 한다)와, 이들에 대하여 얻어지는 적어도 하나의 특성량으로 이루어지는 특성량군 gi가 비선형의 관계에 있는 비선형 소자의 물성을 구함으로써 설정된다. 그리고 이 물성 모델은, 복수의 파라미터로 이루어지는 파라미터군 P 및 외부 요인군 vi의 함수 f(vi, P)로서 설정된다. 그리고 실측되는 특성량군 gs(s는 i가 채용하는 임의의 하나의 값을 나타낸다)와, 계산되는 함수 f(vs, p)의 값의 차에 무게 함수 ws를 곱한 값의 평방을, 외부 요인군의 변동 i에 걸쳐 총합하여, 오차 함수 S가 구해진다. 그리고 이 오차 함수 S의 값이 최소가 되는 파라미터의 조합을 구함으로써 파라미터의 추출을 행한다.Before giving a detailed description of the embodiments, the basic idea of the present invention will be described. As described by taking device modeling included in a circuit simulation that is part of the design process of the LSI as an example, there is conventionally a method of setting a physical property model. This physical property model includes an external factor group v i (i represents a change in the external factor group, where i = 1, 2, 3, ..., m), which is composed of at least one external factor, and at least obtained for them. The characteristic quantity group g i which consists of one characteristic quantity is set by obtaining the physical property of the nonlinear element which has a nonlinear relationship. This physical property model is set as a function f (v i , P) of the parameter group P composed of a plurality of parameters and the external factor group v i . And the square of the value of the characteristic quantity group g s (s represents any one value adopted by i) and the value of the calculated function f (v s , p) multiplied by the weight function w s The total over the variation i of the external factor group, and the error function S is obtained. The parameter is extracted by finding a combination of parameters whose minimum value of the error function S is the minimum.

예를 들면 비선형 소자로서 MIS 트랜지스터를 예를 들면, 게이트 길이가 1㎛정도보다도 큰 경우에는, 물성 모델로서 Frohman-Bentchkowsky 모델을 열거할 수 있다. 외부 요인으로서는 동작 온도 τ, 소스 전극에 대한 게이트 전극의 전위 (게이트 전압 Vgs) 및 드레인 전극의 전위 (드레인 전압 Vds)를, 특성량으로서는 소스 전극과 드레인 전극 사이에 흐르는 전류 (드레인 전류 Ids)를, 각각 열거할 수 있다. 그리고 파라미터로서는, 예를 들면 임계치 전압 Vth및 후술하는 계수β를 예로 들 수 있다. 이와 같이, 외부 요인군을 구성하는 외부 요인의 갯수와, 특성량군을 구성하는 특성량의 갯수와, 파라미터군을 구성하는 파라미터의 갯수는, 일반적으로는 일치하지 않는다.For example, when a MIS transistor is used as the nonlinear element, for example, when the gate length is larger than about 1 µm, the Frohman-Bentchkowsky model can be listed as the physical property model. As an external factor, the operating temperature τ, the potential of the gate electrode (gate voltage V gs ) to the source electrode, and the potential of the drain electrode (drain voltage V ds ) are used as characteristics, and the current flowing between the source electrode and the drain electrode (drain current I ds ) can be enumerated respectively. And as a parameter, the threshold voltage Vth and the coefficient (beta) mentioned later are mentioned, for example. In this way, the number of external factors constituting the external factor group, the number of characteristic quantities constituting the characteristic quantity group, and the number of parameters constituting the parameter group generally do not coincide.

오차 함수 S의 값을 최소로 하는 파라미터군 P를 구하기 위해서, 파라미터군 P의 값을 갱신하면서, 오차 함수 S의 값을 반복하여 구한다. 이 때, 제1의 해를 구하는 단계에 있어서는 오차 함수 S의 값이 증가하는 확률 Q를 정(+)으로 유지하면서, 파라미터군 P의 값을 갱신한다. 이러한 조건부의 갱신을 위해, 상술된 바와 같이 난수의 발생이 이용된다. 이와 같이, 오차 함수 S의 값의 최소치를 지향한 파라미터군 P의 값의 갱신에 있어서, 오차 함수 S의 값의 증가하는 확률 Q를 정(+)으로 유지함으로써, 오차 함수가 국소적인 해법으로 빠지는 것을 회피할 수 있다.In order to find the parameter group P which minimizes the value of the error function S, the value of the error function S is repeatedly obtained while updating the value of the parameter group P. At this time, in the step of obtaining the first solution, the value of the parameter group P is updated while maintaining the probability Q at which the value of the error function S increases is positive (+). For updating such predicates, the generation of random numbers is used as described above. As described above, in updating the value of the parameter group P aimed at the minimum value of the error function S, the error probability falls into a local solution by maintaining the positive probability Q of the value of the error function S positive. Can be avoided.

그러나, 이러한 전역적인 검색 알고리즘인 제1의 해를 구하는 단계만으로는,참된 해로 도달하기 위해 필요한 계산 부하는 방대해진다. 그 때문에, 제1의 해를 구하는 단계의 실행 후에, 오차 함수 S가 단조 감소하는 방향으로만 파라미터군 P를 갱신하는 제2의 해를 구하는 단계를 채용한다.However, only by calculating the first solution, which is such a global search algorithm, the computational load necessary to reach the true solution is enormous. Therefore, after the execution of the step for finding the first solution, the step for finding a second solution for updating the parameter group P only in the direction in which the error function S monotonically decreases is adopted.

제1의 해를 구하는 단계만으로는 국소적인 해법으로 빠질 가능성은 적지만 계산 시간이 많이 걸리고, 제2의 해를 구하는 단계만으로는 계산 시간이 짧게 걸리지만 국소적인 해법으로 빠질 가능성이 있다. 따라서, 제1의 해를 구하는 단계로부터 제2의 해를 구하는 단계로 전환하는 조건을 적절하게 설정하는 것은, 파라미터 추출에 있어서 정밀도 향상과 계산 시간의 단축에 있어서 중요한 점이다.The step of finding the first solution is less likely to fall into the local solution, but it takes a lot of calculation time, and the step of obtaining the second solution takes a short time to calculate, but may fall into the local solution. Therefore, appropriately setting the conditions for switching from the first solution finding step to the second solution finding step is important for improving accuracy and shortening the calculation time in parameter extraction.

제1 전환 조건으로서는, 제1의 해를 구하는 단계에 있어서 파라미터군 P의 값을 갱신함에 따라서, 오차 함수 S의 값이 소정 횟수로 감소하는 것을 들 수 있다. 오차 함수 S의 값이 증가하는 확률 Q를 정(+)으로 유지하고 있음에도 불구하고 오차 함수 S의 값이 감소하는 경향에 있다는 것은, 파라미터군 P의 갱신이 참된 해의 근방에서 행해지고 있다고 판단할 수 있기 때문이다. 따라서, 제1 전환 조건을 이용하여 제1의 해를 구하는 단계를 종료한다고 하는 기술도 파라미터 추출에 있어서도 효과적이다.As the first switching condition, the value of the error function S decreases by a predetermined number of times as the value of the parameter group P is updated in the step of obtaining the first solution. The fact that the value of the error function S tends to decrease even though the probability Q of increasing the value of the error function S is kept positive (+) can be judged that the updating of the parameter group P is performed near the true solution. Because there is. Therefore, the technique of ending the step of obtaining the first solution using the first switching condition is also effective in parameter extraction.

제2 전환 조건으로서는, 오차 함수 S의 값이 증가하는 확률 Q가 낮아지는 것을 예를 들 수 있다. 오차 함수 S의 값이 감소하는 방향에서 파라미터가 탐색될 확률이 커지기 때문에, 제2의 해를 구하는 단계를 이용하는 쪽이 계산량을 억제할 수 있기 때문이다. 예를 들면 확률 Q가 파라미터군 P가 갱신될 때마다 단조롭게 변동되는 소정량을 인자로서 갖고 있고, 이 소정량은 파라미터군 P가 갱신될 때마다 확률 Q을 감소하는 경향에 기여하기 위해 설정한다. 그리고 소정량이 소정의 값에 도달함에 따라 제1의 해를 구하는 단계를 정지시킨다.As a 2nd switching condition, the probability Q which the value of the error function S increases becomes low, for example is mentioned. This is because the probability that the parameter is searched in the direction in which the value of the error function S decreases increases, so that the use of the step for obtaining the second solution can suppress the calculation amount. For example, the probability Q has a predetermined amount which monotonously fluctuates every time the parameter group P is updated, and this predetermined amount is set to contribute to the tendency to decrease the probability Q each time the parameter group P is updated. And as the predetermined amount reaches a predetermined value, the step of obtaining the first solution is stopped.

본 발명은, 상술된 바와 같이 파라미터를 갖는 함수로 설정되는 물성 모델이 채용되는 기술이면, 반도체 분야에 한정되지 않고서, 다른 분야, 예를 들면 전기, 기계, 화학의 분야에 있어서도 적용할 수 있다. 이하에서는 반도체의 제조 방법의 분야를 예로 들어 설명한다.The present invention can be applied not only to the semiconductor field but also to other fields, for example, the fields of electricity, mechanical and chemistry, as long as the technology employs a physical property model set as a function having parameters as described above. Hereinafter, the field of the semiconductor manufacturing method will be described as an example.

또, 비선형 회로를 구분 선형 회로로 변환하고, 구분 간격을 축차적으로 작게 하여 광역 수속성을 갖는 알고리즘과, 뉴튼법을 전환하여 이용하는 시뮬레이션이 예를 들면 특개평7-295959호 공보에 개재되어 있다.In addition, Japanese Patent Application Laid-Open No. 7-295959 discloses an algorithm that converts a nonlinear circuit into a divisional linear circuit, gradually reduces the division interval, and has wide area convergence, and a simulation using the Newton method.

B. 반도체의 제조 방법에의 적용:B. Application to Manufacturing Method of Semiconductor:

b1) 반도체의 제조 방법의 개관b1) overview of the method of manufacturing a semiconductor

도 1은 본 발명이 적용 가능한 LSI 장치의 제조 공정의 개략을 예시하는 플로우차트이다. 제조 공정은 설계 공정군(90)과, 물리적 프로세스인 반도체 프로세스 공정(905)으로 대별된다. 설계 공정군(90)은 기능 설계 공정(901), 논리 설계 공정(902), 회로 설계 공정(903), 레이아웃 설계 공정(904)으로 대별된다. 반도체프로세스 공정(905)은 설계 공정군(90)으로부터 얻어진 정보에 기초하여 반도체 프로세스를 수행하고, LSI 장치(300)가 얻어진다.1 is a flowchart illustrating an outline of a manufacturing process of an LSI apparatus to which the present invention is applicable. The manufacturing process is roughly divided into a design process group 90 and a semiconductor process process 905 which is a physical process. The design process group 90 is roughly divided into a functional design process 901, a logic design process 902, a circuit design process 903, and a layout design process 904. The semiconductor process process 905 performs a semiconductor process based on the information obtained from the design process group 90, and the LSI device 300 is obtained.

회로 설계 공정(903)은 회로 시뮬레이터(1), 파라미터 추출 장치(3)를 채용하여 실행된다. 그 밖에도 예를 들면 타이밍 시뮬레이터(201)를 채용하는 경우가 있다. 회로 시뮬레이터(1)는 회로 시뮬레이션을 행하는 주체이고, 거기서 이용하는 파라미터를 공급하는 파라미터 추출 장치(3)에는 제조된 LSI 장치(300)에 대한 실측치나, 디바이스 시뮬레이터(202)로부터의 시뮬레이션 결과가 입력된다. 파라미터 추출 장치(3)로 결정된 파라미터가 저장되는 파라미터 데이터 베이스(2)도, 회로 설계 공정(903)에 있어서 채용되어 있어도 좋다. 도 1에 있어서는 회로 설계 공정(903)에 있어서 회로 시뮬레이터(1), 파라미터 데이터 베이스(2), 파라미터 추출 장치(3), 타이밍 시뮬레이터(201)가 채용되는 형태를, 회로 설계 공정(903)을 나타내는 블록으로 둘러싸 나타내고 있다.The circuit design process 903 is executed by employing the circuit simulator 1 and the parameter extraction device 3. In addition, for example, the timing simulator 201 may be employed. The circuit simulator 1 is a main body that performs circuit simulation, and the measured value of the manufactured LSI device 300 and the simulation result from the device simulator 202 are input to the parameter extraction apparatus 3 which supplies the parameter used therein. . The parameter database 2 in which the parameters determined by the parameter extraction device 3 are stored may also be employed in the circuit design process 903. In FIG. 1, the circuit design process 903 employs the circuit simulator 1, the parameter database 2, the parameter extraction apparatus 3, and the timing simulator 201 in the circuit design process 903. It is enclosed by the block shown.

또, 도 1은 모식적으로 도시되어 있고, 설계 공정군(90)이 갖는 각 공정(901 내지 904)이 독립하여 존재할 필요는 없고, 파라미터 추출 장치(3)는 하드웨어로서 개별로 실현될 필요도 없다. 예를 들면 설계 공정군(90)의 전체가, 소정의 프로그램에 기초하여 동작하는 단체의 계산기로 실현되어도 좋다. 물론 파라미터 추출 장치(3)의 처리를 실행시키기 위한 전용 소프트웨어를 이용하여도 좋고, 종래부터 존재하는, 설계 공정군(90)의 전체를 동작시키기 위한 소프트웨어에 대한 패치 프로그램에 의해서, 파라미터 추출 장치(3)의 처리를 실행시켜도 좋다. 이들 프로그램은 컴퓨터 판독 가능한 기록 매체에 기록시킬 수 있다.1 is schematically shown, and each process 901 to 904 of the design process group 90 does not need to exist independently, and the parameter extraction apparatus 3 needs to be realized separately as hardware. none. For example, the whole design process group 90 may be implement | achieved with the single calculator which operates based on a predetermined program. As a matter of course, dedicated software for executing the processing of the parameter extraction device 3 may be used, and a parameter extraction device (for example, by a patch program for software for operating the entire design process group 90), which is conventionally present, The process of 3) may be executed. These programs can be recorded on a computer readable recording medium.

도 2는 본 발명에 따른 파라미터 추출 장치(3)의 동작을 나타내는 플로우차트이다. 우선, 파라미터 애널라이저, LCR 미터 등의 측정기를 이용하여 측정된 실측치가 초기치 결정부(11)에 입력된다. 이들 측정기는 자동 제어에 따라 동작하는 것이 바람직하다. 혹은 디바이스시뮬레이터(202)로부터 얻어진 디바이스 시뮬레이션 결과가 입력되어도 좋다.2 is a flowchart showing the operation of the parameter extraction device 3 according to the present invention. First, the measured value measured using a measuring instrument, such as a parameter analyzer and an LCR meter, is input into the initial value determination part 11. These meters are preferably operated under automatic control. Alternatively, the device simulation result obtained from the device simulator 202 may be input.

디바이스 모델링에 있어서의 파라미터를 결정하기 때문에, 통상은 반복 계산이 행해진다. 이 반복 계산을 효율적으로 행하기 위해서, 초기치 결정부(11)에 있어서 파라미터의 초기치를 결정한다. 이 초기치를 적절하게 선정하는 것이, 최종적으로 얻어지는 파라미터의 정밀도에 크게 관여한다. 그 때문에, 초기치 결정부(11)에서는 디바이스의 동작 영역이나 형상을 한정한 간단한 모델을 채용하여, 양적(陽的)으로, 따라서 반복 계산을 필요로 하지 않고 파라미터 중의 몇개인가의 초기치를 결정한다.Since parameters in device modeling are determined, iterative calculation is usually performed. In order to perform this iteration efficiently, the initial value determination part 11 determines the initial value of a parameter. Properly selecting this initial value is largely concerned with the precision of the finally obtained parameter. Therefore, the initial value determining unit 11 adopts a simple model that defines the operating area and the shape of the device, and determines the initial value of some of the parameters in a quantitative manner, thus eliminating the need for iterative calculation.

예를 들면 MIS 트랜지스터의 동작에 있어서, 드레인 전압 Vds가 작은 선형 영역에서의 드레인 전류 Ids는, 거의 β(Vgs-Vth-Vds/2) Vds로 얻어진다. 여기서 계수β는 단위 면적당 게이트 절연막 용량 Cox와, 캐리어의 이동도 μ와, 채널 폭 W의 곱을, 채널 길이 L로 뺀 값이다 (β= CoxμW/L). 이러한 모델에 기초하여, 드레인 전류 Ids의 게이트 전압 Vgs에 대한 의존성을 실측치로부터 구하고, 외삽 및 기울기를 계산하여 각각 임계치 전압 Vth및 계수 β가 구해진다.For example, in the operation of the MIS transistor, the drain current I ds in the linear region where the drain voltage V ds is small is almost obtained with β (V gs -V th -V ds / 2) V ds . Here, the coefficient β is a value obtained by subtracting the product of the gate insulating film capacitance C ox per unit area, the carrier mobility μ and the channel width W by the channel length L (β = C ox μW / L). Based on this model, the dependence of the drain current I ds on the gate voltage V gs is obtained from the measured value, the extrapolation and the slope are calculated to obtain the threshold voltage V th and the coefficient β, respectively.

이와 같이 정해진 몇개인가의 파라미터의 초기치가, 실측치 혹은 디바이스 시뮬레이터 결과와 함께 파라미터 최적화부(12)로 제공되어, 파라미터가 결정된다. 파라미터 최적화부(12)의 동작의 상세에 대해서는 후술한다.The initial values of some parameters determined as described above are provided to the parameter optimizer 12 together with the measured values or the device simulator results to determine the parameters. Details of the operation of the parameter optimizer 12 will be described later.

파라미터 최적화부(12)로부터 얻어진 파라미터를 이용하여 계산된 디바이스 특성은, 실측치로부터 얻어진 디바이스 특성, 예를 들면 드레인 전류 Ids의 드레인 전압 Vds에 대한 의존성과 표시 장치(13) 상에서 중첩시켜 표시되고, 결정된 파라미터의 정밀도를 시각적으로 확인한다. 도 2에 있어서는 드레인 전류 Ids의 드레인 전압 Vds에 대한 의존성이 여러가지의 게이트 전압 V1, V2, V3에 대하여 플로트된 경우가 예시되어 있다.The device characteristics calculated using the parameters obtained from the parameter optimizer 12 are displayed by overlapping on the display device 13 with the device characteristics obtained from the measured values, for example, the dependence on the drain voltage V ds of the drain current I ds . Visually confirm the precision of the determined parameters. In FIG. 2, the case where the dependence of the drain current I ds on the drain voltage V ds is floated with respect to various gate voltages V 1 , V 2 , and V 3 is illustrated.

그리고 파라미터의 정밀도를 만족할 수 있는 것이 확인되면, 재이용 가능하도록 파라미터 데이터 베이스(2)로 파라미터가 저장된다.When it is confirmed that the accuracy of the parameter can be satisfied, the parameter is stored in the parameter database 2 so that it can be reused.

또, 도 2는 모식적으로 나타나 있고, 각 부(11∼13)가 독립적으로 존재할 필요는 없다. 예를 들면 파라미터 추출 장치(3)의 전체가, 소정의 프로그램에 기초하여 동작하는 단체의 계산기로 실현되어도 좋다. 물론 파라미터 최적화부(12)의 처리를 실행시키기 위한 전용 소프트웨어를 이용하여도 좋고, 종래부터 존재하는, 설계 공정군(90)의 전체를 동작시키기 위한 소프트웨어에 대한 패치 프로그램에 의해서 파라미터 최적화부(12)의 처리를 실행시켜도 좋다. 이들 프로그램은 컴퓨터 판독 가능한 기록 매체에 기록시킬 수 있다.In addition, FIG. 2 is shown typically and it is not necessary for each part 11-13 to exist independently. For example, the entirety of the parameter extraction device 3 may be realized by a single calculator that operates based on a predetermined program. Of course, a dedicated software for executing the processing of the parameter optimization unit 12 may be used, and the parameter optimization unit 12 may be used by a patch program for software for operating the entire design process group 90 that has existed conventionally. May be executed. These programs can be recorded on a computer readable recording medium.

b2) 파라미터 추출의 개관b2) Overview of parameter extraction

도 3은 파라미터 최적화부(12)의 처리를 분해하여 나타내는 플로우차트이다. 우선 단계 121에 있어서 열거적 수법(combinatorial optimization method)을 이용하여 파라미터 검색이 실행된다. 여기서 말하는 열거적 수법은, A절에서 설명된 제1의 해를 구하는 단계이다. 그리고 해법 전환 단계 122를 통해 단계 123으로 진행하여, 뉴튼법계 해법을 이용한 파라미터 검색이 실행된다. 여기서 말하는 뉴튼법계 해법은, A절에서 설명된 제2의 해를 구하는 단계이다.3 is a flowchart showing the decomposition of the processing of the parameter optimizer 12. First, in step 121, a parameter search is performed using a combinatorial optimization method. The enumerated technique here is to find the first solution described in section A. The process proceeds to step 123 via the solution switching step 122, where a parameter search using the Newtonian method is executed. The Newtonian solution here refers to the step of finding the second solution described in section A.

단계 122는 단계 121에서 탐색된 파라미터가 단계 123을 실행할 때의 초기치로서 적당하지 않다고 판단되면 단계 121의 처리로 되돌아가고, 적당하다고 판단되면 단계 123에서 처리를 진행시킨다. 단계 122는 상술의 제1 및 제2 전환 조건의 각각에 대응하는 단계 122b, 122a를 포함하고 있다.Step 122 returns to the process of step 121 if it is determined that the parameter found in step 121 is not an initial value when executing step 123, and proceeds to step 123 if it is determined appropriate. Step 122 includes steps 122b and 122a corresponding to each of the first and second switching conditions described above.

비선형 소자, 예를 들면 MIS 트랜지스터의 오차 함수 S는 수학식 1로 나타낸다.The error function S of a nonlinear device, for example a MIS transistor, is represented by equation (1).

외부 요인군 v1, v2, v3, …, vm은, 각각이 u(≥1)개의 외부 요인을 갖고 있다. A절에서 나타낸 바와 같이, 예를 들면 u=3으로 하고, 외부 요인으로서 동작 온도 τ, 게이트 전압 Vgs, 드레인 전압 Vds를 채용할 수 있다. 특성량 gi는 외부 요인군 vi가 제공된 경우에 비선형 소자가 나타내는 물리량이다. A절에서 나타낸바와 같이, 예를 들면 드레인 전류 Ids를 채용할 수 있고, 이 경우에는 특성량치군을 구성하는 특성량의 갯수는 1로서, 오차 함수 S는 스칼라량이 된다. 또, 특성량은 실측치로서가 아니라, 디바이스 시뮬레이션의 결과를 이용하여도 좋다. 그 경우, 일반적으로 디바이스시뮬레이션으로 디바이스를 근사한 함수를 g로 하고, 특성량군은 gi= g(vi)로 표현하는 것도 가능하다.External factor groups v 1 , v 2 , v 3 ,. , v m each has u (≧ 1) external factors. As shown in section A, for example, u = 3, and an operating factor τ, a gate voltage V gs , and a drain voltage V ds can be adopted as external factors. The characteristic quantity g i is the physical quantity represented by the nonlinear element when the external factor group v i is provided. As shown in section A, for example, the drain current I ds can be employed. In this case, the number of characteristic quantities constituting the characteristic quantity group is 1, and the error function S is a scalar quantity. In addition, the characteristic quantity may be used as a result of device simulation, not as an actual measurement value. In that case, a function approximating a device is generally expressed by device simulation, and the characteristic quantity group can be expressed by g i = g (v i ).

파라미터군 P는 n(≥2)개의 파라미터 p1, p2, p3, …, pn으로 구성된다. A 절에서 나타낸 바와 같이, 예를 들면 n=2로 하고, 파라미터로서 임계치 전압 Vth및 계수 β를 채용할 수가 있다. 단, 단계 121에서는, 이미 초기치 결정부(11)에 있어서 양적으로 구해진 파라미터도 초기치로서 채용된다. b1)절에서 나타낸 예에서는, 임계치 전압 Vth와 계수 β 중 어느 하나가 초기치로서 양적으로 구해지고 있다.The parameter group P is composed of n (≥2) parameters p 1 , p 2 , p 3 ,. , p n . As shown in section A, for example, n = 2, and the threshold voltage V th and the coefficient β can be adopted as parameters. However, in step 121, the parameters already quantitatively obtained by the initial value determining unit 11 are also employed as initial values. In the example shown in section b1), either the threshold voltage V th or the coefficient β is quantitatively determined as an initial value.

무게 함수 wi는 다른 외부 요인군 vi의 각각에 대응하여 설정된다. 특별한 경우로서, 무게 함수 wi는 외부 요인군 vi중 어느 하나에 대해서도 항상적으로 1로 설정되고, 오차 함수 S가 절대 오차로서 규정된다. 또한 wi= 1/gi로 설정되면, 오차 함수 S가 상대 오차로서 규정된다.The weight function w i is set corresponding to each of the other external factor groups v i . As a special case, the weight function w i is always set to 1 for any of the external factor groups v i , and the error function S is defined as an absolute error. If w i = 1 / g i , the error function S is defined as the relative error.

오차 함수 S를 최소화, 혹은 소정 오차 내에서 0으로 하는 파라미터군 P를 구하는 것이, 파라미터 추출이라고 하는 처리이다. 그리고 파라미터군 P를 소정의 규칙으로 갱신하면서 오차 함수 S의 값을 작게 하여 감으로써, 파라미터 추출이 진행된다.The process of parameter extraction is the process of obtaining the parameter group P which minimizes the error function S or sets it to zero within a predetermined error. The parameter extraction proceeds by decreasing the value of the error function S while updating the parameter group P with a predetermined rule.

도 3에 있어서 단계 121, 122, 123으로서 기재된 공정을 일체로 하여 처리시키기 위한 프로그램에 의해서, 컴퓨터에 대하여 실행시키는 것도 가능하다. 혹은 하드웨어를 이용하여 단계 121, 122, 123으로서 기재된 공정을 일체로서 실행하여도 좋다. 또한, 각 단계 121, 122, 123을 독립하여 실행시키는 프로그램에 의해서, 컴퓨터에 대하여 실행시키는 것도 가능하다.In FIG. 3, it is also possible to execute a computer by a program for integrally processing the processes described as steps 121, 122, and 123. In FIG. Alternatively, the processes described as steps 121, 122, and 123 may be integrally executed using hardware. In addition, it is also possible to execute it on a computer by a program which executes steps 121, 122, and 123 independently.

혹은 단계 121, 122, 123으로서 기재된 공정을 각각 별개로 실행하는 하드웨어를 이용하여 파라미터 최적화부(12)를 구성하여도 좋다. 이 경우에는, 단계 121, 122, 123에 각각 상당하는 기능을 완수하는 하드웨어로서 열거적 수법 실행부, 처리 전환 판단부, 뉴튼법계 해법부를 이용하여, 파라미터 최적화부(12)를 구성하게 된다. 그리고 도 3의 플로우차트는, 각각의 단계에 개시된 기능을 완수하는 블록의 결합 관계를 나타내는 블록도로서 재판독하게 된다.Alternatively, the parameter optimization unit 12 may be configured by using hardware which individually executes the processes described as steps 121, 122, and 123, respectively. In this case, the parameter optimization unit 12 is configured by using an enumerated method execution unit, a process switching determination unit, and a Newton method system solving unit as hardware for completing functions corresponding to steps 121, 122, and 123, respectively. The flowchart of FIG. 3 is read again as a block diagram showing a coupling relationship of blocks that complete the function disclosed in each step.

b3) 열거적 수법.b3) enumeration.

열거적 수법의 예로서, Simulated Annealing이라 불리는 수법이나, Simulated Diffusion이라 불리는 수법 (이하 각각「SA법」, 「SD법」이라 가칭한다)이 이미 알려져 있다. 예를 들면 SA법을 반도체 소자에 적용한 예로서는 “Modeling of Microwave Semiconductor Devices Using Simulated Annealing Optimization" (Man-Kuan Vai, et al., IEEE Trans. Electron Devices, Vol.ED-36, No4, pp761-762, Apr.1989, 이하「문헌1」로 한다)가 있어, SD법을 반도체 소자에 적용한 예로서는 “Fast Simulated Diffusion: An Optimization Algorithm forMultiminimum Problems and Its Application to MOSFET Model Parameter Extraction" (T. Sakurai, et al., IEEE Trans. computer-Aided Design, Vol.CAD-11, No2, pp228-233, Feb.1992, 이하「문헌 2」로 한다)가 있다.As an example of the enumerated method, a method called Simulated Annealing and a method called Simulated Diffusion (hereinafter, referred to as "SA method" and "SD method", respectively) are already known. For example, an example of applying the SA method to a semiconductor device is referred to as “Modeling of Microwave Semiconductor Devices Using Simulated Annealing Optimization” (Man-Kuan Vai, et al., IEEE Trans. Electron Devices, Vol. ED-36, No4, pp761-762, Apr.1989, hereinafter referred to as "Document 1", and an example in which the SD method is applied to a semiconductor device is described as "Fast Simulated Diffusion: An Optimization Algorithm for Multiminimum Problems and Its Application to MOSFET Model Parameter Extraction" (T. Sakurai, et al. , IEEE Trans.computer-Aided Design, Vol. CAD-11, No2, pp228-233, Feb. 1992, hereinafter referred to as "Document 2".

SA법, SD법에서는 소정의 갱신량이 제공된 파라미터를 이용하여 오차 함수 S를 구하고, 오차 함수 S가 증대하는 경우에는 확률 Q에서 상기 갱신량이 제공된 파라미터를, 갱신된 파라미터로서 채용한다. 예를 들면 문헌 1에서는, 갱신의 기초량 V0과 난수 R(0≤R≤1)를 이용하여, 파라미터의 갱신에 제공되는 갱신량으로서 ΔV= RV0을 채용하고 있다. 그리고 ΔV만큼 증가한 파라미터가 소정의 범위를 넘지 않는 한, 갱신된 파라미터로서 다음의 계산에 채용된다. 또한 문헌 2에서는, 오차 함수 S의 경사에 비례한 갱신량이 파라미터에 가산되고, 이 가산된 파라미터에 의해서 얻어진 오차 함수 S의 값이 커지면, 확률 Q를 따라 그 파라미터를 갱신된 파라미터로서 채용한다. 구체적으로는 오차 함수 S의 값을 증대시킨 파라미터가 얻어진 경우에는 난수 R을 발생시키고, 이것이 확률 Q 이하인 것을 조건으로 하여 그 파라미터를 갱신된 파라미터로서 채용한다. 반대로, 얻어진 오차 함수 S의 값이 작아지면 그 파라미터를 갱신된 파라미터로서 채용한다.In the SA method and the SD method, an error function S is obtained using a parameter provided with a predetermined update amount, and when the error function S increases, the parameter provided with the update amount in probability Q is employed as the updated parameter. For example, in Document 1, by using the base amount V 0 and the random number R (0≤R≤1) the update, and the update amount as provided in the update of the parameters adopted ΔV = 0 RV. As long as the parameter increased by [Delta] V does not exceed a predetermined range, it is employed in the following calculation as an updated parameter. In addition, in Document 2, if the update amount proportional to the slope of the error function S is added to the parameter, and the value of the error function S obtained by this added parameter becomes large, the parameter is adopted as the updated parameter along the probability Q. Specifically, when a parameter obtained by increasing the value of the error function S is obtained, a random number R is generated, and the parameter is adopted as an updated parameter provided that it is equal to or less than the probability Q. On the contrary, when the value of the obtained error function S becomes small, the parameter is adopted as the updated parameter.

SA법, SD법 중 어디에도 소위 메트로폴리스법이 채용된다. 메트로폴리스법은 예를 들면 “Simulated Annealing Algorithm: An Overview" (Rob A. Rutenbar, IEEE Circuits and Devices Magazine, Jan.,1989, PP19-25, 이하「문헌 3」으로 한다)에 소개되어 있다. 이것을 SA법, SD법에 의거한다고 하면, 오차 함수 S가 증가량 δS(>0)만큼 증가하는 확률 Q를, exp(-δS/T)로서 구하고, 0<Q≤1이 성립한다.The so-called Metropolis method is adopted in either the SA method or the SD method. The Metropolis method is introduced, for example, in "Simulated Annealing Algorithm: An Overview" (Rob A. Rutenbar, IEEE Circuits and Devices Magazine, Jan., 1989, PP19-25, hereafter referred to as Document 3). Based on the method and the SD method, the probability Q at which the error function S increases by the increase amount δS (> 0) is calculated as exp (−δS / T), and 0 <Q ≦ 1 is established.

여기서 제수 T는 파라미터를 갱신하여 반복 계산을 행할 때에 감소하여 갱신되는 소정량이다. 예를 들면 문헌 1에서는 임의 온도(pseudo-temperature)라 불리며, 그 초기치는 500 이상으로 설정되고, 반복 계산 시에 90%의 값으로 갱신된다.Here, the divisor T is a predetermined amount that decreases and is updated when the parameter is updated to perform the iterative calculation. For example, in Document 1, it is called pseudo-temperature, and the initial value is set to 500 or more, and is updated to a value of 90% at the time of repetitive calculation.

SA법, SD법에 있어서 수속 판정 조건으로서는, 예를 들면 파라미터의 크기개소정 범위 내에 있는지의 여부가, 난수의 발생 횟수가 미리 정해진 상한에 도달했는지의 여부가 채용된다. 혹은 임의 온도 T가 충분히 냉각되었는지의 여부가 채용된다.As the procedure determination condition in the SA method and the SD method, for example, whether or not the parameter is within the size-determined range and whether or not the number of occurrences of the random number has reached a predetermined upper limit is adopted. Or whether the arbitrary temperature T is sufficiently cooled.

본 발명에 있어서도 제2 전환 조건으로서, 임의 온도 T가 충분히 냉각되었는지의 여부를 채용한다. 소정량 T는, 그 감소가 확률 Q의 감소에 기여하기 때문에, 이것을 확률 Q의 인자로서 도입함으로써, 제2 전환 조건을 실현할 수가 있는 것이다. 단계 122a는 이것에 대응한 판단으로, 예를 들면 임의 온도 T가, 그 초기치의 1×1O-3보다도 작아지면, 임의 온도 T가 충분히 냉각되었다고 판단되어 단계 123으로 진행하고, 그렇지 않으면 단계 122b로 진행하여 제1 전환 조건의 판정이 행해진다.Also in this invention, whether arbitrary temperature T was fully cooled as a 2nd switching condition is employ | adopted. Since the predetermined amount T contributes to the reduction of the probability Q, the second switching condition can be realized by introducing this as a factor of the probability Q. Step 122a is a judgment corresponding to this. For example, if the arbitrary temperature T is smaller than 1 × 10 −3 of the initial value, the arbitrary temperature T is judged to be sufficiently cooled, and the process proceeds to step 123, otherwise, the process proceeds to step 122b. Proceeding, determination of the first switching condition is performed.

그러나 본 발명에서는 열거적 수법의 수속 조건으로서, A절에서 나타낸 바와 같이 오차 함수 S가 소정 횟수 감소하는지의 여부로써 주로 판정한다. 보다 바람직하게는, 오차 함수 S가 소정 횟수 연속하여 감소하면, 오차 함수 S는 파라미터의 갱신을 수반한 반복 계산에 대해 단조롭게 감소한다고 판단하여 열거적 수법을 종료한다. 즉, k회째의 반복 계산으로 얻어진 오차 함수 S의 크기를 Sk로서 수학식 2로써 수속 판정 조건으로 한다.However, in the present invention, as a procedure condition of the enumerated method, the judgment is mainly made by whether or not the error function S decreases a predetermined number of times as shown in section A. More preferably, when the error function S decreases continuously for a predetermined number of times, it is determined that the error function S decreases monotonically with respect to the iterative calculation involving the updating of the parameters, and ends the enumeration technique. That is, the magnitude | size of the error function S obtained by the iteration calculation of the kth time is made into the convergence determination condition by Equation 2 as Sk.

연속하여 오차 함수 S의 값이 감소하는 횟수 t는, 예를 들면 6으로 설정된다. 이 수속 판정 조건은 단계 122b에 상당하며, 연속하는 t개의 상태 사이, 즉 파라미터군 P를 갱신하여 행해진 t회의 계산 사이에서, 오차 함수 S가 감소하면 단계 123으로 진행하고, 그렇지 않으면 단계 122로 되돌아간다. 즉, 제1 전환 조건으로 수속되었다고 판단하면 단계 123으로 진행한다.The number of times t of successively decreasing values of the error function S is set to, for example. This procedure determination condition corresponds to step 122b, which proceeds to step 123 when the error function S decreases between successive t states, that is, between t calculations performed by updating the parameter group P, and returns to step 122 otherwise. Goes. In other words, if it is determined that the procedure is performed under the first switching condition, the process proceeds to step 123.

상술된 바와 같이 제2 전환 조건의 판단에 상당하는 단계 122a를 채용하여도 되지만, 생략하여도 상관 없다.As described above, step 122a corresponding to the determination of the second switching condition may be employed, or may be omitted.

또, SD법에서는, 메트로폴리스법 외에, 브라운 운동도 파라미터의 갱신량의 일부로서 채용한다. 예를 들면 문헌 2에서는 파라미터의 갱신에 제공되는 갱신량 dx로서, 수학식 3이 개재되어 있다.In the SD method, in addition to the metropolis method, the Brownian motion is also employed as a part of the update amount of the parameter. For example, in Document 2, Equation 3 is interposed as an update amount dx provided for updating a parameter.

단 수학식 3에서는 파라미터군 P, 오차 함수 S에 상당하는 것으로서 각각 파라미터 x, 함수 f가 채용되어 있다. 우변 제1항은 드리프트항이고, 상기 제2항이 브라운 운동에 상당한다. 단 dw는 가우스의 랜덤 노이즈(Gaussian random noise)이다.In Equation (3), the parameter x and the function f are employed as corresponding to the parameter group P and the error function S, respectively. The right side claim 1 is a drift term, and the second claim corresponds to a Brownian motion. Where dw is Gaussian random noise.

b4) 뉴튼법계 해법.b4) Newtonian solution.

오차 함수 S가 최소가 되는 경우에는, 수학식 4를 만족시킬 수 있다.When the error function S becomes the minimum, Equation 4 can be satisfied.

이것은 파라미터군 P를 구성하는 파라미터 p1, p2, …, pn을 미지 변수로 하는 n차의 비선형 연립 방정식이다. 이 연립 방정식을 풀기 위해서 반복 계산이 행해져 파라미터군 P가 갱신되고, 갱신된 파라미터군 P에 기초하여 얻어지는 오차 함수 S가 단조롭게 감소하는 수법으로서, 뉴튼법이 가장 일반적인 수치 해법이다. 이 해법에 있어서는, 파라미터군 P에 대한 갱신량 ΔP는 수학식 5의 표기를 이용하여, 수학식 6으로 계산된다.This means that the parameters p 1 , p 2 ,... is a nonlinear system of equations of order n with p n as the unknown variable. In order to solve this simultaneous equation, iterative calculation is performed to update the parameter group P, and the Newton method is the most common numerical solution as the method of monotonically decreasing the error function S obtained based on the updated parameter group P. In this solution, the update amount ΔP for the parameter group P is calculated by the expression (6) using the expression of the expression (5).

단, k=1,2, …, n이고, JT는 J의 전치 행렬을 나타내고 있다. 또한「 」안에는 함수 f(v, P)의 헤시안(Hessian)을 나타내고 있다.Provided that k = 1,2,... , n, and J T represents the transpose matrix of J. In "", Hessian of the function f (v, P) is shown.

갱신량 ΔP를 구하는 계산 효율을 향상시키기 위해 여러가지의 근사 수법이 이용되고 있고, 예를 들면 Gauss-Newton법에서는 함수 f의 2층 미분 이상의 항을 무시하여,Various approximation methods are used to improve the calculation efficiency of obtaining the update amount ΔP. For example, the Gauss-Newton method ignores the terms of two or more layers of the function f,

를 채용한다. 수학식 7로부터는, QR 분해를 이용하여, 축차적으로 파라미터군 P가 구해진다.To employ. From equation (7), parameter group P is sequentially obtained using QR decomposition.

수속성을 더욱 개선하기 위해, 헤시안의 근사로서, 오차 함수 S의 크기가 작아지는 방향의 성분을 강조하기 위해 대각항을 부가하는 Levenberg-harquardt법이 제안되어 있다. 이 수법에 대해서는, 예를 들면 “General Optimization andExtraction of IC Device Model Parameters" (K. Dogains and D.L.Scharfetter, IEEE Trans. Electron Devices, Vol.ED-30, No9, pp1219-1228, Sep. 1983)에 소개되어 있다. 구체적으로는, 수학식 8에 기초하여 갱신량 ΔP를 계산한다.In order to further improve the convergence, as an approximation of Hessian, a Levenberg-harquardt method is proposed in which diagonal terms are added to emphasize components in the direction in which the magnitude of the error function S decreases. This technique is described, for example, in “General Optimization and Extraction of IC Device Model Parameters” (K. Dogains and DLScharfetter, IEEE Trans. Electron Devices, Vol. ED-30, No9, pp1219-1228, Sep. 1983). Specifically, the update amount ΔP is calculated based on the equation (8).

여기서, I는 단위 행렬이고, diag( )는, 대각 성분으로서 ( )안의 행렬의 대각 성분을 채용하고, 그 밖의 성분으로서는 0을 갖는 행렬을 나타낸다. 또한 계수 λ는 반복 계산의 개시 당초에는 크고, 예를 들면 O.1 정도로 설정되며, 해의 근방에서는 0이 되도록 설정된다.Here, I is a unit matrix, diag () employs the diagonal component of the matrix in () as a diagonal component, and shows the matrix which has zero as other components. The coefficient λ is large at the beginning of the repetition calculation, for example, is set to about 0.1, and is set to be zero in the vicinity of the solution.

도 4는 뉴튼법계 해법에 있어서 수학식 7을 이용한 경우를 나타내는 플로우차트이다. 단계 124에 있어서, 제 r회째에 파라미터군 P(r)에 대하여, 갱신량 [ATA]-1C만큼 증가시켜서, 제 (r+1)회째 에 구해지는 파라미터군 p(r+1)을 계산한다. 그리고 단계 125에 있어서 파라미터군 P(r+1)을 이용하여 오차 함수 S를 갱신한다. 그리고 단계 126에 있어서, 오차 함수 S가 충분히 작은지, 즉 소정의 오차 범위에서 0인지가 판단된다. 그리고 소정의 오차 범위 내에서 오차 함수 S가 0이면 최적화는 종료되고, 그렇지 않으면 단계 127에 있어서 횟수 r을 1 증가시킨 후, 단계 124로 되돌아간다.Fig. 4 is a flowchart showing the case where equation (7) is used in the Newton method. In step 124, the parameter group p (r + 1) obtained in the (r + 1) th time is increased by the update amount [A T A] -1 C with respect to the parameter group P (r) in the r th time. Calculate In step 125, the error function S is updated using the parameter group P (r + 1) . In step 126, it is determined whether the error function S is sufficiently small, i.e., zero in a predetermined error range. If the error function S is 0 within the predetermined error range, the optimization ends. Otherwise, in step 127, the number r is increased by one and then the process returns to step 124.

단계 126에 있어서, 갱신된 파라미터군 P(r+1)이 갱신 전의 파라미터군 P(r)과 소정의 범위 내에 들어간다고 판단하면, 그 이상 계산을 계속하더라도 파라미터군 P의 정밀도를 올릴 수 없기 때문에, 최적화는 종료한다. 소정의 범위 내에 들어가지 않으면, 단계 127을 통해 단계124로 되돌아간다.In step 126, if it is determined that the updated parameter group P (r + 1) falls within the predetermined range with the parameter group P (r) before the update, the accuracy of the parameter group P cannot be increased even if the calculation is continued further. Optimization ends. If it is not within the predetermined range, step 127 returns to step 124.

C. 변형:C. Variation:

문헌 3에서는 메트로폴리스법에 있어서 확률을 볼츠만 분포(Boltzmann distribution)의 형태로 다루는 경우를 진술하고 있지만, 문헌 2에 소개되어 있는 바와 같이 로렌츠 분포를 채용하여도 좋다. 또한, 볼츠만 분포를 급수 전개하여, 그 저차항으로부터 소정수의 항 이후를 무시한 함수를 채용하여도 좋다.Document 3 states the case in which the probability is handled in the form of the Boltzmann distribution in the Metropolis method, but the Lorentz distribution may be employed as introduced in Document 2. Further, the Boltzmann distribution may be series-developed and a function may be employed in which the predetermined number of terms after the lower order term are ignored.

본 발명에 따른 물성 모델의 파라미터 추출 방법에 의하면, 단계 (b-1)에 있어서 오차 함수 S가 증가하는 확률 Q를 정(+)으로 유지하면서 파라미터군 P의 갱신을 행하기 때문에, 오차 함수가 국소적인 해법으로 빠지는 것을 회피할 수 있다. 더구나, 오차 함수 S가 증가하는 확률 Q를 정(+)으로 유지하면서도 오차 함수 S의 값이 소정 횟수 감소한 후에 얻어진 파라미터군 P는, 참된 해의 근방에 존재한다.According to the parameter extraction method of the physical property model according to the present invention, in step (b-1), the parameter group P is updated while maintaining the probability Q at which the error function S increases is positive (+). It is possible to avoid falling into a local solution. Moreover, the parameter group P obtained after the value of the error function S decreases a predetermined number of times while maintaining the probability Q at which the error function S increases is positive (+) exists near the true solution.

본 발명에 따른 물성 모델의 파라미터 추출 방법에 의하면, 단계 (b-1)에서 얻어진 파라미터군 P는, 참된 해의 근방에 존재한다고 생각되기 때문에, 단조 감소하는 방향으로만 파라미터군 P를 갱신하는 단계 (b-2)에 있어서의 수속성을 높일 수 있다.According to the parameter extraction method of the physical property model according to the present invention, since the parameter group P obtained in the step (b-1) is considered to exist near the true solution, the step of updating the parameter group P only in a monotonically decreasing direction The convergence property in (b-2) can be improved.

본 발명에 따른 물성 모델의 파라미터 추출 방법에 의하면, 소정량은, 파라미터군 P가 갱신할 때마다, 오차 함수 S가 증가하는 확률 Q의 저하에 기여한다. 따라서 단계 (b-1)에 있어서 반복 횟수를 필요 이상으로 많게 하는 것이 회피된다.According to the parameter extraction method of the physical property model according to the present invention, the predetermined amount contributes to the decrease in the probability Q that the error function S increases each time the parameter group P is updated. Therefore, increasing the number of repetitions more than necessary in step (b-1) is avoided.

본 발명에 따른 기록 매체에 의하면, 물성 모델의 파라미터 추출 방법을 컴퓨터에 실행시킬 수 있다.According to the recording medium of the present invention, a computer can execute a parameter extraction method of a physical property model.

본 발명에 따른 비선형 소자의 제조 방법에 의하면, 정밀도 높고 또한 계산 비용이 낮은 특성 시뮬레이션에 기초하여 물리적 프로세스가 실행되기 때문에, 제작되는 비선형 소자도 설계 사양에 가깝고, 또한 비용을 적게 실현할 수 있다.According to the method for manufacturing a nonlinear element according to the present invention, since the physical process is executed based on a characteristic simulation with high precision and low computational cost, the produced nonlinear element can also be close to the design specification and can realize a low cost.

Claims (3)

(a) 적어도 하나의 외부 요인으로 이루어지는 복수개 외부 요인군 vi(i=1, 2, …, m)의 각각에 대응하여, 각각 적어도 하나의 특성량으로 이루어지는 특성량군 gi가 얻어지는 물성에 관한 것으로, 각각의 상기 특성량 gs(s는 i가 채용하는 임의의 하나의 값을 나타낸다)에 대응하는 계산치의 각각을, 대응하는 상기 외부 요인군 vs및 복수의 파라미터로 이루어지는 파라미터군 P의 함수 f(vs, P)로서 부여하는 물성 모델을 적용하는 단계와,(a) Corresponding to the physical properties from which the characteristic quantity group g i which consists of at least one characteristic quantity respectively corresponds to each of the some external factor group v i (i = 1, 2, ..., m) which consists of at least one external factor Each of the calculated values corresponding to each of the characteristic quantities g s (where s represents any one value adopted by i) includes the corresponding external factor group v s and a parameter group P composed of a plurality of parameters. Applying a physical property model given as a function f (v s , P), (b) 각각의 상기 특성량 gs와 이것에 대응하는 상기 함수 f(vs, P)와의 차에 무게 함수 ws를 곱한 값의 제곱을, 상기 복수개 외부 요인군을 총합한 오차 함수 S에 대하여, 그 최소치를 제공하는 상기 파라미터군 P를 추출하는 단계를 포함하고,(b) The square of the difference between the respective characteristic quantities g s and the corresponding function f (v s , P) multiplied by the weight function w s is multiplied by the error function S that sums the plurality of external factor groups. Extracting the parameter group P providing the minimum value, 상기 단계 (b)는Step (b) is (b-1) 상기 오차 함수 S의 값이 증가하는 확률 Q를 정(+)으로 유지하면서 상기 파라미터군 P를 갱신함으로써 상기 오차 함수 S의 값을 반복하여 구하고, 오차 함수 S의 값이 사전설정된 횟수로 감소하면 정지하는 단계(b-1) The value of the error function S is repeatedly obtained by updating the parameter group P while maintaining the probability Q at which the value of the error function S increases is positive (+), and the value of the error function S is preset. Steps to stop after decreasing by the number of times 를 포함하는 물성 모델의 파라미터 추출 방법.Parameter extraction method of the physical property model comprising a. 제1항에 기재된 상기 물성 모델의 파라미터 추출 방법을 단독으로, 혹은 미리 컴퓨터에 구비된 프로그램과 함께, 상기 컴퓨터에 실행시키는 프로그램이 기록된 컴퓨터 판독 가능한 기록 매체.A computer-readable recording medium in which a program to be executed by the computer is recorded, either alone or together with a program provided in a computer in advance. 제1항에 기재된 상기 물성 모델의 파라미터 추출 방법을 이용한 디바이스 모델링을 채용하는 특성 시뮬레이션과,Characteristic simulation employing device modeling using the parameter extraction method of the physical property model according to claim 1, 상기 특성 시뮬레이션에 기초하는 물리적 프로세스Physical process based on the property simulation 를 실행하여 비선형 소자를 제작하는 비선형 소자의 제조 방법.Method for producing a non-linear device to produce a non-linear device by executing.
KR10-2000-0061505A 1999-11-19 2000-10-19 Physical model parameter extraction method and storage medium thereof, and non-linear element manufacturing method KR100402339B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP1999-329365 1999-11-19
JP32936599A JP2001148333A (en) 1999-11-19 1999-11-19 Extraction method for parameter of characteristic model and recording medium as well as manufacturing method for nonlinear element

Publications (2)

Publication Number Publication Date
KR20010060166A KR20010060166A (en) 2001-07-06
KR100402339B1 true KR100402339B1 (en) 2003-10-22

Family

ID=18220652

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2000-0061505A KR100402339B1 (en) 1999-11-19 2000-10-19 Physical model parameter extraction method and storage medium thereof, and non-linear element manufacturing method

Country Status (3)

Country Link
JP (1) JP2001148333A (en)
KR (1) KR100402339B1 (en)
TW (1) TW494316B (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4683833B2 (en) 2003-10-31 2011-05-18 株式会社半導体エネルギー研究所 Functional circuit and design method thereof
JP4871194B2 (en) * 2006-04-18 2012-02-08 株式会社半導体エネルギー研究所 Parameter extraction method and computer-readable storage medium having program for executing parameter extraction method
US8676547B2 (en) 2006-04-18 2014-03-18 Semiconductor Energy Laboratory Co., Ltd. Parameter extraction method
JP5463856B2 (en) 2009-11-02 2014-04-09 富士通株式会社 Input parameter value set identification program, method and apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08329123A (en) * 1995-05-30 1996-12-13 Mitsubishi Electric Corp Parameter extraction system
JPH0981598A (en) * 1995-09-14 1997-03-28 Toshiba Corp Parameter extraction method
JPH11250033A (en) * 1998-03-02 1999-09-17 Nec Corp Model parameter extraction device and its method, and storage medium recording program
KR100242729B1 (en) * 1995-04-07 2000-02-01 다니구찌 이찌로오 Method of simulating semiconductor manufacture with process functions according to user application
KR100335492B1 (en) * 1999-10-26 2002-05-04 윤종용 Simplified method for extraction of model parameter set and statistical integrated circuit simulation method using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100242729B1 (en) * 1995-04-07 2000-02-01 다니구찌 이찌로오 Method of simulating semiconductor manufacture with process functions according to user application
JPH08329123A (en) * 1995-05-30 1996-12-13 Mitsubishi Electric Corp Parameter extraction system
JPH0981598A (en) * 1995-09-14 1997-03-28 Toshiba Corp Parameter extraction method
JPH11250033A (en) * 1998-03-02 1999-09-17 Nec Corp Model parameter extraction device and its method, and storage medium recording program
KR100335492B1 (en) * 1999-10-26 2002-05-04 윤종용 Simplified method for extraction of model parameter set and statistical integrated circuit simulation method using the same

Also Published As

Publication number Publication date
TW494316B (en) 2002-07-11
KR20010060166A (en) 2001-07-06
JP2001148333A (en) 2001-05-29

Similar Documents

Publication Publication Date Title
US7730433B2 (en) Analog design retargeting
US8245165B1 (en) Methods and apparatus for waveform based variational static timing analysis
US6807520B1 (en) System and method for simulation of an integrated circuit design using a hierarchical input netlist and divisions along hierarchical boundaries thereof
US6314390B1 (en) Method of determining model parameters for a MOSFET compact model using a stochastic search algorithm
US20140059508A1 (en) Determining A Design Attribute By Estimation And By Calibration Of Estimated Value
US7793244B2 (en) Timing analysis method and timing analysis apparatus
WO2001084466A1 (en) Hybrid, non linear, large signal microwave/millimeter wave model
US5886906A (en) Method and apparatus of simulating semiconductor circuit
US20160171136A1 (en) Method for automated assistance to design nonlinear analog circuit with transient solver
JPH0799302A (en) Simulation method of deterioration of hot carrier in mos type transistor
US7987439B2 (en) Method and apparatus for analyzing circuit model by reduction and computer program product for analyzing the circuit model
US20220121800A1 (en) Methods of generating circuit models and manufacturing integrated circuits using the same
KR100402339B1 (en) Physical model parameter extraction method and storage medium thereof, and non-linear element manufacturing method
CN109791627B (en) Semiconductor device modeling for training deep neural networks using input preprocessing and conversion targets
US20020095273A1 (en) Method of extracting physical model parameter and storage medium therefor, and method of manufacturing non-linear element
Xiong et al. Incremental criticality and yield gradients
US20200327207A1 (en) Transient Sensitivity Analysis
US6573744B2 (en) S-parameter microscopy for semiconductor devices
Gil et al. Solution for model parameter optimization and prediction of next-generation device DC characteristics
US8185369B2 (en) Method and apparatus for characterizing properties of electronic devices depending on device parameters
JP2005340340A (en) Semiconductor simulation apparatus and method therefor
Chavez et al. Deep learning-based ASM-HEMT high frequency parameter extraction
Picos et al. Optimized parameter extraction using fuzzy logic
CN117829049A (en) Method, system and equipment for constructing reliability model of integrated circuit device
CN115983127A (en) Model parameter extraction method, system, device and medium based on deep learning

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee