KR100390532B1 - Plasma apparatus and method for processing the semiconductor device - Google Patents

Plasma apparatus and method for processing the semiconductor device Download PDF

Info

Publication number
KR100390532B1
KR100390532B1 KR10-2002-0060711A KR20020060711A KR100390532B1 KR 100390532 B1 KR100390532 B1 KR 100390532B1 KR 20020060711 A KR20020060711 A KR 20020060711A KR 100390532 B1 KR100390532 B1 KR 100390532B1
Authority
KR
South Korea
Prior art keywords
frequency
plasma
power
processing
mhz
Prior art date
Application number
KR10-2002-0060711A
Other languages
Korean (ko)
Other versions
KR20020090948A (en
Inventor
김동수
배경빈
Original Assignee
에이엔 에스 주식회사
김동수
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이엔 에스 주식회사, 김동수 filed Critical 에이엔 에스 주식회사
Priority to KR10-2002-0060711A priority Critical patent/KR100390532B1/en
Publication of KR20020090948A publication Critical patent/KR20020090948A/en
Application granted granted Critical
Publication of KR100390532B1 publication Critical patent/KR100390532B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32669Particular magnets or magnet arrangements for controlling the discharge

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

본 발명은 접지된 처리실과; 처리실내에 배치되고 플라즈마 처리공간을 형성하기 위하여 서로 대향하는 제 1 전극 및 제 2 전극과, 상기 제 1 전극이 피처리체를 지지하고 처리가스를 상기 플라즈마 처리공간 내로 공급하기 위한 처리가스 공급수단과; 상기 플라즈마 처리공간 내에 상기 처리가스의 플라즈마를 발생하도록 적어도 상기 제 1 전극에 고주파 전력을 공급하는 수단을 포함하는 반도체 장치의 플라즈마 처리장치에 있어서, 상기 처리실중에 고밀도의 플라즈마를 생성하는 플라즈마생성수단과, 상기 플라즈마 생성과는 독립하여 바이어스전압을 상기 시료대에 단일의 주파수의 전력을 가지고 인가하는 바이어스전원과, 상기 플라즈마 생성에 따른 방전중에 변동하는 임피던스를 튜닝하도록 상기 바이어스전원을 조정하는 매칭 네트워크와, 상기 매칭 네트워크내에 장치되고, 상기 매칭 네트워크로부터 출력된 주파수는 바텀 주파수와 그 바텀 주파수에 소정의 주파수가 가산하는 바텀업 RF 주파수를 분리하는 스플리터와, 상기 바이어스전원을 고주파 전력에 저주파 전력에 의한 변조를 가하고, 사기 고주파 전력 및 저주파 전력을 각각 서셉터에 연결하는 경우, 기본 주파수를 가지는 전력에, 기본 주파수의 소정의 바텀업 RF 주파수에 의하여 주파수 변조를 가하여 변조하는 주파수 변조수단을 구비한다.The present invention provides a grounded process chamber; A first electrode and a second electrode disposed in the processing chamber and facing each other to form a plasma processing space, the first electrode supporting the target object, and processing gas supply means for supplying a processing gas into the plasma processing space; ; 10. A plasma processing apparatus of a semiconductor device, comprising: means for supplying high frequency power to at least the first electrode to generate plasma of the processing gas in the plasma processing space, comprising: plasma generating means for generating a high density plasma in the processing chamber; A matching network that adjusts the bias power to tune a bias power supply for applying a bias voltage to the sample stage with a single frequency of power independently of the plasma generation, and an impedance that varies during discharge due to the plasma generation; A splitter for separating a bottom frequency and a bottom-up RF frequency added by a predetermined frequency to the bottom frequency, the frequency output from the matching network; Modulate When the high frequency power and the low frequency power are respectively connected to the susceptor, frequency modulation means for modulating the frequency having the fundamental frequency by applying a frequency modulation by a predetermined bottom-up RF frequency of the fundamental frequency is provided.

Description

반도체 장치의 플라즈마 처리장치 및 그 처리방법{PLASMA APPARATUS AND METHOD FOR PROCESSING THE SEMICONDUCTOR DEVICE}Plasma processing apparatus for semiconductor device and processing method thereof {PLASMA APPARATUS AND METHOD FOR PROCESSING THE SEMICONDUCTOR DEVICE}

본 발명은 반도체 장치의 플라즈마 처리장치 및 그 처리방법에 관한 것으로, 특히 피처리체를 재치한 처리실 내에 고주파 전력을 인가함에 따라 상기 처리실내에 플라즈마를 발생시키는 경우에 상기 플라즈마의 분위기하에서 에칭율을 저하시키지 않고 플라즈마 밀도를 증가시키기 위해 전력을 고정한 상태에서 고주파 및 저주파 전력을 변조하는 반도체 장치의 플라즈마 처리장치 및 그 처리방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus for a semiconductor device and a processing method thereof. In particular, when a plasma is generated in the processing chamber by applying high frequency power to a processing chamber in which a target object is placed, the etching rate is reduced in the atmosphere of the plasma. The present invention relates to a plasma processing apparatus for a semiconductor device that modulates high frequency and low frequency power in a state in which power is fixed to increase plasma density without increasing the plasma density.

일반적으로, 반도체 장치의 제조공정에 있어서 예를들면, 플라즈마 처리장치의 하나인 마그네트론 RIE 장치에서는 기밀하게 구성된 처리실 내에 고주파 전력을 인가하여 플라즈마를 발생시키고, 이 플라즈마 분위기 중에서 처리실 내에 재치된 피처리체인 반도체 웨이퍼 등에 대하여 에칭처리를 실시한다. 이 경우, 플라즈마 밀도를 높혀서 에칭율을 향상시키기 위하여, 예를들면 주파수가 13.56 MHz의 고주파 전력을 교류전원으로 인가한다.In general, in the manufacturing process of a semiconductor device, for example, in a magnetron RIE apparatus, which is one of plasma processing apparatuses, high-frequency power is applied in a gas tightly configured processing chamber to generate a plasma, and the target object is placed in the processing chamber in the plasma atmosphere. An etching process is performed on the semiconductor wafer or the like. In this case, in order to improve the etching rate by increasing the plasma density, for example, high frequency power with a frequency of 13.56 MHz is applied to the AC power supply.

그러나, 이와 같이, 단일의 주파수의 고주파 전력을 인가하면, 상기 마그네트론 RIE 장치에서는, 도 2에 도시된 바와 같이 고주파 전력의 인가에 의하여 이동도가 높은 전자만이 이동하여 대전하는 것에 기인하여 챠지업(charge up)이 발생한다. 그러므로, 상기 마그네트론 RIE 장치는 반도체 웨이퍼의 표면에서 이동도가 높은 전자가 치우쳐서 대전하여 전위의 경사가 생기고, 그 결과 챠지업에 의한 디바이스의 파괴를 일으킬 우려가 있다. 이 때문에 챠지업을 방지하기 위한 고주파 및 저주파 전력을 제공하는 적어도 2개의 전력 인가수단이 필요하다.However, when a high frequency power of a single frequency is applied in this manner, in the magnetron RIE apparatus, as shown in FIG. 2, only the electrons having high mobility are moved and charged due to the application of the high frequency power. (charge up) occurs. Therefore, the magnetron RIE apparatus is charged with electrons having high mobility on the surface of the semiconductor wafer so as to be charged, resulting in inclination of dislocations, and as a result, the device may be destroyed by charging up. For this reason, at least two power application means for providing high frequency and low frequency power for preventing charge-up are required.

따라서, 본 발명의 목적은 상기한 문제점에 비추어 피처리체를 재치한 처리실 내에 플라즈마의 분위기하에서 반도체 장치의 플라즈마 처리를 실시하기위한 고주파 및 저주파 전력을 제공하는 적어도 2개의 전력 인가수단이 없이도 1개의 전력만을 인가하는 파워 유닛을 가지고 고주파 전력에 저주파 전력에 의한 변조를 가함으로써, 반도체 장치의 플라즈마 처리의 에칭 밀도에 있어서 선택비를 향상시킬 수 있는 반도체 장치의 플라즈마 처리장치 및 그 처리방법을 제공함에 있다.Accordingly, an object of the present invention is to provide one electric power without at least two power applying means for providing high frequency and low frequency power for performing plasma processing of a semiconductor device in an atmosphere of plasma in a processing chamber in which a target object is placed in view of the above problems. The present invention provides a plasma processing apparatus for a semiconductor device and a method for processing the same, which have a power unit for applying only a high frequency power to modulate the high frequency power by low frequency power, thereby improving the selectivity in the etching density of the plasma processing of the semiconductor device. .

도 1은 본 발명의 반도체 장치의 플라즈마 처리방법의 일실시예에 사용되는 마그네트론 RIE 장치를 나타내는 개략도1 is a schematic view showing a magnetron RIE device used in one embodiment of a plasma processing method of a semiconductor device of the present invention.

도 2는 반도체 웨이퍼가 챠지업된 상태를 설명하기 위한 개략 설명도2 is a schematic explanatory diagram for explaining a state where a semiconductor wafer is charged up;

도 3은 도 1에 나타내는 마그네트론 RIE 장치에 사용되는 파워 유닛을 나타내는 개략도FIG. 3 is a schematic diagram showing a power unit used in the magnetron RIE apparatus shown in FIG.

도 4는 도 1의 전원장치로부터 주파수 변조하기 위한 바이어스 전원의 스팩트럼과 증폭도와의 관계를 나타내는 그래프4 is a graph showing a relationship between a spectrum and an amplification degree of a bias power supply for frequency modulation from the power supply device of FIG.

도 5 도는 도 4 도에 나타내는 전원장치를 사용하여 주파수 변조하여 소우워파(톱니형상파)를 얻을 때의 기본파 및 정수배파의 스팩트럼과 증폭도와의 관계를 나타내는 그래프FIG. 5 is a graph showing the relationship between the spectrum and the amplification degree of the fundamental wave and the integral wave when the sour wave (saw wave) is obtained by frequency modulation using the power supply device shown in FIG.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

1 : 마그네트론 RIE 장치 2 : 처리실1: magnetron RIE apparatus 2: processing chamber

3 : 배기구 4 : 배기수단3: exhaust port 4: exhaust means

7 : 서셉터 지지대 8 : 서셉터7: susceptor support 8: susceptor

11 : 냉매 배출관 20 : 매칭 네트워크21 : 변조장치11 coolant discharge pipe 20 matching network 21 modulator

22 : 저주파 전원 23 : 고주파 전원22: low frequency power source 23: high frequency power source

24 : 블로킹 콘덴서 25 : 콘트롤러24: blocking capacitor 25: controller

30 : 전원장치 31 : 정전척30: power supply device 31: electrostatic chuck

33 : 고압 직류전원 42 : 상부전극33: high voltage DC power supply 42: upper electrode

50 : 파워 유닛 212 : 콤바인 장치50: power unit 212: combine device

213 : 광대역 주파수 증폭장치 216 : 스플리터213: wideband frequency amplifier 216: splitter

A : 감쇄장치A: Attenuator

A1∼An,103 : 가변 감쇄기(상승부)A1 to An, 103: variable attenuator (rising part)

G1∼Gn : 발진기 G : 발진장치G1 to Gn: Oscillator G: Oscillator

W : 반도체 웨이퍼W: semiconductor wafer

이러한 본 발명의 목적을 달성하기 위하여 본 발명의 반도체 장치의 플라즈마 처리는,접지된 처리실과; 처리실내에 배치되고 이들 사이에서 플라즈마 처리공간을 형성하기 위하여 서로 대향하는 제 1 전극 및 제 2 전극과, 상기 제 1 전극이 피처리체를 지지하고 처리가스를 상기 플라즈마 처리공간 내로 공급하기 위한 처리가스 공급수단과; 상기 플라즈마 처리공간 내에 상기 처리가스의 플라즈마를 발생하도록 적어도 상기 제 1 전극에 고주파 전력을 공급하는 수단을 포함하는 반도체 장치의 플라즈마 처리장치에 있어서,In order to achieve the object of the present invention, the plasma processing of the semiconductor device of the present invention, a grounded processing chamber; A first gas and a second electrode disposed in the processing chamber and opposed to each other to form a plasma processing space therebetween, the first electrode supporting the object to be processed, and a processing gas for supplying the processing gas into the plasma processing space; Supply means; 10. A plasma processing apparatus of a semiconductor device comprising means for supplying high frequency power to at least the first electrode to generate plasma of the processing gas in the plasma processing space,

상기 처리실중에 고밀도의 플라즈마를 생성하는 플라즈마생성수단과,Plasma generating means for generating a high density plasma in the processing chamber;

상기 플라즈마 생성과는 독립하여 바이어스전압을 상기 시료대에 단일의 주파수의 전력을 가지고 인가하는 바이어스전원과,A bias power supply for applying a bias voltage to the sample stage with a single frequency of power independently of the plasma generation;

상기 플라즈마 생성에 따른 방전중에 변동하는 임피던스를 튜닝하도록 상기 바이어스전원을 조정하는 매칭 네트워크와,A matching network for adjusting the bias power to tune the impedance that varies during discharge due to the plasma generation;

상기 매칭 네트워크내에 장치되고, 상기 매칭 네트워크로부터 출력된 주파수는 바텀 주파수와 그 바텀 주파수에 소정의 주파수가 가산하는 바텀업 RF 주파수를 분리하는 스플리터와,A splitter installed in the matching network, the frequency output from the matching network is a splitter for separating a bottom frequency and a bottom-up RF frequency to which a predetermined frequency is added to the bottom frequency;

상기 바이어스전원을 고주파 전력에 저주파 전력에 의한 변조를 가하고, 사기 고주파 전력 및 저주파 전력을 각각 서셉터에 연결하는 경우,기본 주파수를 가지는 전력에, 기본 주파수의 소정의 바텀업 RF 주파수에 의하여 주파수 변조를 가하여 변조하는 주파수 변조수단을 구비한다.When the bias power supply is modulated by the low frequency power to the high frequency power and connects the high frequency power and the low frequency power to the susceptor, respectively, frequency modulation is performed by a predetermined bottom-up RF frequency of the fundamental frequency to the power having the fundamental frequency. It is provided with a frequency modulating means for modulating by adding.

또한, 본 발명의 반도체 장치의 플라즈마 처리방법은In addition, the plasma processing method of the semiconductor device of the present invention

처리실 내에 설치된 시료대에 시료를 배치하는 단계와;Placing a sample on a sample stage installed in the processing chamber;

상기 처리실 내에 처리가스를 연속적으로 공급함과 동시에 상기 처리가스를 플라즈마화하는 단계와;Continuously supplying a processing gas into the processing chamber and simultaneously converting the processing gas into a plasma;

상기 플라즈마생성과는 독립하여 상기 시료대에 단일 주파수의 고주파바이어스를 인가하는 단계와;Applying a high frequency bias of a single frequency to the sample stage independently of the plasma generation;

상기 고주파바이어스를 100Hz 내지 10KHz의 주파수로 온/오프제어에 의해 주파수를 변조하는 단계와;Modulating a frequency by on / off control of the high frequency bias at a frequency of 100 Hz to 10 KHz;

상기 고주파바이어스전압을 가하여 시료의 표면가공을 행하는 단계를 포함한다.And subjecting the sample to surface treatment by applying the high frequency bias voltage.

따라서 본 발명에서는 저주파 전력을 고주파전력에 부분적으로 가하여 변조시켜 플라즈마 밀도를 저하시키는 일이 없이 이온의 이동에 의하여 피처리체 상의 챠지를 중화한다.Therefore, in the present invention, the low frequency power is partially applied to the high frequency power to be modulated to neutralize the charge on the workpiece by the movement of ions without lowering the plasma density.

이하, 본 발명의 실시예를 도면을 참조하여 구체적으로 설명한다.Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings.

도 1은 본 발명의 제 1 발명에 관한 플라즈마 처리방법의 일실시예를 실시할 때에 사용되는 마그네트론 RIE 장치(1)를 나타내는 개략도이다.우선, 본 발명에서 고주파 전력이라는 것은 플라즈마의 해리효율이 좋은 주파수가 10MHz이상의 것을 말하고, 저주파 전력이라는 것은 편의상 주파수가 10MHz를 넘지 않는 것으로 한다. 단, 상기와 같이 변조에 의하여 가해지는 저주파 전력의 주파수는, 3MHz이하, 특히 2MHz이하의 것이 바람직하다. 즉, 플라즈마 중의 이온과 전자의 이동은 교류전계의 변화에 따라서 이루어진다 .BRIEF DESCRIPTION OF THE DRAWINGS Fig. 1 is a schematic diagram showing a magnetron RIE apparatus 1 used in carrying out an embodiment of the plasma processing method according to the first invention of the present invention. First, in the present invention, high frequency power means that plasma dissociation efficiency is good. The frequency is 10MHz or more, and low frequency power means that the frequency does not exceed 10MHz for convenience. However, as mentioned above, the frequency of the low frequency power applied by modulation is preferably 3 MHz or less, particularly 2 MHz or less. That is, the movement of ions and electrons in the plasma is made in accordance with the change in the alternating electric field.

이 마그네트론 RIE 장치(1)는 알루미늄 등으로 이루어지며, 전기적으로 접지된 기밀용기인 처리실(2)을 가지고 있다. 상기 처리실(2)의 상부에는 제1 및 제2 영구자석(27,28)이 설치된다. 상기 처리실(2)내의 저부에 설치된 배기구(3)에는 진공펌프 등의 배기수단(4)에 통하는 배기관(5)이 접속되어 있다. 이 배기수단(4)에 의하여 상기 처리실(2)내를 그 저부 주변부로부터 골고루 진공흡인하여, 소정의 감압 분위기, 예를 들면 수 십 Torr 의 범위의 값으로, 설정 유지할 수가 있도록 되어있다.This magnetron RIE apparatus 1 is made of aluminum or the like and has a processing chamber 2 which is an electrically grounded airtight container. First and second permanent magnets 27 and 28 are installed above the processing chamber 2. An exhaust pipe 5 connected to an exhaust means 4 such as a vacuum pump is connected to the exhaust port 3 provided at the bottom of the processing chamber 2. The evacuation means 4 allows the inside of the processing chamber 2 to be vacuumed evenly from the bottom periphery thereof, and can be set and maintained in a predetermined decompression atmosphere, for example, in a range of several tens of Torr.

상기 처리실(2) 내의 저부 중앙에는 세라믹 등으로 이루어지는 절연판(6)을 통하여 서셉터 지지대(7)가 설치되며, 또 이 서셉터 지지대(7)의 상면에는 알루미늄 등으로 이루어지며 하부전극을 구성하는 서셉터(8)가 설치되어 있다.A susceptor support 7 is installed in the center of the bottom of the processing chamber 2 through an insulating plate 6 made of ceramic or the like, and an upper surface of the susceptor support 7 is made of aluminum or the like to form a lower electrode. The susceptor 8 is provided.

상기 서셉터 지지대(7)의 내부에는 냉매실(9)이 형성되어 있으며, 이 냉매실(9) 내에 상기 처리실(2)의 저부에 설치된 냉매 도입관(10)으로부터의 냉매를 도입하며, 이것을 냉매 배출관(11)으로부터 배출시켜서 순환하도록 구성되어 있다. 이와 같이하여 상기 서셉터(8)를 원하는 온도로 제어하도록 되어 있다.A coolant chamber 9 is formed inside the susceptor support 7, and introduces a coolant from the coolant introduction pipe 10 provided in the bottom of the process chamber 2 into the coolant chamber 9. It is comprised so that it may discharge | emit from the refrigerant | coolant discharge pipe 11 and circulates. In this way, the susceptor 8 is controlled to a desired temperature.

또 마그네트론 RIE 장치(1)는 상기 처리실(2)의 외부에 그 전력을 공급하는 파워 유닛(50)을 포함하고, 이 파워 유닛(50)은 하나의 전원장치(30)로부터 부호 MN 으로 표시된 매칭 네트워크(20) 및 부호 M 으로 표시된 변조장치(21)를 통하여고정된 전력이 입력되는 고주파 전원(23)과 저주파 전원(22)이 설치되고, 상기 매칭 네트워크(20), 변조장치(21), 고주파 전원(23), 및 저주파 전원(22)를 제어하도록 연결되어 있는 부호 C 로 표시된 콘트롤러(25)를 포함한다.The magnetron RIE apparatus 1 further includes a power unit 50 for supplying power to the outside of the processing chamber 2, which is matched with a symbol MN from one power supply 30. A high frequency power source 23 and a low frequency power source 22 to which fixed power is input through the network 20 and the modulator 21 indicated by the symbol M are provided. The matching network 20, the modulator 21, A high frequency power supply 23 and a controller 25 denoted by the reference C which is connected to control the low frequency power supply 22.

여기서, 상기 파워 유닛(50)내 고주파 전원(23) 및 저주파 전원(22)는 각각 서셉터(8)에 연결되며, 상기 고주파 전원(23)은 주파수가 10MHz이상, 예를들면 주파수 13.56MHz 의 주파수 전력을 출력하도록 구성되어 있고, 상기 저주파 전원(22)은 주파수가 100KHz ∼ 3MHz, 예를 들면 2MHz 의 저주파 전력을 출력하도록 구성되어 있다. 또한, 상기 변조장치(21)는 일단 그 변조장치(21)에 입력되는 전력을 고주파 전원(23)과 저주파 전원(22)으로 주파수 변조한다. 그후, 변조된 고주파 전원(23)과 저주파 전원(22)은 개별적으로 서셉터(8)에 인가된다. 이때 콘트롤러(25)는, 예를 들면 통상의 상태에서는 고주파 전원(23)으로부터의 고주파 전력만을 상기 서셉터(8)에 인가하도록 제어하며, 일정시간마다 저주파 전원(22)으로부터의 저주파 전력을 상기 고주파 전원(21)으로부터의 고주파 전력에 소정시간 가하여 입력하도록 한다.Here, the high frequency power source 23 and the low frequency power source 22 in the power unit 50 are connected to the susceptor 8, respectively, and the high frequency power source 23 has a frequency of 10 MHz or more, for example, a frequency of 13.56 MHz. The low frequency power source 22 is configured to output low frequency power with a frequency of 100 KHz to 3 MHz, for example, 2 MHz. In addition, the modulator 21 frequency modulates the power input to the modulator 21 into the high frequency power source 23 and the low frequency power source 22. Thereafter, the modulated high frequency power source 23 and the low frequency power source 22 are applied to the susceptor 8 separately. At this time, the controller 25 controls to apply only the high frequency power from the high frequency power source 23 to the susceptor 8 in a normal state, and the low frequency power from the low frequency power source 22 is changed every predetermined time. The high frequency power from the high frequency power supply 21 is input for a predetermined time.

이어서, 상기 구성을 가지는 마그네트론 RIE 장치(1)를 사용하여 반도체 웨이퍼(W)에 대하여 에칭처리를 하는 경우에 대하여 설명한다.Next, the case where the etching process is performed with respect to the semiconductor wafer W using the magnetron RIE apparatus 1 which has the said structure is demonstrated.

우선, 피처리체인 반도체 웨이퍼(W)를, 이 마그네트론 RIE 장치(1)에 로드로크실(도시하지 않음)로부터 처리실(2) 내로 반입하고, 정전척(31)상에 재치한다. 그리고 고압직류전원(33)의 인가에 의하여 반도체 웨이퍼(W)를 정전척(31) 상에 흡착유지한다.First, the semiconductor wafer W which is an object to be processed is loaded into the processing chamber 2 from the load lock chamber (not shown) into the magnetron RIE apparatus 1 and placed on the electrostatic chuck 31. The semiconductor wafer W is sucked and held on the electrostatic chuck 31 by the application of the high-voltage DC power supply 33.

이어서, 처리실(2) 내를 배기수단(4)에 의하여 배기하며, 한 쪽의 가스 도입구(45)로부터 처리가스, 예를 들면 CF4가스를 처리실(2) 내로 공급하며, 처리실(2) 내의 압력을 예를 들면 10 mTorr로 설정하며 유지한다. 이어서, 상기 제1 및 제2 영구자석(27,28)을 회전구동시켜서 반도체 웨이퍼(W) 중심부근에, 예를 들면 100 G 의 자장이 형성되도록 자계를 인가한다.Subsequently, the inside of the processing chamber 2 is exhausted by the exhaust means 4, the processing gas, for example, CF 4 gas is supplied into the processing chamber 2 from one gas inlet 45, and the processing chamber 2 is provided. The pressure inside is set to, for example, 10 mTorr. Subsequently, the first and second permanent magnets 27 and 28 are rotationally driven to apply a magnetic field such that a magnetic field of 100 G, for example, is formed near the center of the semiconductor wafer W.

한편, 콘트롤러(25)의 지시에 의하여 반도체 웨이퍼(W)에 대하여 고주파 전원(23)으로부터 13.56 MHz의 고주파 전력을 그대로 서셉터(8)에 인가함으로써, 처리실(2) 내에 플라즈마가 발생하며, 가속된 반응성 이온에 의한 이방성 에칭이 반도체 웨이퍼(W)에 대하여 이루어진다.On the other hand, plasma is generated in the processing chamber 2 by accelerating the high frequency power of 13.56 MHz from the high frequency power source 23 to the susceptor 8 as it is by the controller 25. Anisotropic etching by the reactive ions thus obtained is performed on the semiconductor wafer W. FIG.

이 반도체 장치의 플라즈마 처리에서 13.56 MHz의 고주파에 의한 에칭을 하고 있으면, 도 2에 나타낸 바와 같이, 반도체 웨이퍼(W) 상에 전위 E 의 경사가 발생하고, 그대로 13.56 MHz의 고주파 전력에 의한 인가를 계속하여 가면, 결국에는 챠지업에 의한 디바이스의 파괴가 발생할 우려가 있다.In the plasma processing of the semiconductor device, when etching is performed at a high frequency of 13.56 MHz, as shown in FIG. 2, the inclination of the potential E occurs on the semiconductor wafer W, and the application of the high frequency power of 13.56 MHz is applied as it is. If it continues, the device may be destroyed by the charge-up eventually.

그래서, 그와 같은 챠지업을 미연에 방지하기 위하여, 파워 유닛(50)내에 장치된 하나의 전원장치(30)로부터 콘트롤러(25)의 지시에 의하여 주파수 변조장치(21)는 저주파 전원(22)으로부터, 예를 들면 2 MHz의 저주파 전력을 가하고 고주파 전원(23)으로부터의 13.56 MHz의 고주파 전력을 가하여 주파수 변조한 다음, 상기 서셉터(8)에 고주파 전원(23) 및 저주파 전원(22)을 각각 인가한다.Thus, in order to prevent such charge-up in advance, the frequency modulator 21 causes the low frequency power supply 22 to be instructed by the controller 25 from one power supply device 30 installed in the power unit 50. From the high frequency power supply 23, a high frequency power of 23 MHz is applied, and a high frequency power of 13.56 MHz is modulated and then the high frequency power supply 23 and the low frequency power supply 22 are supplied to the susceptor 8. Apply each.

상기와 같이 2 MHz의 저주파 전력에 의한 변조가 가해지면, 이온의 이동에 의한 중화가 이루어져, 반도체 웨이퍼(W)상에 챠지는 캔슬되고, 챠지업에 의한 파괴는 미연에 방지된다.When modulation by low frequency power of 2 MHz is applied as described above, neutralization is caused by the movement of ions, the charge on the semiconductor wafer W is canceled, and destruction by the charge-up is prevented in advance.

또, 그와 같이 반도체 웨이퍼(W) 상에 있어서의 챠지전압이 기록한 타이밍 데이터를 콘트롤러(25)에 기억시켜서, 그것에 기초하여 변조시키도록 하여도 좋다. 일정시간 그와 같이 변조한 후는, 다시 변조를 정지하여, 고주파전원(23)으로부터의 13.56 MHz의 고주파 전력의 인가에 의하여 에칭을 계속하여도 좋다. 이들의 제어는 콘트롤러(25)에 의하여 행해진다.In addition, the controller 25 may store the timing data recorded by the charge voltage on the semiconductor wafer W as described above and modulate it based thereon. After such modulation for a predetermined time, the modulation may be stopped again and etching may be continued by application of a high frequency power of 13.56 MHz from the high frequency power supply 23. These controls are performed by the controller 25.

도 3은 본 발명의 도 1에 나타내는 마그네트론 RIE 장치에 사용되는 파워 유닛의 구성예를 나타내는 개략도이다.FIG. 3 is a schematic diagram showing a configuration example of a power unit used in the magnetron RIE device shown in FIG. 1 of the present invention. FIG.

상기 파워 유닛(50)은 플라즈마 처리를 실시할 때에 사용되는 서셉터(8)에 블로킹 콘덴서(24)를 통하여 도 1의 전원장치(30)로부터의 전력이 인가되도록 구성되어 있다. 이 파워 유닛(50)에 장치된 주파수 변조장치(21)는 기본파, 예를들면 380 KHz의 주파수를 가진 정형파를 발진하는 기본파 발진기(Gl), 및 이 기본파의 정수배의 주파수인 정현파를 발진하는 여러개의 발진기(G2)∼(Gn)로 구성된 발진장치(G)와, 각 발진기(G1)∼(Gn)에 각각 대응하여 설치된 가변 감쇄기(A1)∼(An)로 구성된 감쇄장치(A)와, 이들 감쇄장치(A)에 있어서의 각 가변 감쇄기(A1)∼(An)로부터의 출력신호를 믹싱하는 믹싱장치(또는 콤바인 장치)(212)와, 이 믹싱장치(212)의 출력신호를 증폭하기 위한 광대역 주파수 증폭장치(213)를 가지고 있다.상기 광대역 주파수 증폭장치(213)에는 스플리터(216)가 장치되고, 플라즈마 상태가 변화하지 않더라도 플라즈마 방전중에 변동하는 임피던스를 튜닝하도록 조정하는 매칭 네트워크(21)가 연결된다. 여기서 상기 스플리터(216)는 광대역 주파수 증폭장치(213)이외의 상기 변조장치(20) 외부에도 장치될 수 있다. 상기 매칭 네트워크(21)에는 도 1에 도시한 바와 같이 고주파 전원(23) 및 저주파 전원(22)이 각각 서셉터(8)에 연결된다.The power unit 50 is configured such that electric power from the power supply device 30 of FIG. 1 is applied to the susceptor 8 used in the plasma processing via the blocking capacitor 24. The frequency modulator 21 provided in the power unit 50 includes a fundamental wave oscillator Gl which oscillates a fundamental wave, for example, a square wave having a frequency of 380 KHz, and a sine wave which is a frequency of an integer multiple of the fundamental wave. Oscillator G composed of several oscillators G2 to Gn for oscillating, and attenuators composed of variable attenuators A1 to An provided respectively corresponding to the oscillators G1 to Gn. A), a mixing device (or combine device) 212 for mixing the output signals from the variable attenuators A1 to An in these attenuators A, and the output of this mixing device 212. A wideband frequency amplifying device 213 is provided for amplifying a signal. The wideband frequency amplifying device 213 is provided with a splitter 216, and is adapted to tune an impedance which varies during plasma discharge even if the plasma state does not change. The matching network 21 is connected. Here, the splitter 216 may be installed outside the modulation device 20 in addition to the wideband frequency amplifying device 213. The high frequency power source 23 and the low frequency power source 22 are respectively connected to the susceptor 8 in the matching network 21.

또한, 도 3에 있어서, 상기 매칭 네트워크(21)내에 장치되는 스플리터(216)는 상기 매칭 네트워크(21)로부터 출력된 주파수는 바텀 주파수를 매개변수 a 이라 하고, 바텀업 RF 주파수를 매개변수 a+b 이라 할 때, 상기 바텀 주파수 a 와 그 바텀 주파수에 소정의 주파수가 가산하는 바텀업 RF 주파수 a+b 로 분리(splitting)하는 작용을 한다. 여기서, 상기 바텀 주파수 a에 대하여 상기 소정의 바텀업 RF 주파수 a+b 는 800 KHz 내지 150 MHz 주파수로 출력가능 하다. 더욱 바람직하게는, 상기 스플리터(216)에 의한 주파수 스플리팅에 있어 바텀 RF 주파수 a를 800 KHz 내지 13.56 MHz 로 할 때, 바텀업 RF 주파수a+b 는 상기 800 KHz 내지 13.56 MHz 에 바텀업 RF 주파수를 가산한 주파수로 하는 것이다. 이 바텀업 RF 주파수는 최대 150 MHz 까지도 가능하다. 다시말해, 상기 주파수를 분리하는 스플리터(216)는 그의 바텀 RF 주파수 a를 800 KHz 내지 13.56 MHz 로 입력할 때, 상기 바텀 RF주파수 a와 상기 바텀 RF 주파수에 소정의 바텀업 RF 주파수 a + b를 가산한 2개의 주파수로 출력 가능하다. 더욱이, 상기 주파수를 분리하는 스플리터(216)는 그의 바텀 RF 주파수를 800 KHz 내지 13.56 MHz 로 입력할 때, 상기 바텀 주파수와, 상기 바텀 RF 주파수에 소정의 바텀업 RF 주파수와, 상기 바텀업 RF 주파수에 n (n = 1,2,3,4, .... )배를 곱하여 가산한 1개 이상의 주파수로 출력 가능하다.In FIG. 3, the splitter 216 installed in the matching network 21 uses a bottom frequency as a parameter and a bottom up RF frequency as a parameter a +. When b is referred to, the bottom frequency a and the bottom frequency are split into a bottom-up RF frequency a + b, in which a predetermined frequency is added. Herein, the predetermined bottom-up RF frequency a + b with respect to the bottom frequency a may be output at a frequency of 800 KHz to 150 MHz. More preferably, when the bottom RF frequency a is 800 KHz to 13.56 MHz in frequency splitting by the splitter 216, the bottom up RF frequency a + b is a bottom up RF from 800 KHz to 13.56 MHz. The frequency is added to the frequency. This bottom-up RF frequency can be up to 150 MHz. In other words, the splitter 216 for separating the frequency inputs a predetermined bottom-up RF frequency a + b to the bottom RF frequency a and the bottom RF frequency when inputting its bottom RF frequency a from 800 KHz to 13.56 MHz. Can be output at two frequencies added. Furthermore, the splitter 216 for separating the frequency inputs the bottom RF frequency from 800 KHz to 13.56 MHz, the bottom frequency, a predetermined bottom up RF frequency to the bottom RF frequency, and the bottom up RF frequency. Multiply by n (n = 1,2,3,4, ....) times and can output at one or more frequencies.

한편, 상기 바텀업 방식과 반대로 탑다운 방식으로써, 상기 주파수를 분리하는 스플리터(216)는 그의 탑 RF 주파수를 150 MHz 로 입력할 때, 탑 RF 주파수와 800 KHz 내지 13.56 MHz 탑 다운 RF 주파수로 출력 가능한 것은 물론이다.여기서, 각 발진기(G1)∼(Gn) 및 가변 감쇄기(A1)∼(An)는, 중앙제어장치(214)로 제어되며, 각 발진기(G1)∼(Gn) 중의 임의의 발진기가 조합되어 작동하며, 또 작동된 각 발진기의 각 감쇄도가 각각 개별로 조정된다. 이들의 각 출력신호는 믹싱장치(212)에 입력되도록 되어 있다. 그리고, 믹싱장치(212)에 입력된 각 가변 감쇄기(A1)∼(An)로부터의 각 신호는 합성되며, 또, 광대역 주파수 증폭장치(213)에 의하여 증폭되어, 플라즈마 발생을 위한 파워로서 상기 처리실(52) 내의 서셉터(8)에 인가되도록 되어 있다.또, 광대역 주파수 증폭장치(213)에는, 레벨시프터(215)가 별도 설치되어 있으며, 서셉터(55)에 인가하는 파형의 레벨변경을 임의로 조정할 수 있다.On the other hand, as a top-down scheme as opposed to the bottom-up scheme, the splitter 216 separating the frequency outputs the top RF frequency and the top RF frequency at 800 KHz to 13.56 MHz top down RF frequency when the top RF frequency is input at 150 MHz. It is a matter of course that each of the oscillators G1 to Gn and the variable attenuators A1 to An is controlled by the central controller 214, and any of the oscillators G1 to Gn is controlled. The oscillators operate in combination, and each attenuation of each oscillator operated is individually adjusted. Each of these output signals is input to the mixing device 212. Each signal from each of the variable attenuators A1 to An input to the mixing device 212 is synthesized and amplified by the wideband frequency amplifying device 213 to be used as power for plasma generation. The level shifter 215 is provided separately in the wideband frequency amplifying apparatus 213. The level shift of the waveform applied to the susceptor 55 is changed. It can be adjusted arbitrarily.

파워 유닛(50)는, 도 3에 나타내는 구성을 가지고 있기 때문에, 인가시키는 전력에 대하여 주파수 변조를 하여 각 에칭처리에 가장 적합한 파형을 창출시키고, 이것에 의하여 처리가스의 가스분자의 해리를 제어할 수가 있다.Since the power unit 50 has the configuration shown in Fig. 3, frequency modulation is performed on the power to be applied to generate a waveform most suitable for each etching process, thereby controlling dissociation of gas molecules of the processing gas. There is a number.

한편, 마그네트론 RIE 에칭 징치(51)의 파워 유닛(50)에 의하면, 주파수 변조에 의하여 서셉터(8)에 인가시키는 전력의 파형을 임의의 것으로 할 수가 있으며, 목적에 따라서 최적한 출력파형을 얻을 수 있다.On the other hand, according to the power unit 50 of the magnetron RIE etching device 51, the waveform of the power applied to the susceptor 8 by frequency modulation can be arbitrarily obtained, and an optimum output waveform can be obtained according to the purpose. Can be.

여기서 도 4 및 도 5를 참조하면, 도 4는 도 1의 전원장치로부터 주파수 변조하기 위한 바이어스 전원의 스팩트럼과 증폭도와의 관계를 나타내는 그래프이고, 도 5 도는 도 4 도에 나타내는 전원장치를 사용하여 주파수 변조하여 소우워파(톱니형상파)를 얻을 때의 기본파 및 정수배파의 스팩트럼과 증폭도와의 관계를 나타내는 그래프이다.예를 들면 발진기(G1)로부터 기본파(예를 들면 380kHz)를 발진시키고, 다른 발진기(G2) 이후의 발진기로부터는, 기본파 F의 정수배의 주파수, 예를 들면 2배수(760kHz), 3배수(1140kHz), 4배수(15200kHz)… 를 발진시키며, 예를 들면 도 4에 나타낸 바와 같이, 짝수배수의 출력에 대해서는 위상을 180도 어긋나게 하고, 홀수배파에 대해서는 그대로의 위상으로 그들을 각 가변 감쇄기(A1)∼(An)로 조정하여 믹싱장치(212)에서 합성하면, 도 5에 나타낸 바와 같은 소우워스파(톱니형상파)를 얻을 수 있다.4 and 5, FIG. 4 is a graph illustrating a relationship between a spectrum and an amplification degree of a bias power source for frequency modulation from the power supply device of FIG. 1, and FIG. 5 is a power supply device shown in FIG. 4. This is a graph showing the relationship between the spectrum and the amplitude of the fundamental wave and the integer wave when the sour wave (saw wave) is obtained by frequency modulation. For example, oscillator G1 oscillates the fundamental wave (for example, 380 kHz). From the oscillator after another oscillator G2, the frequency of the integral multiple of the fundamental wave F, for example, 2 times (760 kHz), 3 times (1140 kHz), 4 times (15200 kHz). For example, as shown in Fig. 4, the phases are shifted by 180 degrees for even-numbered outputs, and they are adjusted with each of the variable attenuators A1 to (An) in the same phase for odd-numbered waves. When synthesized in the apparatus 212, a sawtooth wave (sawtooth wave) as shown in Fig. 5 can be obtained.

이 소우워스파를 처리실(2)의 서셉터(8)에 인가시키면, 에칭이온의 이온 결합을 강하게 할 수 있고, 에칭율을 향상시킬 수 있다.When this sour wave is applied to the susceptor 8 of the process chamber 2, ion bonding of etching ions can be strengthened, and an etching rate can be improved.

또, 이와 같은 파형조작은, 제어장치(25) 및 도시되지 않은 주변장치에 의하여 할 수 있다.In addition, such waveform operation can be performed by the control apparatus 25 and the peripheral device which is not shown in figure.

이와 같이 기본파에 대하여, 그 정수배파를 적절하게 조합시켜서 주파수 변조시킴으로써 앞에서 설명한 CF4가스분자를 시작으로 하여 각종의 반응성 가스의 에챤트 이온의 종류, 질량에 따라서 그 이온 결합의 강약을 제어하거나, 또 가스분자의 해리를 제어할 수 있고, 이에 따라 에칭율을 향상시켜서 선택비가 높은 에칭처리를 할 수 있다.In this way, the frequency wave is modulated by appropriately combining the integer waves with respect to the fundamental wave, and the intensity of the ionic bond is controlled according to the type and mass of the etchant ions of various reactive gases, starting with the CF 4 gas molecule described above. In addition, the dissociation of gas molecules can be controlled, whereby the etching rate can be improved to perform an etching process having a high selectivity.

또, 본 실시예에서는 상부전극(42)에 40 MHz 의 고주파를, 하부전극(8)에 13.56MHz 의 고주파를 각각 인가하였으나, 이들 주파수의 수치 조합은 한정되지 않고, 예를 들면 상부전극의 주파수와 하부전극의 주파수의 수치조합을 각각 예를 들면 1 MHz 와 380 KHz, 13.56 MHz와 13.56 MHz, 380 KHz와 380 KHz 등과 같이 여러가지로 변경할 수 있다.In this embodiment, a high frequency of 40 MHz is applied to the upper electrode 42 and a high frequency of 13.56 MHz to the lower electrode 8, respectively, but the combination of numerical values of these frequencies is not limited. Numerical combinations of the frequencies of and the lower electrode can be varied, for example, 1 MHz and 380 KHz, 13.56 MHz and 13.56 MHz, 380 KHz and 380 KHz, respectively.

또, 본 실시예에서는, 상부전극(42)과 하부전극(8) 쌍방에 고주파 전원을 인가하는, 이른 바 탑 앤드 바텀(top and bottom)방식의 경우에 대하여 설명하였으나, 이것에 한정되지 않고, 예를 들면 바텀 온리(bottom only)방식이나 이와는 반대로 상부전극 만에 고주파 전원을 인가하여 하부전극은 접지하는, 이른 바 탑 온리(top only)방식에도 적용할 수 있는 것은 물론이다.In addition, in the present embodiment, the case of the so-called top and bottom method in which a high frequency power is applied to both the upper electrode 42 and the lower electrode 8 has been described, but the present invention is not limited thereto. For example, the bottom only method may be applied to a so-called top only method in which a high frequency power is applied only to the upper electrode to ground the lower electrode.

또, 본 실시예에서는, 고주파 전원(23)과 저주파 전원(22)의 2개의 전원을 사용하고 있으나, 주파수 변조의 경우에는, 다수의 전원장치를 사용하여, 예를 들면 콘트롤러(25)의 제어에 의하여 주파수를 적절하게 바꾸도록 하여도 좋다. 또, 본 실시예에 있어서의 변조장치(23)는 주파수 변조를 하도록 구성하고 있으나, 이것에 한정되지 않고, 진폭변조를 하는 진폭변조장치를 사용하여 진폭변조에 의한 변조를 하여도, 상기와 같은 효과가 얻어진다. 또, 본 실시예에서는, 플라즈마 처리장치로서 플라즈마 에칭장치를 사용한 경우에 대하여 설명하였으나, 본 발명은 이것에 한정되지 않고 다른 플라즈마 처리장치, 예를 들면 플라즈마 에싱장치, 플라즈마 CVD장치, 플라즈마 스퍼터 장치 등에도 적용할 수 있는 것은 물론이다.In this embodiment, two power sources, a high frequency power supply 23 and a low frequency power supply 22, are used. However, in the case of frequency modulation, a plurality of power supply devices are used to control the controller 25, for example. The frequency may be changed as appropriate. Although the modulator 23 in the present embodiment is configured to perform frequency modulation, the present invention is not limited to this, and the modulator 23 may be modulated by amplitude modulation using an amplitude modulator that performs amplitude modulation. Effect is obtained. In the present embodiment, the case where the plasma etching apparatus is used as the plasma processing apparatus has been described, but the present invention is not limited to this, but other plasma processing apparatuses such as plasma ashing apparatus, plasma CVD apparatus, plasma sputter apparatus, etc. Of course, can also be applied.

이상 설명한 바와 같이, 본 발명에 의하면, 주파수 변조를 함으로써, 시간에 의하여 고주파 전력과 저주파 전력에 의한 상충작용이 있게되어, 플라즈마 밀도를 거의 저하시키지 않고, 피처리체의 챠지업을 방지하여 챠지업 현상에 의한 디바이스의 파괴를 방지할 수 있다.As described above, according to the present invention, the frequency modulation results in a trade-off between the high frequency power and the low frequency power over time, and the charging up phenomenon is prevented by preventing the charging up of the object without substantially reducing the plasma density. It is possible to prevent the device from being destroyed.

또, 그것을 실현하기 위한 구성도 매우 간단하며, 예를 들면 기존의 반도체 장치의 플라즈마 처리장치에 대하여 적용할 수 있으며, 그 때의 변조방법도 주파수 변조 및 진폭변조 방식을 채택할 수 있다.Moreover, the structure for realizing it is also very simple, for example, it can apply to the plasma processing apparatus of the existing semiconductor device, and the modulation method at that time can also adopt a frequency modulation and an amplitude modulation method.

본 발명에 의하면, 반도체 장치의 플라즈마 처리방법에 있어서 예를 들면 380 KHz를 시작으로 하는 임의의 기본 주파수를 가지는 전력에 기본 주파수의 n배(n=정수)의 주파수에 의하여 주파수 변조를 가하기 때문에, 단순한 단일의 정현파와는 다른 파형을 가지는 플라즈마 발생용의 전력을 얻을 수 있다. 따라서, 예를 들면 플라즈마 에칭 처리하는 경우, 가스분자의 해리진행을 억제하거나, 에챤트 이온의 이온 봄바이드를 제어할 수 있고, 그 선택비를 향상시켜서 양호한 이방성 에칭을 실시할 수 있다. 따라서 에칭율을 보다 일층 향상시킬 수 있다.According to the present invention, in the plasma processing method of a semiconductor device, for example, frequency modulation is applied to a power having an arbitrary fundamental frequency starting at 380 KHz by a frequency of n times (n = integer) of the fundamental frequency. Power for plasma generation having a waveform different from a simple single sinusoidal wave can be obtained. Therefore, for example, in the case of the plasma etching process, dissociation of gas molecules can be suppressed, or ion bombides of the etchant ions can be controlled, and the selectivity can be improved to provide good anisotropic etching. Therefore, the etching rate can be further improved.

Claims (8)

접지된 처리실과; 처리실내에 배치되고 플라즈마 처리공간을 형성하기 위하여 서로 대향하는 제 1 전극 및 제 2 전극과, 상기 제 1 전극이 피처리체를 지지하고 처리가스를 상기 플라즈마 처리공간 내로 공급하기 위한 처리가스 공급수단과; 상기 플라즈마 처리공간 내에 상기 처리가스의 플라즈마를 발생하도록 적어도 상기 제 1 전극에 고주파 전력을 공급하는 수단을 포함하는 반도체 장치의 플라즈마 처리장치에 있어서,A grounded process chamber; A first electrode and a second electrode disposed in the processing chamber and facing each other to form a plasma processing space, the first electrode supporting the target object, and processing gas supply means for supplying a processing gas into the plasma processing space; ; 10. A plasma processing apparatus of a semiconductor device comprising means for supplying high frequency power to at least the first electrode to generate plasma of the processing gas in the plasma processing space, 상기 처리실중에 고밀도의 플라즈마를 생성하는 플라즈마생성수단과,Plasma generating means for generating a high density plasma in the processing chamber; 상기 플라즈마 생성과는 독립하여 바이어스전압을 상기 시료대에 단일의 주파수의 전력을 가지고 인가하는 바이어스전원과,A bias power supply for applying a bias voltage to the sample stage with a single frequency of power independently of the plasma generation; 상기 플라즈마 생성에 따른 방전중에 변동하는 임피던스를 튜닝하도록 상기 바이어스전원을 조정하는 매칭 네트워크와,A matching network for adjusting the bias power to tune the impedance that varies during discharge due to the plasma generation; 상기 매칭 네트워크내에 장치되고, 상기 매칭 네트워크로부터 출력된 주파수는 바텀 주파수와 그 바텀 주파수에 소정의 주파수가 가산하는 바텀업 RF 주파수를 분리하는 스플리터와,A splitter installed in the matching network, the frequency output from the matching network is a splitter for separating a bottom frequency and a bottom-up RF frequency to which a predetermined frequency is added to the bottom frequency; 상기 바이어스전원을 고주파 전력에 저주파 전력에 의한 변조를 가하고, 상기 고주파 전력 및 저주파 전력을 각각 서셉터에 연결하는 경우, 기본 주파수를 가지는 전력에 기본 주파수의 소정의 바텀업 RF 주파수에 의하여 주파수 변조를 가하여 변조하는 주파수 변조수단을 구비한 것을 특징으로 하는 반도체 장치의 플라즈마 처리장치.When the bias power source is modulated by low frequency power to high frequency power, and the high frequency power and low frequency power are respectively connected to a susceptor, frequency modulation is performed by a predetermined bottom-up RF frequency of the fundamental frequency to power having a fundamental frequency. And a frequency modulating means for adding and modulating. 제 1 항에 있어서,The method of claim 1, 상기 소정의 바텀업 RF 주파수는 800 KHz 내지 150 MHz 로 출력하는 것을 특징으로 하는 반도체 장치의 플라즈마 처리장치.And said predetermined bottom-up RF frequency is output at 800 KHz to 150 MHz. 제 1 항에 있어서,The method of claim 1, 상기 주파수를 분리하는 스플리터는 그의 바텀 RF 주파수를 800 KHz 내지 13.56 MHz 로 입력할 때, 상기 바텀 RF 주파수와 상기 바텀 RF 주파수에 소정의 바텀업 RF 주파수와, 상기 바텀업 RF 주파수에 정수배를 곱하여 가산한 1개 이상의 주파수로 출력 가능한 것을 특징으로 하는 반도체 장치의 플라즈마 처리장치.The splitter for separating the frequency multiplies the bottom RF frequency with the bottom RF frequency by a predetermined bottom-up RF frequency and multiplies the bottom-up RF frequency by an integer multiple when the bottom RF frequency is input from 800 KHz to 13.56 MHz. A plasma processing apparatus for a semiconductor device, which can be output at one or more frequencies. 제 1 항에 있어서,The method of claim 1, 상기 주파수를 분리하는 스플리터는 그의 탑 RF 주파수를 150 MHz 로 입력할 때, 탑 RF 주파수와 800 KHz 내지 13.56 MHz의 탑 다운 RF 주파수로 출력 가능한 것을 특징으로 하는 반도체 장치의 플라즈마 처리장치.The splitter for separating the frequency is capable of outputting a top RF frequency and a top down RF frequency of 800 KHz to 13.56 MHz when the top RF frequency thereof is input at 150 MHz. 삭제delete 삭제delete 삭제delete 삭제delete
KR10-2002-0060711A 2002-10-04 2002-10-04 Plasma apparatus and method for processing the semiconductor device KR100390532B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0060711A KR100390532B1 (en) 2002-10-04 2002-10-04 Plasma apparatus and method for processing the semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0060711A KR100390532B1 (en) 2002-10-04 2002-10-04 Plasma apparatus and method for processing the semiconductor device

Publications (2)

Publication Number Publication Date
KR20020090948A KR20020090948A (en) 2002-12-05
KR100390532B1 true KR100390532B1 (en) 2003-07-04

Family

ID=27728619

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0060711A KR100390532B1 (en) 2002-10-04 2002-10-04 Plasma apparatus and method for processing the semiconductor device

Country Status (1)

Country Link
KR (1) KR100390532B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101037533B1 (en) * 2007-12-20 2011-05-26 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and plasma processing method

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100888807B1 (en) * 2007-05-23 2009-03-13 (주)제이하라 Apparatus for generating plasma

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990072585A (en) * 1998-02-13 1999-09-27 가나이 쓰도무 Method for treating surface of semiconductor device and apparatus thereof

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990072585A (en) * 1998-02-13 1999-09-27 가나이 쓰도무 Method for treating surface of semiconductor device and apparatus thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101037533B1 (en) * 2007-12-20 2011-05-26 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR20020090948A (en) 2002-12-05

Similar Documents

Publication Publication Date Title
US11476089B2 (en) Control method and plasma processing apparatus
KR100302167B1 (en) Plasma Treatment Equipment and Plasma Treatment Methods
KR100319664B1 (en) Plasma Treatment Equipment
KR100324792B1 (en) Plasma processing apparatus
KR100849708B1 (en) Plasma generation and control using a dual frequency RF source
TW202305935A (en) Control method and plasma treatment device
WO2013088677A1 (en) Plasma-treatment apparatus
JPH06338476A (en) Plasma processing method
TW201532108A (en) Plasma processing device
KR20100058346A (en) Synchronous pulse plasma etching equipment
KR20070102623A (en) Plasma generation and control using dual frequency rf signals
KR101764767B1 (en) Method and apparatus for plasma processing
CN111524781B (en) High-frequency power supply and plasma processing apparatus
US20190122863A1 (en) Plasma processing apparatus
CN110323121B (en) Plasma processing apparatus and plasma processing method
KR102341913B1 (en) Plasma processing apparatus and plasma processing method
JP4051209B2 (en) High frequency plasma processing apparatus and high frequency plasma processing method
JPH07297175A (en) Method and apparatus for plasma treatment
KR100390532B1 (en) Plasma apparatus and method for processing the semiconductor device
JPH0820880A (en) Dry etching method
KR20070116505A (en) Apparatus for processing of semiconductor wafer
JP4640939B2 (en) Plasma processing apparatus and plasma processing method
JPH0888218A (en) Method and device for plasma etching
JPH07249614A (en) Plasma etching method and its equipment
WO2017188029A1 (en) Plasma treatment apparatus

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130509

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20140512

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20150526

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20160527

Year of fee payment: 14

LAPS Lapse due to unpaid annual fee