KR100363062B1 - wafer heater - Google Patents

wafer heater Download PDF

Info

Publication number
KR100363062B1
KR100363062B1 KR1020000024097A KR20000024097A KR100363062B1 KR 100363062 B1 KR100363062 B1 KR 100363062B1 KR 1020000024097 A KR1020000024097 A KR 1020000024097A KR 20000024097 A KR20000024097 A KR 20000024097A KR 100363062 B1 KR100363062 B1 KR 100363062B1
Authority
KR
South Korea
Prior art keywords
heater
wafer
heating apparatus
center
terminal portion
Prior art date
Application number
KR1020000024097A
Other languages
Korean (ko)
Other versions
KR20000049900A (en
Inventor
박상규
Original Assignee
한빛 세마텍(주)
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 한빛 세마텍(주) filed Critical 한빛 세마텍(주)
Priority to KR1020000024097A priority Critical patent/KR100363062B1/en
Publication of KR20000049900A publication Critical patent/KR20000049900A/en
Application granted granted Critical
Publication of KR100363062B1 publication Critical patent/KR100363062B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

본 발명은 제작이 간단하고 웨이퍼를 균일하게 가열시킬 수 있도록 된 새로운 구조의 웨이퍼 가열장치에 대한 것이다.The present invention relates to a wafer heater of a novel structure which is simple to manufacture and which enables the wafer to be uniformly heated.

본 발명에 따르면, 반응챔버 내에 마련되고 웨이퍼를 가열시키는 히터를 가지는 웨이퍼 가열장치에 있어서, 상기 히터는 판형상의 가열판을 성형 또는 절삭가공에 의해 형성되는 이격홈(50)에 의해 일정한 두께와 폭을 가지는 스트립(60)이 동일평면상에서 소정의 패턴으로 연장되도록 구성되고, 이 스트립(60)의 양단에는 전원이 인가되는 단자부(18,19)가 구비된 것을 특징으로 하는 웨이퍼 가열장치가 제공된다.According to the present invention, in a wafer heating apparatus provided in a reaction chamber and having a heater for heating a wafer, the heater has a constant thickness and width by a spaced groove 50 formed by forming or cutting a plate-shaped heating plate. The branch strip 60 is configured to extend in a predetermined pattern on the same plane, and both ends of the strip 60 are provided with terminal portions 18 and 19 to which power is applied.

Description

웨이퍼 가열장치{wafer heater}Wafer heater

본 발명은 반도체 제조공정중 웨이퍼(wafer)에 유기 금속화합물을 증착시키기 위하여 진공챔버내에서 웨이퍼를 가열시키는 웨이퍼 가열장치에 관한 것으로서 더 상세하게는 제작이 간단하고 웨이퍼를 균일하게 가열시킬 수 있도록 된 새로운 구조의 웨이퍼 가열장치에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a wafer heating apparatus for heating a wafer in a vacuum chamber for depositing an organometallic compound on a wafer during a semiconductor manufacturing process. It relates to a wafer heater of a new structure.

일반적으로 반도체 제조공정중에는 출발물질인 유기 금속화합물을 버블러에서 기화시킨 다음, 기화된 유기 금속화합물을 반응챔버내로 공급하여 웨이퍼 상에 반도체 결정을 기상 성장시키는 공정이 포함된다.In general, a semiconductor manufacturing process includes vaporizing a semiconductor crystal on a wafer by vaporizing an organic metal compound, which is a starting material, in a bubbler, and then supplying the vaporized organic metal compound into a reaction chamber.

이와 같이 반응챔버내에서 웨이퍼에 유기 금속화합물을 증착시키는 가열장치에서는 웨이퍼를 가열시키는 히터(5)가 요구된다. 이러한 종래의 히터(5)는 도1에 도시된 바와 같이, 철, 니켈 합금(Ni-Cr, Fe-Cr-Al, Ni-Cr-Fe 합금등)등으로 된 열선(1)이 지그재그로 절곡되어 웨이퍼와 같은 형태인 원형으로 형성되고, 인접하는 열선(1)간의 절연을 위해 세라믹봉(4)이 결합되어 있으며, 또한 각 열선(1)간의 간격유지를 위해 접착 페이스트(미도시)가 도포되어 있다.Thus, in the heating apparatus which deposits an organometallic compound on a wafer in the reaction chamber, a heater 5 for heating the wafer is required. The conventional heater 5 is, as shown in Figure 1, the heating wire 1 made of iron, nickel alloys (Ni-Cr, Fe-Cr-Al, Ni-Cr-Fe alloy, etc.) is bent in a zigzag It is formed in a circular shape like a wafer, and the ceramic rods 4 are bonded to insulate the adjacent heating wires 1, and an adhesive paste (not shown) is applied to maintain the gap between the heating wires 1. It is.

한편, 히터(5) 재료로는 비금속 저항재료가 사용되는데, 비금속 절연재료로서 실리콘 카바이드(SiC), 이규소몰리브덴(MoSi2), 그래파이트(C)등은 고온의 산화분위기에서 사용이 가능하다.On the other hand, as the material of the heater 5, a non-metal resistive material is used. As the non-metal insulating material, silicon carbide (SiC), silicon molybdenum (MoSi 2 ), graphite (C), etc. can be used in a high temperature oxidation atmosphere.

이러한 히터(5)는 열선(1)의 양단자(2),(3)에 전원이 공급되면 열선(1)의 저항률 (약100∼150μΩ/cm)에 의해 열이 발생되어 웨이퍼를 가열시키게 된다.When the heater 5 is supplied with power to both terminals 2 and 3 of the heating wire 1, heat is generated by the resistivity (about 100 to 150 μm / cm) of the heating wire 1 to heat the wafer. .

그런데, 상기와 같은 구조를 가지는 웨이퍼 가열장치는 다음과 같은 문제점을 가진다.However, the wafer heating apparatus having the above structure has the following problems.

첫째, 웨이퍼의 균일한 가열을 위해 일정간격 유지시키면서 열선(1)을 여러번 절곡해야 되며, 각 열선부간의 절연을 위해 세라믹봉(4)을 결합시켜야 하는 번거로움이 있다.First, the heating wire 1 must be bent several times while maintaining a constant interval for uniform heating of the wafer, and there is a hassle of combining the ceramic rods 4 for insulation between the heating wire portions.

둘째, 열선(1)의 절곡부에는 세라믹봉(4)의 결합이 어렵게 되므로, 열선의 절곡부가 반응챔버내에 노출되게 되어 반응가스 및 고온에 의해 부식 또는 단선되는등 수명이 짧다는 문제점이 있다.Second, since it is difficult to couple the ceramic rods 4 to the bent portion of the heating wire 1, the bending portion of the heating wire is exposed in the reaction chamber, and there is a problem in that the life is short such as corrosion or disconnection due to the reaction gas and high temperature.

셋째, 히터(5)의 운반 또는 조립작업중 열선부간의 간격이 변형될 우려가 있으며, 또한 히터의 가장자리 즉, 절곡부에서는 불연속이 되므로 웨이퍼를 균일한 온도로 가열하기 어렵다.Third, there is a fear that the distance between the hot wire portions is deformed during the transport or assembly of the heater 5, and because the discontinuity occurs at the edge of the heater, that is, the bent portion, it is difficult to heat the wafer to a uniform temperature.

넷째, 히터재료로서 채용되는 실리콘 카바이드(SiC), 이규소몰리브덴(MoSi2)등은 산화와 고온에서의 사용은 가능하나, 실리콘 카바이드(SiC), 이규소몰리브덴(MoSi2)등은 가공성이 불량하며 세라믹 재질이기 때문에 충격에 약해서 부서지기 쉬운 단점이 있다.Fourth, silicon carbide (SiC) and isosilicon molybdenum (MoSi 2 ) used as a heater material can be used in oxidation and high temperature, but silicon carbide (SiC) and isosilicon molybdenum (MoSi 2 ) are poor in workability. And because it is a ceramic material, it is fragile and fragile.

본 발명은 상기의 문제점을 해결하기 위한 것으로서, 본 발명의 목적은 첫째, 제작이 간단하고 웨이퍼를 균일한 온도로 가열하는 것이 가능하며, 특정한 가열온도로의 도달시간이 대폭 단축되어 생산성이 향상되는 새로운 구조의 웨이퍼 가열장치를 제공하는 것이다.The present invention is to solve the above problems, an object of the present invention is first, the production is simple, it is possible to heat the wafer to a uniform temperature, the time to reach a specific heating temperature is significantly shortened to improve productivity It is to provide a wafer heater of a new structure.

도 1은 종래 히터를 나타낸 평면도.1 is a plan view showing a conventional heater.

도 2는 본 발명의 바람직한 실시예를 보인 단면도.2 is a cross-sectional view showing a preferred embodiment of the present invention.

도 3 및 도4는 상기 실시예에서 사용된 히터를 나타낸 평면도이다.3 and 4 are plan views showing heaters used in the above embodiment.

도 5는 히터의 온도제어를 나타낸 구성도.5 is a configuration diagram showing the temperature control of the heater.

<도면의 주요부분에 대한 부호의 설명><Description of the symbols for the main parts of the drawings>

17. 히터 18,19. 단자부17. Heater 18,19. Terminal

50. 이격홈 60. 스트립50. Spaced groove 60. Strip

본 발명에 따르면, 반응챔버 내에 마련되고 웨이퍼를 가열시키는 히터(17)를 가지는 웨이퍼 가열장치에 있어서, 상기 히터(17)는 판형상의 가열판을 성형 또는 절삭가공에 의해 형성되는 이격홈(50)에 의해 일정한 두께와 폭을 가지는 스트립(60)이 동일평면상에서 소정의 패턴으로 연장되도록 구성되고, 이 스트립(60)의 양단에는 전원이 인가되는 단자부(18,19)가 구비된 것을 특징으로 하는 웨이퍼 가열장치가 제공된다.According to the present invention, in the wafer heating apparatus provided in the reaction chamber and having a heater 17 for heating the wafer, the heater 17 is formed in a spaced groove 50 formed by forming or cutting a plate-shaped heating plate. And a strip 60 having a constant thickness and width is formed to extend in a predetermined pattern on the same plane, and both ends of the strip 60 are provided with terminal portions 18 and 19 to which power is applied. A heating device is provided.

본 발명의 다른 특징에 따르면, 상기 히터(17)에 구성되는 스트립(60)은 상기 일측 단자부(18)로부터 타측 단자부(19)를 향하여 그 반경이 감소되도록 반원호형으로 왕복하면서 중심을 향하고, 다시 그 중심으로부터 그 반경이 증가되도록 반원호형으로 왕복하면서 상기 타측 단자부(19)에 연결되는 패턴으로 된 것을 특징으로 하는 웨이퍼 가열장치가 제공된다.According to another feature of the invention, the strip 60 is configured in the heater 17 toward the center while reciprocating in a semi-circular arc so that its radius is reduced from the one terminal portion 18 toward the other terminal portion 19, again A wafer heating apparatus is provided, which has a pattern connected to the other terminal portion 19 while reciprocating in a semicircular shape so that its radius is increased from its center.

본 발명의 또 다른 특징에 따르면, 상기 히터(17)에 구성되는 스트립(60)은 상기 일측 단자부(18)로부터 다각형을 형성하며 중심부로 연장되고, 상기 타측 단자부(19)로부터 다각형을 형성하며 중심부로 연장되어, 상기 중심부에서 상호 연결되는 패턴으로 된 것을 특징으로 하는 웨이퍼 가열장치가 제공된다.According to another feature of the invention, the strip 60 is configured in the heater 17 extends to the center and forms a polygon from the one terminal portion 18, and forms a polygon from the other terminal portion 19 and the center Extending to, the wafer heating apparatus is characterized in that the pattern is interconnected at the center.

본 발명의 또 다른 특징에 따르면, 상기 히터(17)는 몰리브덴(Mo), 텅스텐(W), 백금(Pt), 탄탈(Ta)중 어느 하나로 이루어진 것을 특징으로 하는 웨이퍼가열장치.According to another feature of the invention, the heater 17 is a wafer heating apparatus, characterized in that made of any one of molybdenum (Mo), tungsten (W), platinum (Pt), tantalum (Ta).

본 발명의 또 다른 특징에 따르면, 상기 히터(17)는 그래파이트 또는 철, 니켈, 크롬의 합금 중 어느 하나로 이루어진 것을 특징으로 하는 웨이퍼 가열장치.According to another feature of the invention, the heater 17 is a wafer heating apparatus, characterized in that made of any one of graphite or an alloy of iron, nickel, chromium.

본 발명의 또 다른 특징에 따르면, 상기 히터(17)는 질화물(BN, TiN, TaN, WN), 탄화물 (Ta-Si-N), 실리콘 화합물(MoSi2, SiC, B4C) 등과 같은 내산화성물질인 중의 하나로 코팅된 것을 특징으로 하는 웨이퍼 가열장치.According to another feature of the invention, the heater 17 is made of nitride (BN, TiN, TaN, WN), carbide (Ta-Si-N), silicon compounds (MoSi 2 , SiC, B 4 C), etc. Wafer heating apparatus, characterized in that coated with one of the oxidizing material.

본 발명의 또 다른 특징에 따르면, 상기 양단자부(18,19)의 폭은 상기 히터(17)의 다른 부분의 폭보다 크게 형성된 것을 특징으로 하는 웨이퍼 가열장치.According to another feature of the invention, the width of the terminal portion (18, 19) is a wafer heating apparatus, characterized in that formed larger than the width of the other portion of the heater (17).

본 발명의 또 다른 특징에 따르면, 상기 양단자부(18,19)는 전도성이 있으며, 내산화성이 있는 물질인 TiN, TiSi2,MoSi2중의 하나로 코팅된 것을 특징으로 하는 웨이퍼 가열장치.According to another feature of the invention, the both terminals (18, 19) is a wafer heating apparatus, characterized in that the conductive and coated with one of the oxidation-resistant materials of TiN, TiSi 2, MoSi 2 .

이하 첨부된 도면을 참조하면서 본 발명에 따른 바람직한 실시예를 상세히 설명한다. 도 2와 도 3은 본 고안의 바람직한 실시예를 보인 도면이며, 도 4는 다른 실시예를 보인 도면이다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings. 2 and 3 is a view showing a preferred embodiment of the present invention, Figure 4 is a view showing another embodiment.

본 발명은 반도체 제조공정중에서 웨이퍼(wafer)에 유기 금속화합물을 증착시키기 위하여 진공챔버내에서 웨이퍼를 가열시키는 가열장치에 관한 것으로, 이 가열장치는 도 2에 도시된 바와 같이, 베이스(16)상에 파이프 형태의 지지대(15)가 설치되어 있고, 지지대(15)의 상단에는 히터케이스(11)가 구비된 것이다. 이때 상기 히터케이스(11)의 내부에는 소정간격으로 복수의 단열판(7),(8),(9)이 구비되고, 상기 단열판(7,8,9)의 상부에는 히터(17)가 구비되며, 이 히터(17)의 상부에는 기판홀더(6)가 구비된다.The present invention relates to a heating apparatus for heating a wafer in a vacuum chamber for depositing an organometallic compound on a wafer during a semiconductor manufacturing process, which is shown on FIG. The pipe-shaped support 15 is provided, the upper end of the support 15 is provided with a heater case (11). In this case, a plurality of heat insulating plates 7, 8, and 9 are provided in the heater case 11 at predetermined intervals, and a heater 17 is provided on the heat insulating plates 7, 8, and 9. The substrate holder 6 is provided on the heater 17.

상기 히터(17)는 판형상의 가열판으로 양단부에 전원이 인가되는 단자부(18,19)를 가지는데, 이 히터(17)에는 성형 또는 절삭가공에 의해 이격홈(50)이 형성되어 일정한 두께와 폭을 가지는 스트립(60)이 동일평면상에서 소정의 패턴으로 연장형성된다. 이때 상기 스트립(60)이 형성되는 패턴은 일측 단자부(18)로부터 타측 단자부(19)를 향하여 그 반경이 감소되도록 반원호형으로 왕복하면서 중심을 향하고, 다시 그 중심으로부터 그 반경이 증가되도록 반원호형으로 왕복하면서 타측 단자부(19)에 연결된 형상을 가진다.The heater 17 is a plate-shaped heating plate and has terminal portions 18 and 19 to which power is applied to both ends thereof. The heater 17 has a spaced groove 50 formed by molding or cutting to form a constant thickness and width. The strip 60 having the same extends in a predetermined pattern on the same plane. At this time, the pattern in which the strip 60 is formed is reciprocated in a semicircular arc shape so as to reduce its radius from one terminal portion 18 toward the other terminal portion 19 toward the center, and then in a semicircular arc shape so that its radius is increased from the center thereof. It has a shape connected to the other terminal portion 19 while reciprocating.

이와 같이 스트립(60)의 형상은 도 3에 도시된 바와 같이, 원형으로 형성될 수 있고, 도4에 도시된 바와 같이 전체적으로 사각의 구조로 되어, 각 양단자부(18,19)로부터 와형(渦形)을 이루면서 그 중심부에서 상호 연결되는 구조로 형성될 수 있다.Thus, the shape of the strip 60 can be formed in a circular shape, as shown in Figure 3, and has a rectangular shape as a whole as shown in Figure 4, the vortex from each terminal (18, 19) While forming a shape can be formed in a structure that is interconnected at its center.

한편, 상기 히터(17)는 저(低)저항의 단일금속 예컨대, 몰리브덴(Mo), 텅스텐(W), 백금(Pt) 및 탄탈(Ta)중 어느 하나로 형성된다. 또한 히터(17)는 그래파이트와 크롬의 합금으로 형성될 수 있다. 이러한 히터(17)는 단자부(18,19)에 전력이 공급되면 열이 발생되므로, 각 부분에서 균일한 온도를 유지할 수 있도록 일정한 두께 및 단면적으로 이루어진다.On the other hand, the heater 17 is formed of any one of low resistance single metal, for example, molybdenum (Mo), tungsten (W), platinum (Pt) and tantalum (Ta). In addition, the heater 17 may be formed of an alloy of graphite and chromium. Since the heater 17 generates heat when electric power is supplied to the terminal parts 18 and 19, the heater 17 is formed to have a constant thickness and a cross-sectional area so as to maintain a uniform temperature in each part.

또한, 히터에 대한 웨이퍼의 안착여유 및 온도의 균일도를 위하여 상기 히터(17)는 웨이퍼의 크기보다 10-20%정도 크게 가공되는 것이 바람직하다.In addition, the heater 17 is preferably processed about 10-20% larger than the size of the wafer in order to allow the wafer to be seated on the heater and uniformity of temperature.

또, 상기 히터(17)에는 공기중의 산소성분이나 이외 여러종류(H2O 또는 N2O)의 가스에 의한 산화반응을 방지하기 위하여, 내산화성 물질인 BN, 이규소몰리브덴(MoSi2), 실리카바이드(SiC) 등을 다양한 증착법, 예를 들면, 물리적 증착법(PVD(physical vapor deposition)), 화학증착법(CVD(chemical vapor deposition)) 또는 플라즈마 보조 화학증착법 등을 이용해 수십 ㎛의 두께로 코팅한다. 이와 같이 하면, 진공챔버내의 다른 가스반응을 방지할 뿐만 아니라 산소기체를 쓸 경우 대기중에서의 내산화성을 높이고, 구조적인 안정성을 확보할 수 있다.In addition, the heater 17 is a BN and a silicon molybdenum (MoSi 2 ), which is an oxidation resistant material, in order to prevent oxidation reaction caused by oxygen components in the air or other kinds of gases (H 2 O or N 2 O). , Silica carbide (SiC) and the like in a variety of deposition methods, for example, physical vapor deposition (PVD (physical vapor deposition), chemical vapor deposition (CVD (chemical vapor deposition)) or plasma-assisted chemical vapor deposition method, etc. do. In this way, not only other gas reactions in the vacuum chamber can be prevented, but also oxygen gas is used to increase oxidation resistance in the air and structural stability can be ensured.

한편, 전원이 인가되는 양단자부(18,19)에는 산화를 막고 또한 대전류를 공급하기 위해서 저항이 낮은 내산화성물질(TiN, TiSi2, MoSi2)이 코팅된다. 그러나 이러한 전원 단자부(18,19)에 내산화성물질이 코팅되었음에도 불구하고, 접촉저항의 증가로 인하여 많은 열이 발생되기 쉬우므로 전원 단자부(18,19)의 면적을 다른 발열부분에 비하여 약간 크게 설계한다.On the other hand, both terminals 18 and 19 to which power is applied are coated with a low resistance oxidation resistant material (TiN, TiSi 2 , MoSi 2 ) to prevent oxidation and to supply a large current. However, despite the coating of the oxidation resistant material on the power supply terminals 18 and 19, since a large amount of heat is easily generated due to an increase in contact resistance, the area of the power supply terminals 18 and 19 is slightly larger than other heating parts. do.

이러한 히터(17)는 전원 단자부(18,19)에 결합되는 지지봉(12)에 의해 고정되어 전원을 공급받으며, 지지봉(12)은 하부 단열판부분(10)에서 세라믹으로 절연되어 고정된다.The heater 17 is fixed by the support rods 12 coupled to the power supply terminals 18 and 19 to receive power, and the support rods 12 are insulated and fixed by ceramic in the lower insulation plate portion 10.

이아 같은 구성을 가지는 본 발명에 의한 가열장치는 히터(17)가 평면의 구조를 가짐으로써, 제조가 간단할 뿐만 아니라 웨이퍼를 균일하게 가열시킬 수 있고 또한, 저(低) 저항의 금속으로 형성되므로 급속가열이 가능하며, 내산화성물질이 코팅되어 진공챔버 내에서의 화학적반응이 방지된다.In the heating apparatus according to the present invention having the above-described configuration, since the heater 17 has a flat structure, not only is it easy to manufacture, but it is also possible to uniformly heat the wafer and is formed of a low resistance metal. Rapid heating is possible, and the oxidation resistant material is coated to prevent chemical reaction in the vacuum chamber.

한편, 상기 히터(17)의 온도 제어는 일반적인 디지털 온도조절계와 전력조정기(THYRISTER POWER REGULATOR)를 사용하는데, 도 5에 도시된 바와 같이, 디지털 온도조절계의 디스플레이 부분에는 열전쌍에 의해 감지되는 온도와 도달온도 부분이 있고, 도달온도를 설정하는 버튼이 있다. 열전쌍은 K-type으로 상온에서 -50℃∼1300℃까지 측정가능하다. 열전쌍에 의해 감지되는 온도와 도달온도에 따라서 전원공급이 조절되며 전력조정기에 연결되는 가변저항에 따라 전압의 크기가 조절된다. 그리고 히터의 저항에 따라서 적절한 변압기를 사용한다.On the other hand, the temperature control of the heater 17 uses a general digital thermostat and a power regulator (THYRISTER POWER REGULATOR), as shown in Figure 5, the display portion of the digital thermostat reaches the temperature detected by the thermocouple There is a temperature section, and there is a button to set the temperature reached. Thermocouple is a K-type and can be measured from -50 ℃ to 1300 ℃ at room temperature. The power supply is adjusted according to the temperature and temperature reached by the thermocouple, and the voltage level is adjusted according to the variable resistor connected to the power regulator. And use proper transformer according to heater's resistance.

한편, 본 발명은 상기 실시예에 한정되지 아니하고 본원의 기술적 사상을 벗어나지 않는 범위내에서 변형을 가할 수 있음은 물론이다.On the other hand, the present invention is not limited to the above embodiments and can be modified without departing from the spirit of the present application.

이상에서와 같은 본 발명에 의하면, 히터가 단일의 금속으로 되고 평면구조로 구성되므로 제조가 간단할 뿐만 아니라, 히터의 가장자리에서 호형 또는 사각의 구조로 연속으로 형성되기 때문에 균일한 온도로 가열이 가능하며, 히터에 내산화성물질이 코팅되므로 부식 및 단선 등에 안정한 웨이퍼 가열장치가 제공된다.According to the present invention as described above, since the heater is made of a single metal and is composed of a flat structure, not only is the production simple, but also the heating is possible at a uniform temperature because the heater is formed continuously in an arc or square structure. In addition, since the oxidation resistant material is coated on the heater, a wafer heating apparatus stable to corrosion and disconnection is provided.

또한, 본 발명에 의한 가열장치에서 사용되는 히터는 각 평면판에 이격홈이 형성된 평면구조를 가지므로, 그 변형도가 대폭 경감되어 별도의 절연재없이도 발열부간의 절연이 가능하고, 저(低) 저항의 금속으로 형성되기 때문에 소정의 가열온도로의 도달시간이 대폭 단축되어, 증착공정시간을 대폭 단축시킬 수 있어서 생산성이 향상된다.In addition, since the heater used in the heating apparatus according to the present invention has a planar structure in which spaced grooves are formed in each flat plate, the deformation thereof is greatly reduced, and insulation between the heating parts can be performed without a separate insulating material. Since it is formed of a metal of resistance, the time to reach a predetermined heating temperature is greatly shortened, and the deposition process time can be shortened significantly, thereby improving productivity.

Claims (8)

반응챔버 내에 마련되고 웨이퍼를 가열시키는 히터를 가지는 웨이퍼 가열장치에 있어서, 상기 히터는 판형상의 가열판을 성형 또는 절삭가공에 의해 형성되는 이격홈(50)에 의해 일정한 두께와 폭을 가지는 스트립(60)이 동일평면상에서 소정의 패턴으로 연장되도록 구성되고, 이 스트립(60)의 양단에는 전원이 인가되는 단자부(18,19)가 구비된 것을 특징으로 하는 웨이퍼 가열장치.In the wafer heating apparatus provided in the reaction chamber and having a heater for heating the wafer, the heater is strip 60 having a constant thickness and width by the spaced groove 50 formed by forming or cutting a plate-shaped heating plate And a terminal portion (18, 19) to which power is applied to both ends of the strip (60). 제1항에 있어서, 상기 소정패턴은 상기 일측 단자부로부터 타측 단자부를 향하여 그 반경이 감소되도록 반원호형으로 왕복하면서 중심을 향하고, 다시 그 중심으로부터 그 반경이 증가되도록 반원호형으로 왕복하면서 상기 타측 단자부에 연결되도록 한 것을 특징으로 하는 웨이퍼 가열장치.The method of claim 1, wherein the predetermined pattern is reciprocated in a semicircular arc shape so as to reduce its radius from the one terminal portion to the other terminal portion, and toward the center, and again in a semicircular arc shape so as to increase its radius from the center. Wafer heating apparatus characterized in that the connection. 제1항에 있어서, 상기 소정패턴은 상기 일측 단자부로부터 다각형을 형성하며 중심부로 연장되고, 상기 타측 단자부로부터 다각형을 형성하며 중심부로 연장되어, 상기 중심부에서 양단자부가 상호 연결되도록 한 것을 특징으로 하는 웨이퍼 가열장치.The method of claim 1, wherein the predetermined pattern extends from the one terminal portion to the center and forms a polygon, and from the other terminal portion to form a polygon and extends to the center, so that both terminals are connected to each other at the center. Wafer heater. 제1항 내지 제3항중 어느 한 항에 있어서, 상기 히터(17)는 몰리브덴(Mo), 텅스텐(W), 백금(Pt), 탄탈(Ta)중 어느 하나로 이루어진 것을 특징으로 하는 웨이퍼가열장치.The wafer heating apparatus according to any one of claims 1 to 3, wherein the heater (17) is made of one of molybdenum (Mo), tungsten (W), platinum (Pt), and tantalum (Ta). 제1항 내지 제3항중 어느 한 항에 있어서, 상기 히터(17)는 그래파이트 또는 철, 니켈, 크롬의 합금 중 어느 하나로 이루어진 것을 특징으로 하는 웨이퍼 가열장치.The wafer heating apparatus according to any one of claims 1 to 3, wherein the heater (17) is made of graphite or an alloy of iron, nickel, and chromium. 제4항에 있어서, 상기 히터(17)는 질화물(BN, TiN, TaN, WN), 탄화물 (Ta-Si-N), 실리콘 화합물(MoSi2, SiC, B4C) 등과 같은 내산화성물질인 중의 하나로 코팅된 것을 특징으로 하는 웨이퍼 가열장치.The method of claim 4, wherein the heater 17 is an oxidation resistant material such as nitride (BN, TiN, TaN, WN), carbide (Ta-Si-N), silicon compound (MoSi 2 , SiC, B 4 C) and the like. Wafer heating apparatus, characterized in that coated with one of. 제1항 내지 제3항중 어느 한 항에 있어서, 상기 양단자부(18,19)의 폭은 상기 히터(17)의 다른 부분의 폭보다 크게 형성된 것을 특징으로 하는 웨이퍼 가열장치.4. The wafer heating apparatus according to any one of claims 1 to 3, wherein the width of the both terminal portions (18, 19) is larger than the width of the other portion of the heater (17). 제7항에 있어서, 상기 양단자부(18,19)는 전도성이 있으며, 내산화성이 있는 물질인 TiN, TiSi2,MoSi2중의 하나로 코팅된 것을 특징으로 하는 웨이퍼 가열장치.8. The wafer heating apparatus according to claim 7, wherein the terminal portions (18, 19) are coated with one of TiN, TiSi 2 and MoSi 2 , which are conductive and have oxidation resistance.
KR1020000024097A 2000-05-04 2000-05-04 wafer heater KR100363062B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020000024097A KR100363062B1 (en) 2000-05-04 2000-05-04 wafer heater

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020000024097A KR100363062B1 (en) 2000-05-04 2000-05-04 wafer heater

Publications (2)

Publication Number Publication Date
KR20000049900A KR20000049900A (en) 2000-08-05
KR100363062B1 true KR100363062B1 (en) 2002-12-02

Family

ID=19668078

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020000024097A KR100363062B1 (en) 2000-05-04 2000-05-04 wafer heater

Country Status (1)

Country Link
KR (1) KR100363062B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100725722B1 (en) 2005-06-29 2007-06-08 피에스케이 주식회사 Semiconductor fabrication Apparatus
KR101310296B1 (en) 2011-09-09 2013-09-24 (주)엠엠티 Thermal Evaporator

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689695B1 (en) * 2000-12-28 2007-03-08 엘지.필립스 엘시디 주식회사 Susceptor having first and second thermocouples
KR100431655B1 (en) * 2001-08-28 2004-05-17 삼성전자주식회사 Heater assembly for heating a wafer
JP4942385B2 (en) * 2006-04-25 2012-05-30 東芝三菱電機産業システム株式会社 Soaking equipment
KR101367588B1 (en) * 2013-08-20 2014-02-25 홍순옥 Heater device of hopper

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100725722B1 (en) 2005-06-29 2007-06-08 피에스케이 주식회사 Semiconductor fabrication Apparatus
KR101310296B1 (en) 2011-09-09 2013-09-24 (주)엠엠티 Thermal Evaporator

Also Published As

Publication number Publication date
KR20000049900A (en) 2000-08-05

Similar Documents

Publication Publication Date Title
EP0964433B1 (en) Multiple-layered ceramic heater
US8168050B2 (en) Electrode pattern for resistance heating element and wafer processing apparatus
US6080970A (en) Wafer heating apparatus
US8168926B2 (en) Heating device
KR101299496B1 (en) Ceramics heater and method for manufacturing the ceramics heater
US7952054B2 (en) Heating element
US7053339B2 (en) Ceramic heater
JP2003133195A (en) Heater
EP1588404A2 (en) Wafer handling apparatus
US7247817B2 (en) Ceramic heater having a resistance heater element
TW200527580A (en) Heating device
KR100363062B1 (en) wafer heater
JP3560456B2 (en) Multilayer ceramic heater
JP2004514287A (en) Apparatus and method for heating a heat treatment system by resistance
CN101101855A (en) Electrode pattern for resistance heating element and wafer processing apparatus
US7332694B2 (en) Heating resistances and heaters
US20120145701A1 (en) Electrical resistance heater and heater assemblies
JP3793554B2 (en) Disc heater
JP4122723B2 (en) Object holder
JP3793555B2 (en) Disc heater
JP3844408B2 (en) Multilayer ceramic heater
JP2001068255A (en) Disk-shaped heater
JP4654152B2 (en) Heating element
JP4000236B2 (en) Ceramic heater
JP2002299015A (en) Ceramic heater

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20081119

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee