KR100276127B1 - Processing apparatus and method for process - Google Patents

Processing apparatus and method for process Download PDF

Info

Publication number
KR100276127B1
KR100276127B1 KR1019940005067A KR19940005067A KR100276127B1 KR 100276127 B1 KR100276127 B1 KR 100276127B1 KR 1019940005067 A KR1019940005067 A KR 1019940005067A KR 19940005067 A KR19940005067 A KR 19940005067A KR 100276127 B1 KR100276127 B1 KR 100276127B1
Authority
KR
South Korea
Prior art keywords
chamber
holding body
inert gas
atmosphere
conveying
Prior art date
Application number
KR1019940005067A
Other languages
Korean (ko)
Other versions
KR940022935A (en
Inventor
가쓰히코 이와부치
료이치 오쿠라
다카노부 아사노
Original Assignee
마쓰바 구니유키
도오교오에레구토론도오호쿠가부시끼가이샤
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=13743350&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR100276127(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 마쓰바 구니유키, 도오교오에레구토론도오호쿠가부시끼가이샤, 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 마쓰바 구니유키
Publication of KR940022935A publication Critical patent/KR940022935A/en
Application granted granted Critical
Publication of KR100276127B1 publication Critical patent/KR100276127B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명의 처리장치는, 피처리체에 소정의 처리를 실시하는 처리실과, 처리체를 유지한 유지체를 처리설에 대하여 반입 및 반출하는 반송수단을 구비한 반송실과, 반송실 내를 소정의 불활성 가스 분위기로 유지하는 불활성 가스 공급 및 배기수단과, 반송실에 인접하여 설치되고, 적어도 유지체를 수용가능한 용적을 가지며, 반송실 내의 분위기를 외기와 차단시킨 상태에서 유지체를 반송실에 대하여 반출 및 반입가능하게 하는 유지체 수용실과, 유지체 수용실 내를 진공분위기 또는 소정의 불활성 가스 분위기로 치환가능하게 한 내부 분위기 치환수단과, 유지체 수용실에 인접하여 설치되고, 피처리체를 유지체 수용실 내의 유지체에 이송하는 이송수단을 구비한 피처리체 이송실을 갖추고 있다.The processing apparatus of the present invention includes a processing chamber which performs a predetermined treatment on a processing target object, a transfer chamber having a carrying means for carrying in and taking out a holding body holding the processing body with respect to a processing structure, and a predetermined inertness of the inside of the transfer chamber. Inert gas supply and exhaust means for maintaining in a gas atmosphere, and adjacent to the transport chamber, and having a volume that can accommodate the retainer at least, and carrying the retainer to the transport chamber while the atmosphere in the transport chamber is blocked from outside air. And a holding body accommodating chamber capable of carrying in therein, an internal atmosphere replacing means for allowing the inside of the holding body accommodating chamber to be replaced with a vacuum atmosphere or a predetermined inert gas atmosphere, and adjacent to the holding body accommodating chamber. A to-be-processed object chamber provided with a conveying means for conveying to the holding body in a storage chamber is provided.

Description

처리장치 및 처리방법Treatment device and treatment method

제1도는 본 발명의 일실시예에 관한 처리장치의 개략 구성도.1 is a schematic configuration diagram of a processing apparatus according to an embodiment of the present invention.

제2도는 제1도의 처리장치의 개략 평면도.2 is a schematic plan view of the processing apparatus of FIG.

제3도는 유지체 수용실 저부의 단면도.3 is a cross-sectional view of the bottom of the housing chamber.

제4도는 종래 처리장치의 단면도.4 is a cross-sectional view of a conventional processing apparatus.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

1 : 프로세스 튜브 2 : 매니홀드1: process tube 2: manifold

2a : 플랜지부 3 : 배기관2a: flange 3: exhaust pipe

4, 12 : 가스도입관 6 : 보호커버4, 12: gas introduction pipe 6: protective cover

7a : 플랜지 7b : 저부7a: flange 7b: bottom

7 : 웨이퍼 보트 8 : 오토셔터7: wafer boat 8: auto shutter

10 : 반송실 11 : 승강기구10: conveying room 11: lifting mechanism

11a : 보트 엘레베이터 11b : 볼나사 장치11a: boat elevator 11b: ball screw device

11, 15, 31, 33, 36, 40 : 반송장치 13 : 가스배출관11, 15, 31, 33, 36, 40: conveying device 13: gas discharge pipe

15b : 다관절 아암 15 : 반송기구15b: articulated arm 15: conveying mechanism

15a : 구동부 20b : 웨이퍼 보트 재치대15a: drive unit 20b: wafer boat mounting table

20 : 웨이퍼 보트 수용실 20a : 하우징20: wafer boat accommodation chamber 20a: housing

21 : 프론트 도어 22 : 리어도어21: front door 22: rear door

23, 24, 25 : 관로(진공배관) 30 : 웨이퍼 이송실23, 24, 25: pipeline (vacuum piping) 30: wafer transfer chamber

31 : I/O포트 32 : 캐리어 트랜스퍼31: I / O port 32: carrier transfer

33 : 엘레베이터 34 : 트랜스퍼 스테이지33: elevator 34: transfer stage

35 : 캐리어 스톡 스테이지 36 : 웨이퍼 트랜스퍼35: carrier stock stage 36: wafer transfer

37 : 이송용 엘레베이터 40 : 자세변환기구37: transfer elevator 40: posture change mechanism

42 : 승강장치 44, 74 : N2가스공급부42: lifting device 44, 74: N 2 gas supply unit

46, 48, 50, 54, 56, 60, 64, 68, 70 : 개폐밸브46, 48, 50, 54, 56, 60, 64, 68, 70: on-off valve

49, 52, 58 : 진공펌프 62 : 흡인펌프49, 52, 58: vacuum pump 62: suction pump

66 : 매스플로우 콘트롤러 68, 70 : 가스변환밸브66: mass flow controller 68, 70: gas conversion valve

72 : 구동제어부 76 : 처리가스 공급부72: drive control unit 76: process gas supply unit

83 : 핀 84 : 검출회로83: pin 84: detection circuit

85 : 히터 87 : 구멍85: heater 87: hole

88 : 접속판 89 : 검지수단88: connection plate 89: detection means

89b : 발광부 89c : 수광부89b: light emitting portion 89c: light receiving portion

89a : 가동검출체 140 : 로드로크실89a: movable detector 140: load lock chamber

150 : 반송수단 C, 90 : 웨이퍼 캐리어150: conveying means C, 90: wafer carrier

W : 웨이퍼W: Wafer

본 발명은, 예를들면 반도체 웨이퍼 등의 피처리체에 대하여 소정의 처리를 실시하는 처리장치 및 처리방법에 관한 것이다.TECHNICAL FIELD This invention relates to the processing apparatus and processing method which perform a predetermined process with respect to a to-be-processed object, for example, a semiconductor wafer.

피처리체에 대하여 소정의 처리를 실시하는 처리장치로서는, 여러가지의 장치가 알려져 있으나, 예를들면 반도체 제조공정에 있어서는, 피처리체인 반도체 웨이퍼(이하, 단순히 웨이퍼라고 한다)에 대하여 산화막을 형성 한다거나 열 CVD법에 의하여 박막을 형성한다거나, 또는 열확산법에 의하여 불순물 농도영역을 형성한다거나 하는 등의 처리를 하는 각종 처리장치가 사용되고 있다.Although various apparatuses are known as a processing apparatus that performs a predetermined process on a target object, for example, in a semiconductor manufacturing process, an oxide film is formed or heat is formed on a semiconductor wafer (hereinafter simply referred to as a wafer) which is a target object. Various processing apparatuses for processing such as forming a thin film by CVD or forming an impurity concentration region by thermal diffusion are used.

이와 같은 처리장치로서는, 종래의 횡형 장치에서 최근에는 종형 장치가 많이 채택되고 있다. 이 종형 처리장치는 가열된 대략 원통형의 종형 처리실(이하, 프로세스 튜브라고 함) 내의 고온 분위기 중에 여러 장의 웨이퍼를 수용한 웨이퍼 보트를 삽입한 상태에서; 프로세스 튜브내에 소정의 처리가스를 도입하고, 웨이퍼에 대하여 각종의 처리를 할 수가 있다.As such a processing apparatus, many vertical apparatuses are employ | adopted in the conventional horizontal apparatus in recent years. This vertical processing apparatus includes a wafer boat in which several wafers are accommodated in a high temperature atmosphere in a heated substantially cylindrical vertical processing chamber (hereinafter referred to as a process tube); A predetermined process gas can be introduced into the process tube to perform various processes on the wafer.

제4도는 종형 열처리장치의 일예를 나타낸 것이다. 도시한 바와 같이 종형 열처리장치는, 예를들면 석영제의 프로세스 튜브(1)의 하측에 매니홀드(2)가 설치되고, 매니홀드(2)에 설치된 배기관(3)과 가스 도입관(4)에 의하여 프로세스 튜브(1) 내에 대한 가스 공급과 배기가 이루어질 수가 있다.4 shows an example of a vertical heat treatment apparatus. As shown in the figure, in the vertical heat treatment apparatus, for example, a manifold 2 is provided below the quartz process tube 1, and an exhaust pipe 3 and a gas introduction pipe 4 provided in the manifold 2 are provided. As a result, gas supply and exhaust to the process tube 1 can be achieved.

프로세스 튜브(1)의 외측에는, 통형상의 히터(5)가 프로세스 튜브(1)를 둘러싸듯이 설치되며, 이 히터(5)에 의하여 프로세스 튜브(1) 내를 소망하는 온도로 가열 제어할 수 있도록 되어 있다.A tubular heater 5 is provided outside the process tube 1 so as to surround the process tube 1, and the heater 5 can control the heating of the inside of the process tube 1 to a desired temperature. It is supposed to be.

다수 장의 웨이퍼(W)를 수납한 웨이퍼 보트(7)는, 이송기구를 구성하는 보트 엘레베이터(11a)에 의하여 반송실(1O)로부터 프로세스 튜브(1) 내로 삽입되며, 웨이퍼 보트(7)의 플랜지(7a)를 매니홀드(2)의 플랜지부(2a)에 시일상태로 맞추는 것에 의하여, 프로세스 튜브(1) 내를 밀폐한다. 반송실(10)에 인접하여 설치되는 로드로크실(140) 내에는, 도시하지 않은 캐리어 반송수단에 의하여 반송된 웨이퍼 캐리어(C)와, 웨이퍼 캐리어(C)에 수납된 웨이퍼(W)를 웨이퍼 보트(7)에 반송하거나 반대로 웨이퍼 보트(7)에 설치된 웨이퍼(W)를 웨이퍼 캐리어(C)로 반송하기 위한 웨이퍼 반송수단(150)이 설치되어 있다. 상기한 바와 같이 구성되는 처리장치를 사용하여 웨이퍼(W)에 소정의 처리를 실시하는 경우는, 우선, 상기 캐리어 반송수단에 의하여 웨이퍼 캐리어(C)를 프론트(21)를 통하여 로드로크실(140) 내로 반입하며, 로드로크실(140) 내를 외기와 격리한 상태, 예를들면 N2(질소) 등의 불활성 가스 분위기(비산소 분위기)하에서, 웨이퍼 캐리어(C)에 수용된 웨이퍼(W)를 웨이퍼 반송수단(150)에 의하여 리어도어(22)를 통하여 웨이퍼 보트(7)에 수납한다.The wafer boat 7 containing a plurality of wafers W is inserted into the process tube 1 from the transfer chamber 10 by the boat elevator 11a constituting the transfer mechanism, and the flange of the wafer boat 7 is provided. The inside of the process tube 1 is sealed by fitting 7a to the flange portion 2a of the manifold 2 in a sealed state. In the load lock chamber 140 provided adjacent to the transfer chamber 10, the wafer carrier C conveyed by the carrier conveyance means which is not shown in figure, and the wafer W accommodated in the wafer carrier C are wafers. Wafer conveying means 150 is provided for conveying the wafer W provided to the boat 7 or vice versa to the wafer carrier C. In the case where a predetermined process is performed on the wafer W using the processing apparatus configured as described above, first, the load carrier chamber 140 moves the wafer carrier C through the front 21 by the carrier conveying means. ) And the wafer W housed in the wafer carrier C under an inert gas atmosphere (non-oxygen atmosphere) such as N 2 (nitrogen), and the inside of the load lock chamber 140 in an outside atmosphere. Is stored in the wafer boat 7 via the rear door 22 by the wafer transfer means 150.

그 후, 웨이퍼 보트(7)를 보트 엘레베이터(11a)로 승강시켜서 프로세스 튜브(1) 내에 반입하여 프로세스 튜브(1)를 기밀상태로 유지한 후, 배기관(3)을 통하여 프로세스 튜브(1) 내의 가스를 배출하여 프로세스 튜브(1) 내를 소정의 진공상태로 한다.Thereafter, the wafer boat 7 is lifted into the boat elevator 11a and brought into the process tube 1 to hold the process tube 1 in an airtight state, and then into the process tube 1 through the exhaust pipe 3. The gas is discharged to bring the inside of the process tube 1 into a predetermined vacuum state.

프로세스 튜브(1) 내가 소정의 진공상태에 도달하였다면, 가스 도입관(4)을 통하여 처리가스를 프로세스 튜브(1) 내에 도입하여 웨이퍼(W)에 대하여 원하는 처리를 한다.When the process tube 1 reaches a predetermined vacuum state, process gas is introduced into the process tube 1 through the gas introduction tube 4 to perform a desired process on the wafer W. As shown in FIG.

처리 후는, 배기관(3)을 통하여 프로세스 튜브(1) 내의 처리가스를 배출하여, 프로세스 튜브(1) 내를 소정의 진공상태로 하고, 그후, 가스 도입관(4)을 통하여 프로세스 튜브(1) 내에 N2가스를 도입한다.After the treatment, the process gas in the process tube 1 is discharged through the exhaust pipe 3 to bring the inside of the process tube 1 into a predetermined vacuum state, and thereafter, the process tube 1 is passed through the gas introduction tube 4. N 2 gas is introduced into the.

그리고, 프로세스 튜브(1) 내의 N2가스 압력이 반송실(10)의 N2가스 압력과 같게 된 단계에서, 웨이퍼 보트(7)를 하강시켜서 프로세스 튜브(1) 내로부터 웨이퍼 보트(7)를 반출하며, 다시 웨이퍼 반송수단(150)에 의하여 웨이퍼 보트(7)에 수용된 처리 완료된 웨이퍼(W)를 로드로크실(140) 내의 웨이퍼 캐리어(C)에 수용한다.Then, at the stage where the N 2 gas pressure in the process tube 1 becomes equal to the N 2 gas pressure in the transfer chamber 10, the wafer boat 7 is lowered to lift the wafer boat 7 from within the process tube 1. It carries out, and the processed wafer W accommodated in the wafer boat 7 by the wafer conveyance means 150 is accommodated in the wafer carrier C in the load lock chamber 140 again.

이렇게 한 종형 처리장치에 있어서의 처리작업에서, 반송실(10)로부터 웨이퍼(W)를 웨이퍼 보트(7)와 함께 프로세스 튜브(1) 내로 반입하는 때나, 처리 후에 웨이퍼(W)를 웨이퍼 보트(7)와 함께 프로세스 튜브(1) 내로부터 반출하는 때, 프로세스 튜브(1)의 개구부 부근이 꽤 고온의 분위기 상태이기 때문에, 거기에 대기가 존재하면, 이 대기중의 산소(O2)에 의하여 웨이퍼(W) 표면에 자연산화막이 형성되어버리고 마는 문제가 있다.In the processing operation in the vertical processing apparatus as described above, the wafer W is brought into the process tube 1 together with the wafer boat 7 from the transfer chamber 10 or after the processing. In the case of carrying out from the process tube 1 together with the 7), since the vicinity of the opening of the process tube 1 is a very high temperature atmosphere, if there is an atmosphere therein, oxygen (O 2 ) in the atmosphere There is a problem that a natural oxide film is formed on the surface of the wafer W.

또, 웨이퍼(W)의 처리작업을 되풀이하여 하는 이러한 종류의 처리장치로서는, 반송실(10) 내에 카본 등의 가스형상 불순물이 발생하거나, 오일 찌꺼기나 먼지 등의 입자형상 불순물(퍼티클)이 발생하기 쉽다.Moreover, as this kind of processing apparatus which repeats the process of processing the wafer W, gas-like impurities, such as carbon, generate | occur | produce in the conveyance chamber 10, or particle-like impurities (pericle), such as oil debris and dust, Easy to occur

따라서, 만약 이러한 불순물이 반송실(10) 내에 존재하면, 불순물이 웨이퍼(W)에 부착하거나 화학반응을 일으켜서 반도체 소자의 특성을 악화시킨다거나 생산성을 저하시킨다.Therefore, if such impurities are present in the transfer chamber 10, the impurities adhere to the wafer W or cause a chemical reaction to deteriorate the characteristics of the semiconductor element or lower the productivity.

또, 프로세스 튜브(1)로부터의 열기방출이나 고온으로 가열된 처리가 끝난 웨이퍼(W)로부터의 복사열 등에 의하여 반송실(10) 내의 N2가스 분위기가 이상 승온한다. 반송실(10) 내를 기밀상태로 유지한 상태에서, 프로세스 튜브(1)에 대한 웨이퍼 보트(7)의 반입 및 반출을 N2가스 등의 불활성 가스 분위기(비산소 분위기)하에서 행 함과 동시에, 반송실(10)내의 기체를 교환함으로써, 반송실(10) 내를 대기가 침입하지 않는 클린한 상태로 유지함과 동시에, 반송실(10) 내에 열기가 가득차는 것을 방지하고 있다.In addition, the N 2 gas atmosphere in the transfer chamber 10 is abnormally heated by heat release from the process tube 1 or radiant heat from the processed wafer W heated at a high temperature. While the inside of the transfer chamber 10 is kept in an airtight state, loading and unloading of the wafer boat 7 to and from the process tube 1 are performed under an inert gas atmosphere (non-oxygen atmosphere) such as N 2 gas. By exchanging the gas in the conveyance chamber 10, the inside of the conveyance chamber 10 is kept in a clean state where the atmosphere does not enter, and the heat in the conveyance chamber 10 is prevented from filling up.

구체적으로는, 외부로부터의 대기의 침입을 저지하기 위하여 반송실(10) 내의 분위기를 항상 양압으로 유지함과 동시에, 퍼지가스로서 청정한 불활성 가스를 가스 도입관(12)을 통하여 반송실(10) 내로 항상 도입하는 한편, 반송실(10) 내의 불활성 가스를 불순물과 함께 배기관(13)을 통하여 끊임없이 외부로 배출하여 반송실(10) 내의 불활성 가스 분위기를 양압으로 또 고순도로 유지하고 있다.Specifically, in order to prevent invasion of the atmosphere from the outside, the atmosphere in the conveyance chamber 10 is always maintained at a positive pressure, and clean inert gas as the purge gas is introduced into the conveyance chamber 10 through the gas introduction pipe 12. While always being introduced, the inert gas in the transfer chamber 10 is constantly discharged to the outside through the exhaust pipe 13 together with the impurities to maintain the inert gas atmosphere in the transfer chamber 10 at a positive pressure and high purity.

그러나, 반송실(10) 만을 양압으로 또 고순도로 유지하여도, 웨이퍼(W)가 반출 및 반입되는 로드로크실(140) 내에의 유통을 방치하고 있었기 때문에, 전술한 문제점을 충분하게 해결할 수는 없다.However, even if only the transfer chamber 10 is maintained at a positive pressure and high purity, the distribution in the load lock chamber 140 from which the wafers W are carried out and carried in is left unattended, and thus the above-described problems cannot be sufficiently solved. none.

이 때문에, 웨이퍼(W)를 수납한 웨이퍼 캐리어(C)를 로드로크실(140) 내로 반입한 시점에서, 프론트 도어(21)를 닫아서 로드로크실(140)을 밀폐한 후, 로드로크실(140) 내에 남는 대기를 배기하여 로드로크실(140)내의 분위기를 불활성 가스에 의하여 퍼지하는 방법이 채택되고 있다.For this reason, when the wafer carrier C which accommodated the wafer W was carried into the load lock chamber 140, after closing the front door 21 and sealing the load lock chamber 140, the load lock chamber ( A method of exhausting the air remaining in the 140 and purging the atmosphere in the load lock chamber 140 with an inert gas is adopted.

그리고, 이 방법에 의하여 대기가 불활성 가스로 치환된 청정한 분위기 중에서 리어도어(2)를 통하여 로드로크실(140)로부터 반송실(10)을 향하여 웨이퍼(W)가 반송된다.And the wafer W is conveyed toward the conveyance chamber 10 from the load lock chamber 140 through the rear door 2 in the clean atmosphere by which atmospheric | air was substituted by the inert gas by this method.

그런데, 통상, 웨이퍼 캐리어(C)는, 예를들면 25장의 웨이퍼(W)를 수납할 수가 있고, 또 웨이퍼 보트(7)는, 50∼100장의 웨이퍼(W)를 수납할 수 있다. 따라서 웨이퍼 보트(7)가 100장의 웨이퍼(W)를 수용할 수 있고, 또 웨이퍼 캐리어(C)가 25장의 웨이퍼(W)를 수납할 수가 있는 경우에는, 웨이퍼(W)를 로드로크실(140)로부터 반송실(10) 내의 웨이퍼 보트(7)에 반송할 때에 로드로크실(140) 내에 4개의 웨이퍼 캐리어(C)를 대기시켜 놓을 필요가 있고, 또 로드로크실(140) 내에는 웨이퍼 반송수단(150)도 배치되어 있기 때문에, 로드로크실(140)은 필연적으로 큰 용적을 가지고 있다.By the way, the wafer carrier C can accommodate 25 wafers W, for example, and the wafer boat 7 can accommodate 50-100 wafers W, for example. Therefore, when the wafer boat 7 can accommodate 100 wafers W, and the wafer carrier C can accommodate 25 wafers W, the load lock chamber 140 is loaded. 4 wafer carriers C must be kept in the load lock chamber 140 when transferring them to the wafer boat 7 in the transfer chamber 10, and wafer transfer in the load lock chamber 140 is performed. Since the means 150 is also arranged, the load lock chamber 140 inevitably has a large volume.

전술한 바와 같이 반송실(10)에 대한 불활성 가스의 공급 및 배기 동작을 항상 행하면서, 반송실(10) 내를 양압 및 고순도로 유지할 뿐일지라도 다량의 불활성 가스를 소비함에도 불구하고, 대용적의 로드로크실(140) 내의 분위기까지도 불활성 가스에 의하여 퍼지하게 되면, 전체적인 불활성 가스의 소비량은 막대한 양에 이르고 말며, 소비가 많고, 비경제적이다. 실제로 반송실(10)과 로드로크실(140)은 너비 칫수가 1미터 정도이고 높이가 2미터 정도의 대형 상자형상을 이루고 있기 때문에, 이들의 내부를 최초로 대기로부터 불활성 가스로 치환하는 경우에는, 실내에 불활성 가스를 대량으로 도입하여야 함과 동시에, 충분한 가스치환 때 까지에는 장시간이 필요로 하게 되며, 처리작업 능률이 저하한다.As described above, although the inert gas is supplied and exhausted to the transfer chamber 10 at all times, a large volume of rod is consumed even though a large amount of inert gas is consumed even if the inside of the transfer chamber 10 is kept at a positive pressure and high purity. When even the atmosphere in the lock chamber 140 is purged by the inert gas, the consumption amount of the inert gas as a whole reaches an enormous amount, and it is expensive and uneconomical. In fact, since the conveyance chamber 10 and the load lock chamber 140 form a large box shape having a width dimension of about 1 meter and a height of about 2 meters, when the interior of these is first replaced by inert gas from the atmosphere, At the same time, a large amount of inert gas must be introduced into the room, and a long time is required until sufficient gas replacement is performed, and processing efficiency is lowered.

이 문제를 해결하는 수단으로서, 반송실(10)과 로드로크실(140)의 내부를 진공펌프에 의하여 진공흡인함으로써 새롭게 이들 실내에 불활성 가스를 도입하는 방법도 생각될 수 있지만, 이 방법에서는, 반송실(10)과 로드로크실(140)을 포함하는 장치 본체의 하우징 패널 구조를 부압으로 끊을 수 있는 기밀성 및 두껍고 높은 강성의 것으로 할 필요가 있고, 제작단가의 상승을 초래할 우려가 있다.As a means for solving this problem, a method of newly introducing an inert gas into these rooms by vacuum sucking the interior of the transfer chamber 10 and the load lock chamber 140 with a vacuum pump can also be considered. It is necessary to make the housing panel structure of the apparatus main body including the conveyance chamber 10 and the load lock chamber 140 into an airtightness and a thick and high rigidity which can break under negative pressure, and raise the manufacturing cost.

또, 웨이퍼 캐리어(C)는 로드로크실(140) 내에 반입되기 전에 대기에 노출되어 있고, 매우 오염되기 쉬운 상태에 놓여져 있기 때문에, 오염된 웨이퍼 캐리어(C)를 로드로크실(140) 내에 반입한 상태에서 로드로크실(140) 내를 퍼지하는 것은 퍼지효과를 높인다고 하는 점에서는 그다지 바람직한 방법이라고 말할 수 없다.In addition, since the wafer carrier C is exposed to the atmosphere before being brought into the load lock chamber 140 and is placed in a very contaminated state, the contaminated wafer carrier C is brought into the load lock chamber 140. Purging the inside of the load lock chamber 140 in one state cannot be said to be a very preferable method in terms of increasing the purging effect.

본 발명의 목적은, 불활성 가스의 소비량을 작게 할 수 있고, 또, 피처리체 표면에 대한 자연산화막의 형성이나 피처리체에의 불순물의 부착 등을 방지할 수 있는 처리장치를 제공함에 있다.An object of the present invention is to provide a processing apparatus which can reduce the consumption amount of an inert gas and can prevent formation of a natural oxide film on the surface of a workpiece, adhesion of impurities to the workpiece, and the like.

본 발명의 목적은 이하의 처리장치에 의하여 달성된다. 즉, 이 처리장치는, 피처리체에 소정의 처리를 실시하는 처리실과, 피처리체를 유지한 유지체를 처리실에 대하여 반입 및 반출하는 반송수단을 구비한 반송실과, 반송실 내를 소정의 불활성 가스 분위기로 유지하는 불활성 가스 공급 및 배기수단과, 반송실에 인접하여 설치되고, 적어도 유지체를 수용가능한 용적을 가지며, 반송실 내의 분위기를 외기와 차단시킨 상태에서 유지체를 반송실에 대하여 반출 및 반입가능하게 하는 유지체 수용실과, 유지체 수용실 내를 진공분위기 또는 소정의 불활성 가스 분위기로 치환가능하게 한 내부 분위기 치환수단과, 유지체 수용실에 인접하여 설치되고, 피처리체를 유지체 수용실 내의 유지체에 이송하는 이송수단을 구비한 피처리체 이송실을 갖추고 있다.The object of the present invention is achieved by the following treatment apparatus. That is, this processing apparatus is a conveyance chamber provided with the process chamber which performs a predetermined process to a to-be-processed object, the conveyance means which carries in and out to a process chamber the holding body which hold | maintained the to-be-processed object, and the inside of a conveyance chamber with predetermined inert gas. An inert gas supply and exhaust means for maintaining the atmosphere, a volume adjacent to the transport chamber, having a volume that can accommodate the retainer at least, and carrying the retainer to the transport chamber with the atmosphere in the transport chamber blocked from outside; A holding body accommodating chamber which can be carried in; an internal atmosphere replacing means which allows the inside of the holding body accommodating chamber to be replaced with a vacuum atmosphere or a predetermined inert gas atmosphere; and adjacent to the holding body accommodating chamber; A to-be-processed object chamber provided with a conveying means for conveying to the holding body in a chamber is provided.

상기 구성의 경우, 유지체 수용실은 유지체만을 수용가능한 최소한의 용적을 가지고 있는 것이 바람직하다.In the above configuration, it is preferable that the holder housing chamber has a minimum volume that can accommodate only the holder.

이것은, 예를들면 반송실의 반송수단과 유지체 수용실과의 사이에서 유지체를 반송하는 반송기구를 반송실 내에 설치하는 것에 의하여 달성된다.This is achieved, for example, by providing a conveyance mechanism in the conveyance chamber that conveys the retainer between the conveying means of the conveyance chamber and the retainer accommodation chamber.

이것에 의하여, 유지체 수용실의 공간을 유지체가 수용가능한 최소한의 공간으로 할 수 있고, 유지체 수용실 내에 있어서의 진공분위기 또는 불활성 가스 분위기에의 치환을 신속하게 할 수 있으며, 피처리체의 처리능력의 향상을 도모할 수가 있다.As a result, the space of the holder body can be made the minimum space that can be accommodated by the holder, and the substitution of the vacuum atmosphere or the inert gas atmosphere in the holder body can be promptly performed. Can improve ability.

[실시예]EXAMPLE

이하, 도면을 참조하면서 본 발명의 일실시예에 대하여 설명한다.Hereinafter, an embodiment of the present invention will be described with reference to the drawings.

본 발명의 처리장치의 일실시예에 관한 반도체 웨이퍼의 종형 처리장치의 구성이 제1도에 나타나 있다.The configuration of a vertical wafer processing apparatus in accordance with an embodiment of the processing apparatus of the present invention is shown in FIG.

도시한 바와 같이, 본 실시예의 처리장치는, 피처리체인 웨이퍼(W)에 대하여 소정의 처리를 실시하는 처리실로서의 프로세스 튜브(1)와, 여러 장, 예를들면 100장의 웨이퍼(W)를 수납가능한 유지체로서의 웨이퍼 보트(7)를 프로세스 튜브(1)에 대하여 끼우거나 빼내는 승강기구(11)와 후술하는 반송기구(15)를 구비한 밀폐구조의 반송실(10)과 웨이퍼 캐리어(C)에 수용된 웨이퍼(W)를 반송실(10)을 향하여 이송하는 웨이퍼 이송실(30)과, 반송실(10)과 웨이퍼 이송실(30) 사이에 이들 실(10),(30)과 인접하여 배치되고, 웨이퍼 보트(7)만을 수용가능한 최소한의 용적을 가지는 로드로크실을 구성하는 웨이퍼 보트 수용실(유지체 수용실)(20)로 그 주요부가 구성되어 있다.As shown in the drawing, the processing apparatus of this embodiment accommodates a process tube 1 as a processing chamber that performs a predetermined process on a wafer W as an object to be processed, and several, for example, 100 wafers W. Conveying chamber 10 and wafer carrier C having a closed structure, which are provided with a lifting mechanism 11 for inserting or removing a wafer boat 7 as a possible retainer with respect to the process tube 1, and a transfer mechanism 15 to be described later. Adjacent to these chambers 10 and 30 between the wafer transfer chamber 30 and the transfer chamber 10 and the wafer transfer chamber 30 for transferring the wafer W accommodated in the transfer chamber 10 toward the transfer chamber 10. The main part is comprised by the wafer boat accommodating chamber (holding chamber) 20 arrange | positioned and which comprises the load lock chamber which has the minimum volume which can accommodate only the wafer boat 7.

웨이퍼 보트 수용실(20)과 웨이퍼 이송실(30) 사이 및 웨이퍼 보트 수용실(20)과 반송실(10) 사이에는 각각 프론트 오토 도어(21)와 리어도어(22)가 개폐가 가능하게 설치되어 있고, 프론트 오토 도어(21)와 리어도어(22)가 막히게 되면, 웨이퍼 보트 수용실(20) 내가 밀폐상태로 유지되도록 되어 있다.The front auto door 21 and the rear door 22 can be opened and closed between the wafer boat accommodation chamber 20 and the wafer transfer chamber 30 and between the wafer boat accommodation chamber 20 and the transfer chamber 10, respectively. When the front auto door 21 and the rear door 22 are blocked, the inside of the wafer boat accommodation chamber 20 is kept in a sealed state.

웨이퍼 보트 수용실(20)에는, 진공펌프(52)에 개폐밸브(54)를 통하여 접속되는 진공배관(23)과, N2가스공급부(44)에 개폐밸브(46)를 통하여 접속되는 N2가스배출관(25)이 각각 연결되어 있다.The wafer boat containing chamber (20), N 2 is connected via an on-off valve 46 in vacuum line 23 and, N 2 gas supply unit 44 that is connected via an on-off valve 54 by the vacuum pump 52 Gas discharge pipes 25 are connected to each other.

따라서, 이들의 관로(23),(24),(25)를 통하여 웨이퍼 보트 수용실(20) 내를 소정의 진공분위기 또는 N2가스 등의 불활성 가스 분위기로 치환할 수가 있다. 또, 펌프(52),(58)와 N2가스공급부(44)의 구동 및 개폐밸브(46),(54),(56)의 개폐동작은 모두 구동제어부(72)에 의하여 제어된다.Therefore, the inside of the wafer boat accommodation chamber 20 can be replaced with a predetermined vacuum atmosphere or an inert gas atmosphere such as N 2 gas through these pipelines 23, 24 and 25. The driving of the pumps 52, 58 and the N 2 gas supply unit 44, and the opening / closing operations of the opening / closing valves 46, 54, 56 are all controlled by the drive control unit 72.

제3도에 나타낸 바와 같이, 웨이퍼 보트 수용실(20)의 저부에는, 이 저부에 시일상태로 연결된 벨로우즈(85)와 벨로우즈(85)의 하단에 시일상태로 접속된 접속판(88)을 통하여 핀(83)이 상하 이동의 가능하에 설치되어 있다.As shown in FIG. 3, the bottom of the wafer boat accommodation chamber 20 is connected to the bottom of the wafer boat accommodation chamber 20 through a bellows 85 connected to the bottom and a connecting plate 88 connected to the bottom of the bellows 85 in a sealed state. The pin 83 is provided in the up-and-down movement.

핀(83)의 상단부는, 벨로우즈(85)가 자연스럽게 유지된 대기상태에서, 웨이퍼 보트 수용실(20)의 내측에 돌설된 플랜지 형상의 웨이퍼 보트 재치대(20b)(웨이퍼 보트 재치대(20b)는 웨이퍼 보트 수용실(20)을 형성하는 하우징(20a)과 일체로 형성되어 있음)에 형성된 구멍(87)을 통하여 웨이퍼 보트 재치대(20b)의 상면으로부터 돌출하여 있다.The upper end of the pin 83 has a flange-shaped wafer boat placing table 20b (wafer boat placing table 20b) which protrudes inside the wafer boat storage chamber 20 in an atmospheric state in which the bellows 85 is naturally held. Protrudes from an upper surface of the wafer boat placing table 20b through a hole 87 formed in the housing 20a which forms the wafer boat storage chamber 20 integrally).

벨로우즈(85)의 하측에는 웨이퍼 보트(7)의 유무를 검지하기 위한 검지수단(89)이 설치되어 있다. 검지수단(89)은, 접속판(88)에 연결된 가동 검출체(89a)와, 벨로우즈(85)가 자연스럽게 유지된 대기상태에 있어서의 가동검출체(89a)의 위치보다도 아래에 설치되며, 가동검출체(89a)의 상하 이동로를 좁게하여 배치된 1쌍의 발광부(89b)와 수광부(89c)로 구성하는 광센서로 구성되어 있다.Under the bellows 85, a detection means 89 for detecting the presence or absence of the wafer boat 7 is provided. The detecting means 89 is provided below the position of the movable detector 89a connected to the connecting plate 88 and the movable detector 89a in the standby state in which the bellows 85 is naturally held. It consists of an optical sensor comprised from a pair of light emitting part 89b and the light receiving part 89c arrange | positioned by narrowing the vertical movement path of the detection body 89a.

또 이경우, 발광부(89b)와 수광부(89c)는 검출회로(84)에 접속되어 있다.In this case, the light emitting portion 89b and the light receiving portion 89c are connected to the detection circuit 84.

따라서, 웨이퍼 보트 수용실(20) 내에 웨이퍼 보트(7)가 수용되어 웨이퍼 보트 재치대(20b)의 상면으로부터 돌출하는 핀(83)이 웨이퍼 보트(7)의 저부(7b)에 의하여 아래쪽으로 눌리어 들어간다.Therefore, the wafer boat 7 is accommodated in the wafer boat accommodation chamber 20 and the pin 83 protruding from the upper surface of the wafer boat mounting base 20b is pressed downward by the bottom portion 7b of the wafer boat 7. Enter the rear.

핀(83)이 아래로 눌려 들어가면, 접속판(88)을 통하여 벨로우즈(883)가 늘어나고 접속판(88)에 연결된 가동검출체(89a)가 하강하며, 가동검출체(89a)에 의하여 발광부(89b)로부터 수광부(89c)에의 빛이 차단된다. 발광부(89b)로부터 수광부(89c)로의 빚의 차단은, 검출회로(84)에 의하여 검출되고, 이에 따라 웨이퍼 보트(7)가 웨이퍼 보트 수용실(20) 내에 세트된 것이 검출된다.When the pin 83 is pushed down, the bellows 883 extends through the connecting plate 88, the movable detector 89a connected to the connecting plate 88 is lowered, and the light emitting portion is moved by the movable detector 89a. Light from the 89b to the light receiving portion 89c is blocked. The blocking of the debt from the light emitting portion 89b to the light receiving portion 89c is detected by the detection circuit 84, and accordingly, the wafer boat 7 is set in the wafer boat accommodation chamber 20.

또, 웨이퍼 보트(7)의 유무 검지는, 반드시 이와같은 검지수단(89)에 의하여할 필요는 없고, 예를들면 웨이퍼 보트 수용실(20)의 천정이나 바닥부 또는 벽부에 시일구조를 통하여 설치되는 센서에 의하여 웨이퍼 보트(7)의 유무를 판단하는 등 임의의 검지수단에 의하여 할 수 있다.In addition, the detection of the presence or absence of the wafer boat 7 does not necessarily need to be performed by such detection means 89, for example, it is provided in the ceiling, the bottom part, or the wall part of the wafer boat accommodation chamber 20 through a seal structure. The sensor can be used to determine the presence or absence of the wafer boat 7 by any detecting means.

제1도에 나타낸 바와 같이, 프로세스 튜브(1)는 석영으로 형성되어 있고, 그 외형은 단면이 역 U자 형상의 종형 원통형상 용기로서 구성되어 있다.As shown in FIG. 1, the process tube 1 is formed from quartz, and the external shape is comprised as the vertical cylindrical container of reverse U shape in cross section.

프로세스 튜브(1)의 외측에는 프로세스 튜브(1)를 둘러 싸도록 하여 히터(5)가 설치되어 있다. 프로세스 튜브(1)와 히터(5)는 냉각 파이프나 단열재 등을 조립한 보호커버(6)로 피복되어 있다.The heater 5 is provided outside the process tube 1 so as to surround the process tube 1. The process tube 1 and the heater 5 are covered with a protective cover 6 in which a cooling pipe, a heat insulating material, or the like are assembled.

프로세스 튜브(1)의 하부 개구단에는 매니홀드(2)가 연결되어 있다. 매니홀드(2)는 그 상부와 하부에 플랜지부를 가지는 원통형상으로 형성되어 있다.The manifold 2 is connected to the lower opening end of the process tube 1. The manifold 2 is formed in the cylindrical shape which has a flange part in the upper part and the lower part.

매니홀드(2)의 바깥벽부에는, 프로세스 튜브(1) 내에 소정의 처리용 가스를 도입하기 위한 가스도입관(4)과, 처리 후의 가스를 프로세스 튜브(1)로부터 배기하기 위한 배기관(3)이 각각 접속되어 있다.In the outer wall of the manifold 2, a gas introduction pipe 4 for introducing a predetermined processing gas into the process tube 1, and an exhaust pipe 3 for exhausting the processed gas from the process tube 1. These are connected, respectively.

가스도입관(4)은, 가스변환밸브(68),(70)를 통하여 N2가스공급부(74)와 처리가스 공급부(76)에 접속되어 있고, 이것에 의하여 처리가스와 N2가스를 선택하여 프로세스 튜브(1) 내에 도입할 수 있도록 되어 있다. 가스변환밸브(68),(70)보다도 하류측에 위치하는 가스 도입관(4) 부위에는 매스플로우 콘트롤러(66)가 설치되어 있으며, 이 매스플로우 콘트롤러(66)에 의하여 프로세스 튜브(1) 내에 공급되는 처리가스 또는 N2가스의 공급량을 임의로 제어할 수 있도록 되어 있다.The gas introduction pipe 4 is connected to the N 2 gas supply part 74 and the processing gas supply part 76 through gas conversion valves 68 and 70, thereby selecting the processing gas and the N 2 gas. To be introduced into the process tube 1. The mass flow controller 66 is provided in the gas inlet pipe 4 located downstream from the gas conversion valves 68 and 70, and the mass flow controller 66 is used in the process tube 1. The supply amount of the processing gas or N 2 gas to be supplied can be arbitrarily controlled.

또, 프로세스 튜브(1) 내에의 가스 공급은 개폐밸브(64)에 의하여 정지할 수가 있다. 배기관(3)은, 개폐밸브(50)를 통하여 진공펌프(49)에 접속되어 있다.In addition, the gas supply into the process tube 1 can be stopped by the on-off valve 64. The exhaust pipe 3 is connected to the vacuum pump 49 via the on-off valve 50.

또, N2가스공급부(74), 처리가스 공급부(76), 매스플로우 콘트롤러(66), 진공펌프(49)의 각 구동 및 각 밸브(50),(64),(68),(70)의 개폐동작은 모두 구동제어부(72)에 의하여 제어된다.Moreover, each drive of the N 2 gas supply part 74, the process gas supply part 76, the mass flow controller 66, the vacuum pump 49, and each valve 50, 64, 68, 70 is carried out. Are controlled by the drive control unit 72.

반송실(10)은, 예를들면 스테인레스 강제 패널을, 전체면에 걸쳐서 용접하거나 또는 0링 시일에 의하여 시일하여 이루는, 밀폐구조로 되어 있다.The conveyance chamber 10 is a hermetically sealed structure which welds a stainless steel panel over the whole surface, or seals it with 0 ring seal, for example.

반송실(10)의 상부와 하부의 각각의 적당한 위치에는, N2가스공급부(44)에 개폐밸브(48)를 통하여 접속된 가스도입관(12)과, 흡인펌프(62)에 개폐밸브(60)를 통하여 접속된 가스배출관(13)이 연결되어 있다.The gas introduction pipe 12 connected to the N 2 gas supply part 44 via the opening / closing valve 48 at the appropriate position of the upper and lower part of the conveyance chamber 10, and the opening / closing valve | bulb to the suction pump 62 The gas discharge pipe 13 connected through 60 is connected.

이것에 의하여 퍼지가스로서 청정한 불활성 가스(N2가스)를 가스도입관(12)을 통하여 반송실(10) 내로 언제나 도입하는 한편, 반송실(10) 내의 불활성 가스를 불순물과 함께 배기관(13)을 통하여 끊임없이 외부로 배출하여 반송실(10) 내의 불활성 가스 분위기를 양압으로 함과 동시에 고순도로 유지하고 있다.As a result, inert gas (N 2 gas), which is clean as a purge gas, is always introduced into the transfer chamber 10 through the gas introduction pipe 12, while the inert gas in the transfer chamber 10 together with the impurities is exhaust gas 13 By constantly discharging to the outside through the inert gas atmosphere in the conveying chamber 10 to maintain a high pressure and at the same time.

또, 펌프(62)의 구동 및 각 개폐밸브(48),(60)의 개폐동작은 모두 구동제어부(72)로 제어된다.The driving of the pump 62 and the opening / closing operations of the on / off valves 48 and 60 are all controlled by the drive control unit 72.

반송실(10) 내에 배치되는 승강기구(11)는, 웨이퍼 보트(17)를 재치하여 유지하는 보트 엘레베이터(11a)와, 보트 엘레베이터(11a)를 승강이동하는 볼나사 장치(11b)로 구성되어 있다.The elevating mechanism 11 arranged in the transfer chamber 10 is comprised by the boat elevator 11a which mounts and holds the wafer boat 17, and the ball screw apparatus 11b which moves up and down the boat elevator 11a. have.

본 발명에서는, 후술하는 바와 같이 반송실(10) 내를 진공상태로 설정할 필요가 없기 때문에, 반송실(10)을 구성하는 패널이 높은 강성을 갖게 할 필요는 없다.In this invention, since it is not necessary to set the inside of the conveyance chamber 10 to a vacuum state so that it may mention later, it is not necessary to make the panel which comprises the conveyance chamber 10 high rigidity.

따라서, 승강기구(11)의 볼나사 장치(11b)를 자립식(自立式)의 것으로 하지 않고 반송실(10)의 벽에 고정하는 구조로 하여도 좋다.Therefore, the ball screw device 11b of the elevating mechanism 11 may be fixed to the wall of the transfer chamber 10 without being self-supporting.

반송실(10) 내의 웨이퍼 보트 수용실측에는, 승강기구(11)의 보트 엘레베이터(1la)와 웨이퍼 보트 수용실(20) 사이에서 웨이퍼 보트(7)를 반송하는 반송기구(15)가 배치되어 있다.The conveyance mechanism 15 which conveys the wafer boat 7 between the boat elevator 1la of the elevating mechanism 11 and the wafer boat accommodation chamber 20 is arrange | positioned at the wafer boat accommodation chamber side in the conveyance chamber 10. .

반송기구(15)는, 반송실(10)의 외부에 설치되는 수평회전(선회) 및 승강용의 구동부(15a)와, 반송실(10) 내에 위치하는 구동부(15a)의 전달축에 연결되고, 웨이퍼 보트(7)를 유지하는 다관절 아암(15b)에 의하여 구성되어 있다.The conveyance mechanism 15 is connected to the drive part 15a for horizontal rotation (rotation) and elevating provided in the exterior of the conveyance chamber 10, and the transmission shaft of the drive part 15a located in the conveyance chamber 10, And the articulated arm 15b holding the wafer boat 7.

또, 반송실(10)의 상부에 위치하는 프로세스 튜브(1)의 개구부에는, 이 개구부를 개폐하는 오토셔터(8)가 설치되어 있다. 이 오토셔터(8)를 닫음으로써 프로세스 튜브(1)로부터 반송실(10)을 향하는 복사열을 방지할 수가 있다.Moreover, the auto shutter 8 which opens and closes this opening part is provided in the opening part of the process tube 1 located in the upper part of the conveyance chamber 10. As shown in FIG. By closing this auto shutter 8, radiant heat from the process tube 1 toward the conveyance chamber 10 can be prevented.

웨이퍼 이송실(30)은, HEPA필터를 통한 대기 분위기하에서, 크린룸(도시하지 않음) 내에 설치되어 있다. 웨이퍼 이송실(30) 내에는, 여러 장, 예를들면 25장의 웨이퍼(W)를 수납하는 웨이퍼 캐리어(C)를 탑재할 수 있는 I/O포트(31)가 설치되어 있다. 이 I/O포트(31)에는 자세변환기구(40)가 좌우에 2 대씩 배치되어 있다. 자세변환기구(40)는 자세변환기구(40)의 상면에 재치된 윗 방향 상태(웨이퍼(W)가 세워져 유지된 상태; 제1도에서 실선으로 나타낸 상태)의 웨이퍼 캐리어(90)를 90도 전환하여 횡방향의 상태(제1도에서 이점쇄선으로 나타낸 상태)로 한다거나, 반대로 횡방향의 상태에서 윗방향의 상태로하는 자세변환 동작을 할 수가 있다.The wafer transfer chamber 30 is installed in a clean room (not shown) under an air atmosphere through the HEPA filter. In the wafer transfer chamber 30, an I / O port 31 capable of mounting a wafer carrier C for accommodating several, for example, 25 wafers W is provided. The posture converting mechanism 40 is arrange | positioned at the I / O port 31 two each at right and left. The posture converting mechanism 40 is configured to rotate the wafer carrier 90 in an upward state (a state in which the wafer W is held up; shown in solid lines in FIG. 1) placed on the upper surface of the posture converting mechanism 40 by 90 degrees. The attitude change operation can be performed by switching to the transverse state (indicated by the dashed-dotted line in FIG. 1) or, conversely, from the transverse state to the upward direction.

웨이퍼 이송실(30) 내에는, I/O포트(31)의 바로 후측에 캐리어 트랜스퍼(32)가 엘레베이터(33)를 통하여 승강이 가능하게 설치되어 있다. 캐리어 트랜스퍼(32)의 후측에는 트랜스퍼 스테이지(34)가 설치되며, 트랜스퍼 스테이지(34)의 윗 쪽에는 캐리어 스톡 스테이지(35)가 설치되어 있다. 캐리어 스톡 스테이지(35)는, 엘레베이터(33)의 승강장치(42)의 승강동작에 따라서 상하로 이동할 수가 있고, 자세변환기구(40)에 의하여 횡방향 상태로 된 웨이퍼 캐리어(C)를 다관절 아암에 의하여 받아서 캐리어 스톡 스테이지(35)로 반송할 수 있다.In the wafer transfer chamber 30, the carrier transfer 32 is provided on the rear side of the I / O port 31 so as to be able to move up and down through the elevator 33. A transfer stage 34 is provided on the rear side of the carrier transfer 32, and a carrier stock stage 35 is provided above the transfer stage 34. The carrier stock stage 35 can move up and down according to the elevating operation of the elevating device 42 of the elevator 33, and articulates the wafer carrier C which is in a transverse state by the posture converting mechanism 40. It can be received by the arm and conveyed to the carrier stock stage 35.

캐리어 스톡 스테이지(35)는, 캐리어 트랜스퍼(32)에 의하여 반송되어 오는 웨이퍼 캐리어(C)를 각각 횡방향 그대로 2열 4단으로 보관할 수 있는 여러개의 선반으로 형성되어 있다.The carrier stock stage 35 is formed of several shelves which can hold the wafer carrier C conveyed by the carrier transfer 32 in two rows and four steps, respectively, as it is transversely.

웨이퍼 이송실(30)의 웨이퍼 보트 수용실 측에는 웨이퍼 트랜스퍼(36)가 이송용 엘레베이터(37)에 의하여 승강이 가능하게 지지되어 있다.On the side of the wafer boat accommodation chamber of the wafer transfer chamber 30, the wafer transfer 36 is supported by the transfer elevator 37 so that the lifting and lowering is possible.

웨이퍼 트랜스퍼(36)는 승강하면서 트랜스퍼 스테이지(34) 위의 웨이퍼 캐리어(C) 내의 웨이퍼(W)를 1장씩 꺼내어 웨이퍼 보트 수용실(20) 내에 수용된 웨이퍼 보트(7)에 수납하여 유지시킨다거나 그 반대로 웨이퍼 보트(7)로부터 웨이퍼(W)를 트랜스퍼 스테이지(34) 위의 웨이퍼 캐리어(C) 내로 되돌리는 동작을 할 수가 있다.As the wafer transfer 36 moves up and down, the wafer W in the wafer carrier C on the transfer stage 34 is taken out one by one and stored in the wafer boat 7 accommodated in the wafer boat accommodation chamber 20 to hold or hold the wafer W. In contrast, the wafer W can be returned from the wafer boat 7 into the wafer carrier C on the transfer stage 34.

또, 이상 설명한 각 반송장치(11),(15),(31),(33),(36),(40) 등은, 도시하지 않은 구동부에 의하여 구동 제어된다.Moreover, each conveying apparatus 11, 15, 31, 33, 36, 40, etc. which were demonstrated above are drive-controlled by the drive part which is not shown in figure.

이어서, 상기 구성의 처리장치의 동작에 대하여 설명한다.Next, operation | movement of the processing apparatus of the said structure is demonstrated.

우선, 전술한 동작에 의하여 웨이퍼 캐리어(C)가 트랜스퍼 스테이지(34) 위까지 이송된다. 웨이퍼 보트 수용실(20) 내에 웨이퍼 보트(7)가 수용된 상태에서, 웨이퍼 보트 수용실(20)의 프론트 도어(21)가 열리어, 웨이퍼 보트 수용실(20)과 웨이퍼 이송실(30)이 서로 통하도록 된다.First, the wafer carrier C is transferred to the transfer stage 34 by the above-described operation. In the state where the wafer boat 7 is accommodated in the wafer boat accommodating chamber 20, the front door 21 of the wafer boat accommodating chamber 20 opens, and the wafer boat accommodating chamber 20 and the wafer transfer chamber 30 are opened. To communicate with each other.

이 때, 웨이퍼 보트 수용실(20)과 웨이퍼 이송실(30)의 내부는 대기 분위기로 되어 있다. 이 상태에서, 웨이퍼 트랜스퍼(36)에 의하여 트랜스퍼 스테이지(34) 위의 웨이퍼 캐리어(C) 내의 웨이퍼(W)가 웨이퍼 보트 수용실(20) 내의 웨이퍼 보트(7)에 수납되어, 소정 장수의 웨이퍼(W)가 웨이퍼 보트(7)에 수납된 후, 프론트 도어(21)가 닫혀져서 웨이퍼 보트 수용실(20) 내가 밀폐상태로 유지된다. 이어서, 진공펌프(52)를 동작시켜서 진공배관(23)을 통하여 웨이퍼 보트 수용실(20) 내를 소정의 진공상태로 설정한다거나, 또는 N2가스공급부(44)를 동작시켜서 상압하 또는 감압하에서 N2가스 도입관(24)에 의하여 N2가스를 웨이퍼 보트 수용실(20) 내로 공급하면서 배출관(25)에 의하여 이 N2가스를 대기와 함꼐 배출하는 것에 의하여 웨이퍼 보트(7) 및 웨이퍼(W)를 대기로부터 완전하게 차단하여 웨이퍼(W)에의 지연산화막 형성을 방지한다.At this time, the insides of the wafer boat accommodation chamber 20 and the wafer transfer chamber 30 are in an atmospheric atmosphere. In this state, the wafer W in the wafer carrier C on the transfer stage 34 is accommodated in the wafer boat 7 in the wafer boat accommodating chamber 20 by the wafer transfer 36, and a predetermined number of wafers are provided. After (W) is stored in the wafer boat 7, the front door 21 is closed so that the inside of the wafer boat accommodation chamber 20 is kept in a sealed state. Subsequently, the vacuum pump 52 is operated to set the inside of the wafer boat accommodating chamber 20 through a vacuum pipe 23 to a predetermined vacuum state, or the N 2 gas supply part 44 is operated to operate under normal pressure or reduced pressure. N 2 gas introducing tube 24, the wafer boat by what it by the N 2 gas to the discharge pipe 25 and supplied into the wafer boat containing chamber 20 is discharged hamkkye and waits for the N 2 gas (7) by and wafer ( W) is completely blocked from the atmosphere to prevent the formation of a delayed oxide film on the wafer W.

웨이퍼 보트 수용실(20) 내가 소정의 진공상태로 설정된 경우에는, 그 후, N2가스공급부(44)로부터 N2가스 도입관(24)을 통하여 웨이퍼 보트 수용실(20) 내로 N2가스가 도입된다.If the wafer boat containing chamber 20, I is set at a predetermined vacuum state, the Thereafter, N 2 gas into the wafer boat containing chamber 20 through the N 2 gas supply pipe 24 from the N 2 gas supply unit 44 is Is introduced.

그리고, 웨이퍼 보트 수용실(20) 내가 항상 N2가스가 도입되어 있는 반송실(10)과 같은 분위기로 설정된 상태에서, 리어오토도어(22)가 열린다. 그후, 반송기구(15)가 구동되며, 이 구동기구(15)에 의하여 웨이퍼 보트 수용실(20) 내에 세트되고 웨이퍼(W)가 수납된 상태의 웨이퍼 보트(7)가 보트 엘레베이터(11a)에 설치된 보온통(51) 상에 이송되어 유지된다.Then, the wafer boat containing chamber 20 in the state I always set in an atmosphere such as the transfer chamber 10 with N 2 gas is introduced, it opens the automatic rear door 22. Thereafter, the transfer mechanism 15 is driven, and the wafer boat 7 in the state in which the wafer W is stored in the wafer boat accommodation chamber 20 by the drive mechanism 15 is stored in the boat elevator 11a. It is transported and maintained on the installed thermos 51.

이어서, 웨이퍼 보트(7)가 보트 엘레베이터(11a) 상에 세트되면, 보트 엘레베이터(11a)가 상승하여 웨이퍼 보트(7)가 프로세스 튜브(1) 내로 반송된다. 이 때, 웨이퍼 보트(7) 하부에 설치된 플랜지부(55)가 매니홀드(2)의 하부에 설치된 플랜지부(59)에 맞닿아서 프로세스 튜브(1) 내가 밀폐된다.Then, when the wafer boat 7 is set on the boat elevator 11a, the boat elevator 11a is raised and the wafer boat 7 is conveyed into the process tube 1. At this time, the flange part 55 provided in the lower part of the wafer boat 7 abuts against the flange part 59 provided in the lower part of the manifold 2, and the process tube 1 inside is sealed.

이 상태에서 배기관(3)을 통하여 프로세스 튜브(1) 내의 N2가스가 배출되고, 프로세스 튜브(1) 내가 소정의 진공상태로 설정된다. 이 진공설정 동작에서는, 개폐밸브(64)가 닫힘상태로 세트됨과 동시에, 개폐밸브(50)가 열리어 진공펌프(49)가 구동된다. 프로세스 튜브(1) 내가 소정의 진공상태에 도달하였다면, N2가스 도입관(4)을 통하여 처리가스가 프로세스 튜브(1) 내에 도입되며, 웨이퍼(W)에 대하여 원하는 처리가 이루어진다.In this state, the N 2 gas in the process tube 1 is discharged through the exhaust pipe 3 and the inside of the process tube 1 is set to a predetermined vacuum state. In this vacuum setting operation, the on-off valve 64 is set in the closed state and the on-off valve 50 is opened to drive the vacuum pump 49. When the process tube 1 has reached a predetermined vacuum state, the process gas is introduced into the process tube 1 through the N 2 gas introduction tube 4, and a desired process is performed on the wafer W.

이 동작에서는, 개폐밸브(50)와 가스 변환밸브(68)가 닫혀진 상태에서 가스 변환밸브(70)와 개폐밸브(64)가 열리며, 처리가스 공급부(76)가 구동된다.In this operation, the gas conversion valve 70 and the opening / closing valve 64 are opened while the on-off valve 50 and the gas conversion valve 68 are closed, and the process gas supply unit 76 is driven.

물론, 이 때는, 매스플로우 콘트롤러(66)도 소정의 동작상태로 설정되어 있다. 처리 후는, 개폐밸브(64)가 닫힘과 동시에, 배기관(3)을 통하여 프로세스 튜브(1) 내의 처리가스가 배출되며, 프로세스 튜브(1) 내가 소정의 진공상태로 설정된다.Of course, at this time, the massflow controller 66 is also set to a predetermined operation state. After the treatment, the opening / closing valve 64 is closed, and at the same time, the processing gas in the process tube 1 is discharged through the exhaust pipe 3, and the inside of the process tube 1 is set to a predetermined vacuum state.

그 후, 개폐밸브(50)와 가스 변환밸브(70)가 닫혀진 상태에서 가스 변환밸브(68)와 개폐밸브(64)가 열리어, N2가스 공급부(74)가 구동되어, 가스 도입관(4)을 통하여 프로세스 튜브(1) 내에 N2가스가 도입된다.Thereafter, the gas switching valve 68 and the opening / closing valve 64 are opened in a state where the opening / closing valve 50 and the gas conversion valve 70 are closed, and the N 2 gas supply part 74 is driven to provide a gas introduction pipe ( N 2 gas is introduced into the process tube 1 via 4).

그리고, 프로세스 튜브(1) 내의 N2가스가 반송실(10)의 N2가스 압력과 동일하게 된 단계에서, 웨이퍼 보트(7)가 승강기구(11)를 통하여 하강되어, 프로세스 튜브(1) 내로부터 웨이퍼 보트(7)가 반출된다. 그 후는, 전술한 반입순서와는 반대의 순서에 의하여 처리가 끝난 웨이퍼(W)가 웨이퍼 이송실(30)의 트랜스퍼 스테이지(34) 상에서 대기하는 프론트 도어(21) 웨이퍼 이송실(30)의 웨이퍼 캐리어(C) 내로 되돌아 간다.In the step where the N 2 gas in the process tube 1 becomes equal to the N 2 gas pressure in the transfer chamber 10, the wafer boat 7 is lowered through the elevating mechanism 11 to process the tube 1. The wafer boat 7 is carried out from the inside. Thereafter, the processed wafer W waits on the transfer stage 34 of the wafer transfer chamber 30 in the order opposite to the above-mentioned carry-on procedure. Return to the wafer carrier C.

이상 설명한 바와 같이, 본 실시예의 처리장치는, 웨이퍼 보트 수용실(20)이, 웨이퍼 보트(7)만을 수용가능한 최소한의 용적을 가지는 로드로크실로서 구성되어 있는 점에 주된 특징이 있다. 즉 웨이퍼 보트 수용실(20)은, 그 내부를 대기분위기로부터 배관(23),(24),(25) 등을 통하여 진공분위기 또는 소정의 가스 분위기로 치환할 수가 있음과 동시에, 웨이퍼 보트(7)만을 수용가능한 최소한의 용적으로 형성되어 있기 때문에 이러한 가스 치환에 필요로 하는 시간과 불활성 가스의 소비량을 작게 하여 끝내고, 환경을 매우 정돈하기 쉬운 구조로 되어 있다.As described above, the processing apparatus of this embodiment has a main feature in that the wafer boat accommodation chamber 20 is configured as a load lock chamber having a minimum volume that can accommodate only the wafer boat 7. That is, the wafer boat accommodating chamber 20 can replace the inside thereof with a vacuum atmosphere or a predetermined gas atmosphere from the air atmosphere through the pipes 23, 24, 25, and the like, and at the same time, the wafer boat 7 Since the volume is formed in a minimum volume that can accommodate only), the time required for such gas replacement and the consumption of inert gas are reduced, resulting in a structure that is very easy to clean up the environment.

본 실시예에서는, 웨이퍼 보트 수용실(20)을 웨이퍼 보트(7)만이 수용가능한 최소한의 용적으로 하기 위하여, 승강기구(11)와 반송기구(15)를 반송실(10) 내에 배치하고 있다. 또, 본 실시예의 처리장치는, 종래와 같이, 오염될 개연성이 높은 웨이퍼 캐리어(C)를 웨이퍼 보트 수용실(20) 내에 반입하지 않도록 했기 때문에, 퍼지효과를 높일 수가 있다.In this embodiment, the lifting mechanism 11 and the transfer mechanism 15 are disposed in the transfer chamber 10 in order to make the wafer boat accommodation chamber 20 the minimum volume that only the wafer boat 7 can accommodate. In addition, since the processing apparatus of the present embodiment does not carry the wafer carrier C having a high probability of contamination into the wafer boat storage chamber 20 as in the prior art, the purge effect can be enhanced.

이와같이, 본 실시예의 처리장치는, 웨이퍼 보트 수용실(20)이, 소용량이고 또 먼지가 발생할 요인을 모두 배제한 매우 크린한 분위기를 만들기 쉬운 구조로 되어 있기 때문에, 대기가 불활성 가스로 치환된 청정한 분위기 속에서 웨이퍼(W)를 웨이퍼 보트 수용실(20)로부터 반송실(10)을 향하여 반송할 수가 있다. 웨이퍼 이송실(30)로부터 프로세스 튜브(1)로 반입되는 미처리 웨이퍼(W)를 일단 웨이퍼 보트 수용실(20) 내로 반입하는 것에 의하여, 미처리 웨이퍼(W)를 대기와 차단되는 진공분위기에 노출할 수 있기 때문에, 웨이퍼(W) 표면에의 자연산화막의 형성이나, 불순물의 부착을 방지할 수 있다.Thus, since the processing apparatus of this embodiment has a structure in which the wafer boat accommodation chamber 20 has a small capacity and tends to create a very clean atmosphere in which all dust generation factors are eliminated, the atmosphere is replaced with an inert gas. The wafer W can be conveyed from the wafer boat accommodation chamber 20 toward the transfer chamber 10 in the inside. The unprocessed wafer W brought into the process tube 1 from the wafer transfer chamber 30 into the wafer boat storage chamber 20 can be exposed to a vacuum atmosphere that is cut off from the atmosphere. Therefore, it is possible to prevent formation of a native oxide film on the surface of the wafer W and adhesion of impurities.

더구나, 이 경우, 소용량의 웨이퍼 보트 수용실(20) 내를 진공상태로 하면 좋기 때문에, 웨이퍼를 단시간에 진공분위기하에 둘 수가 있으며, 처리시간의 단축을 도모할 수가 있다.In addition, in this case, since the inside of the small-capacity wafer boat accommodation chamber 20 may be vacuumed, the wafer can be placed under a vacuum atmosphere for a short time, and the processing time can be shortened.

또, 본 실시예에서는, 반송실(10), 웨이퍼 보트 수용실(20) 및 웨이퍼 이송실(30)을 직선형상으로 배열한 경우에 대하여 설명하였으나, 반송실(10), 웨이퍼 보트 수용실(20) 및 웨이퍼 이송실(30)을 반드시 직선형상으로 배열할 필요는 없고, 반송실(10)과 웨이퍼 이송실(30) 사이에 웨이퍼 보트 수용실(20)을 설치시키는 형태라면, 그 배열은 임의의 것이어도 좋다.In addition, in this embodiment, the case where the transfer chamber 10, the wafer boat accommodation chamber 20, and the wafer transfer chamber 30 were arrange | positioned linearly was demonstrated, However, the transfer chamber 10 and the wafer boat accommodation chamber ( 20) and the wafer transfer chamber 30 do not necessarily have to be arranged in a straight line shape, and the arrangement is provided if the wafer boat accommodation chamber 20 is provided between the transfer chamber 10 and the wafer transfer chamber 30. Arbitrary may be sufficient.

또, 상기 실시예에서는, 반도체 웨이퍼의 처리장치를 예로 들어 설명하였으나, 반도체 웨이퍼 이외의 기판, 예를들면 유리기판, LCD기판 등의 피처리체의 열처리나 열처리 이외의 처리장치에 본 발명을 적용할 수 있음은 물론이다.In the above embodiment, the processing apparatus for semiconductor wafers has been described as an example. However, the present invention can be applied to processing apparatuses other than heat treatment or processing apparatuses for substrates other than semiconductor wafers, for example, glass substrates and LCD substrates. Of course it can.

Claims (12)

피처리체에 소정의 처리를 실시하는 처리실과, 피처리체를 유지한 유지체를 상기 처리실에 대하여 반입 및 반출하는 반송 수단을 구비한 반송실과, 반송실 내를 소정의 불활성 가스 분위기로 유지하는 불활성 가스 공급 및 배기수단과, 상기 반송실에 인접하여 설치되고, 적어도 상기 유지체를 수용가능한 용적을 가지며, 반송실 내의 분위기를 외기와 차단시킨 상태에서 상기 유지체를 반송실에 대하여 반출 및 반입가능한 유지체 수용실과, 상기 유지체 수용실 내를 진공분위기 또는 소정의 불활성 가스분위기로 치환가능한 내부 분위기 치환수단과, 상기 유지체 수용실에 인접하여 설치되고, 피처리체를 상기 유지체 수용실 내의 유지체에 이송하는 이송수단을 구비한 피처리체 이송실을 갖추는 처리장치.A conveyance chamber including a process chamber for performing a predetermined treatment on the object to be processed, a conveying means for carrying in and carrying out a holding body holding the object to be processed into the process chamber, and an inert gas for maintaining the interior of the conveyance chamber in a predetermined inert gas atmosphere. A holding means provided adjacent to the conveying chamber and having a volume capable of accommodating at least the holding body and capable of carrying and carrying the holding body into and out of the conveying chamber while the atmosphere in the conveying chamber is blocked from outside air. A sieve accommodating chamber, an internal atmosphere substituting means capable of replacing the inside of the holding body accommodating chamber with a vacuum atmosphere or a predetermined inert gas atmosphere, and adjacent to the holding body accommodating chamber; And a processing unit conveying chamber having a conveying means for conveying the same. 제1항에 있어서, 상기 유지체 수용실이 상기 유지체만 수용가능한 최소한의 용적을 가지고 있는 처리장치.The processing apparatus according to claim 1, wherein the holder housing has a minimum volume that can accommodate only the holder. 제1항에 있어서, 상기 반송실 내에 설치되고, 상기 반송실의 반송수단과 상기 유지체 수용실 사이에서 상기 유지체를 반송하는 반송기구를 더욱 포함하는 처리장치.The processing apparatus according to claim 1, further comprising a transport mechanism provided in the transport chamber to transport the holder between the transport means of the transport chamber and the holder housing chamber. 제1항에 있어서, 상기 불활성 가스 공급 및 배기수단은, 불활성 가스공급부와, 불활성 가스공급부로부터의 불활성 가스를 상기 반송실에 공급하는 가스도입관과, 상기 반송실 내의 불활성 가스를 외부로 배기하는 배기관을 갖추고 있는 처리장치.The said inert gas supply and exhaust means is an inert gas supply part, the gas introduction pipe which supplies the inert gas from an inert gas supply part to the said transfer chamber, and the inert gas in the said transfer chamber to exhaust outside. Treatment device with exhaust pipe. 제1항에 있어서, 상기 내부분위기 치환수단은, 불활성 가스 공급부와, 이 불활성 가스공급부로부터의 불활성 가스를 상기 유지체 수용실에 공급하는 가스도입관과, 상기 유지체 수용실 내의 불활성 가스를 외부로 배기하는 배기관과, 상기 유지체 수용실 내의 가스를 소정의 진공상태까지 배기가능한 진공배관과, 상기 가스도입관과 상기 배기관과 상기 진공배관에서의 각각의 흐름을 제어하는 제어수단을 구비하는 처리장치.2. The internal atmosphere replacing means according to claim 1, wherein the internal atmosphere replacing means includes an inert gas supply unit, a gas introduction pipe for supplying an inert gas from the inert gas supply unit to the holder housing chamber, and an inert gas in the holder housing chamber. And an exhaust pipe for exhausting the gas to the exhaust chamber, a vacuum pipe capable of exhausting the gas in the holder housing chamber to a predetermined vacuum state, and control means for controlling respective flows in the gas introduction pipe, the exhaust pipe, and the vacuum pipe. Device. 제1항에 있어서, 상기 유지체 수용실에 설치되고, 유지체 수용실 내에 있어서의 유지체의 유무를 검지하는 검지수단을 더욱 포함하는 처리장치.The processing apparatus according to claim 1, further comprising detection means which is provided in the holder housing chamber and detects the presence or absence of the holder in the holder housing chamber. 피처리체에 소정의 처리를 실시하는 처리실과, 피처리체를 유지한 유지체를 상기 처리실에 대하여 반입 및 반출하는 반송 수단을 구비한 반송실과, 반송실 내를 소정의 불활성 가스분위기로 유지하는 불활성 가스공급 및 배기수단과, 상기 반송실에 인접하여 설치되고, 상기 유지체만을 수용가능한 최소한의 용적을 가지며, 반송실 내의 분위기를 외기와 차단시킨 상태에서 상기 유지체를 반송실에 대하여 반출 및 반입가능한 유지체 수용실과, 상기 유지체 수용실 내를 진공분위기 또는 소정의 불활성 가스분위기로 치환가능한 내부 분위기 치환수단과, 상기 반송실 내에 설치되고, 상기 반송실의 반송수단과 상기 유지체 수용실 사이에서 상기 유지체를 반송하는 반송기구와, 상기 유지체 수용실에 인접하여 설치되고, 피처리체를 상기 유지체 수용실 내를 향하여 이송하는 이송수단과, 이 이송수단에 의하여 이송된 피처리체를 유지체 수용실 내의 유지체에 세트하는 세팅수단을 구비한 피처리체 이송실을 구비하는 처리장치.A conveyance chamber including a process chamber for subjecting the object to be treated with a predetermined process, a conveying means for carrying in and out of the holding body holding the object, to the process chamber, and an inert gas for maintaining the inside of the conveyance chamber in a predetermined inert gas atmosphere. It is provided adjacent to the conveying chamber and the supply and exhaust means, and has a minimum volume which can accommodate only the said holding body, and can carry in and carry out the said holding body with respect to the conveyance chamber in the state which interrupted the atmosphere in the conveying chamber from the outside. A holding body accommodating chamber, an internal atmosphere replacing means capable of replacing the inside of the holding body accommodating chamber with a vacuum atmosphere or a predetermined inert gas atmosphere, and installed in the conveying chamber, between the conveying means of the conveying chamber and the holding body accommodating chamber. It is provided adjacent to the conveyance mechanism which conveys the said holding body, and the said holding body accommodation chamber, and a to-be-processed object is stored in the said holding body accommodation chamber A processing apparatus comprising: a processing object conveying chamber having a conveying means for conveying inwardly and a setting means for setting the object to be processed conveyed by the conveying means into a holding body in the holding body accommodating chamber. 제7항에 있어서, 상기 불활성 가스 공급 및 배기수단은, 불활성 가스 공급부와, 불활성 가스공급부로부터의 불활성 가스를 상기 반송실에 공급하는 가스 도입관과, 상기 반송실 내의 불활성 가스를 외부로 배기하는 배기하는 배기관을 구비하는 처리장치.The said inert gas supply and exhaust means is an inert gas supply part, the gas introduction tube which supplies the inert gas from an inert gas supply part to the said conveyance chamber, and the inert gas in the said conveyance chamber to the outside. A processing apparatus having an exhaust pipe for evacuating. 제7항에 있어서, 상기 내부분위기 치환수단은, 불활성 가스공급부와, 이 불활성 가스공급부로부터의 불활성 가스를 상기 유지체 수용실에 공급하는 가스도입관과, 상기 유지체 수용실 내의 불활성 가스를 외부로 배기 하는 배기관과, 상기 유지체 수용실 가스를 소정의 진공상태까지 배기가능한 진공배관과, 상기 가스도입관과, 상기 배기관과, 상기 진공배관의 각각을 개별로 개폐하는 밸브기구를 갖추고 있는 처리장치.8. The internal atmosphere replacement means according to claim 7, wherein the internal atmosphere replacement means comprises: an inert gas supply unit, a gas introduction pipe for supplying an inert gas from the inert gas supply unit to the holder housing chamber, and an inert gas in the holder housing chamber. A exhaust pipe for evacuating the gas, a vacuum pipe capable of evacuating the holding body gas to a predetermined vacuum state, the gas introduction pipe, the exhaust pipe, and a valve mechanism for individually opening and closing each of the vacuum pipes. Device. 제7항에 있어서, 상기 유지체 수용실 내에 설치되고, 유지체 수용실 내에 있어서의 유지체의 유무를 검지하는 검지수단을 더욱 포함하는 처리장치.8. The processing apparatus according to claim 7, further comprising detection means provided in the holder housing chamber and detecting the presence or absence of the holder in the holder housing chamber. 피처리체를 유지체가 수용된 유지체 수용실을 향하여 이송하는 공정과, 유지체 수용실 내의 분위기를 외기로부터 차단시킨 상태에서 유지체 수용실 내를 진공분위기로 설정하는 공정과, 유지체 수용실 내에 불활성 가스를 도입하는 공정과, 유지체 수용실 내의 분위기가 반송실 내의 분위기와 실질적으로 동일하게 된 단계에서 유지체 수용실 내의 유지체를 불활성 가스 분위기하에서 반송실 내로 반송하는 공정과, 반송실 내의 유지체를 처리실 내로 반입하는 공정과, 처리실 내에서 유지체에 수용된 피처리체에 대하여 소정의 처리를 실시하는 공정으로 이루어지는 처리방법.Transferring the object to the holding body accommodating chamber in which the holding body is housed; setting the inside of the holding body accommodating chamber to a vacuum atmosphere while blocking the atmosphere in the holding body accommodating chamber from the outside air; A step of introducing a gas, a step of conveying the holding body in the holding body accommodating chamber into the conveying chamber under an inert gas atmosphere when the atmosphere in the holding body accommodating chamber is substantially the same as the atmosphere in the conveying chamber, and the holding in the conveying chamber. And a step of bringing the sieve into the processing chamber, and a step of performing a predetermined treatment on the object to be accommodated in the holding body in the processing chamber. 피처리체를 유지체가 수용된 유지체 수용실을 향하여 이송하는 공정과, 상기 유지체 수용실 내로 불활성 가스를 도입하고, 상기 유지체 수용실을 외기로부터 차단하는 공정과, 유지체 수용실 내의 분위기가 반송실 내의 분위기와 실질적으로 동일하게 된 단계에서 유지체 수용실 내의 유지체를 불활성 가스 분위기하에서 반송실 내로 반송하는 공정과, 반송실 내의 유지체를 처리실 내에 반입하는 공정과, 처리실 내에서 유지체에 수용된 피처리체에 대하여 소정의 처리를 실시하는 공정으로 이루어지는 처리방법.Transferring the object to the holding body accommodating chamber, the inert gas is introduced into the holding body accommodating chamber, and the holding body accommodating chamber is isolated from the outside air; and the atmosphere in the holding body accommodating chamber is conveyed. A step of conveying the holding body in the holding body accommodating chamber into the conveying chamber under an inert gas atmosphere at a step substantially equal to the atmosphere in the chamber; and a step of bringing the holding body in the conveying chamber into the processing chamber; A processing method comprising the step of performing a predetermined treatment on a received target object.
KR1019940005067A 1993-03-16 1994-03-15 Processing apparatus and method for process KR100276127B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP93-81329 1993-03-16
JP08132993A JP3218488B2 (en) 1993-03-16 1993-03-16 Processing equipment

Publications (2)

Publication Number Publication Date
KR940022935A KR940022935A (en) 1994-10-22
KR100276127B1 true KR100276127B1 (en) 2000-12-15

Family

ID=13743350

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940005067A KR100276127B1 (en) 1993-03-16 1994-03-15 Processing apparatus and method for process

Country Status (3)

Country Link
US (1) US5462397A (en)
JP (1) JP3218488B2 (en)
KR (1) KR100276127B1 (en)

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2644912B2 (en) * 1990-08-29 1997-08-25 株式会社日立製作所 Vacuum processing apparatus and operating method thereof
US7089680B1 (en) 1990-08-29 2006-08-15 Hitachi, Ltd. Vacuum processing apparatus and operating method therefor
USRE39823E1 (en) * 1990-08-29 2007-09-11 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
USRE39756E1 (en) * 1990-08-29 2007-08-07 Hitachi, Ltd. Vacuum processing operating method with wafers, substrates and/or semiconductors
JP3186262B2 (en) * 1992-10-14 2001-07-11 ソニー株式会社 Method for manufacturing semiconductor device
JP2548062B2 (en) * 1992-11-13 1996-10-30 日本エー・エス・エム株式会社 Load lock chamber for vertical heat treatment equipment
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
TW273574B (en) * 1993-12-10 1996-04-01 Tokyo Electron Co Ltd
JP3495788B2 (en) * 1994-07-05 2004-02-09 東京エレクトロン株式会社 Heat treatment method
US6833035B1 (en) * 1994-04-28 2004-12-21 Semitool, Inc. Semiconductor processing system with wafer container docking and loading station
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
JP3239977B2 (en) * 1994-05-12 2001-12-17 株式会社日立国際電気 Semiconductor manufacturing equipment
JP3196917B2 (en) * 1994-06-17 2001-08-06 大日本スクリーン製造株式会社 Substrate processing equipment
JPH08148540A (en) * 1994-11-18 1996-06-07 M C Electron Kk Wafer processing system
JPH08213446A (en) * 1994-12-08 1996-08-20 Tokyo Electron Ltd Processing equipment
KR100407412B1 (en) 1995-02-10 2004-03-24 동경 엘렉트론 주식회사 Heat treatment method and apparatus
US6036482A (en) * 1995-02-10 2000-03-14 Tokyo Electron Limited Heat treatment method
EP0735573B1 (en) 1995-03-28 2004-09-08 BROOKS Automation GmbH Loading and unloading station for semiconductor treatment installations
JPH0945597A (en) * 1995-05-25 1997-02-14 Kokusai Electric Co Ltd Semiconductor manufacturing apparatus and method for controlling load lock chamber oxygen concentration and method for producing natural oxide film
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
KR100310249B1 (en) * 1995-08-05 2001-12-17 엔도 마코토 Substrate Processing Equipment
KR100189981B1 (en) * 1995-11-21 1999-06-01 윤종용 Apparatus for fabricating semiconductor device with vacuum system
US6723174B2 (en) 1996-03-26 2004-04-20 Semitool, Inc. Automated semiconductor processing system
US6942738B1 (en) 1996-07-15 2005-09-13 Semitool, Inc. Automated semiconductor processing system
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
TW344847B (en) * 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
US6540466B2 (en) * 1996-12-11 2003-04-01 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
US5964561A (en) * 1996-12-11 1999-10-12 Applied Materials, Inc. Compact apparatus and method for storing and loading semiconductor wafer carriers
JP3270730B2 (en) * 1997-03-21 2002-04-02 株式会社日立国際電気 Substrate processing apparatus and substrate processing method
JP3406488B2 (en) * 1997-09-05 2003-05-12 東京エレクトロン株式会社 Vacuum processing equipment
TW432578B (en) 1997-09-18 2001-05-01 Tokyo Electron Ltd A vacuum processing apparatus
KR100263901B1 (en) * 1997-10-14 2000-08-16 윤종용 Apparatus for semiconductor device, fabricating method of HSG-polysilicon film and fabrication method of capacitor having HSG-polysilicon film as an electrode
US6000905A (en) * 1998-03-13 1999-12-14 Toro-Lira; Guillermo L. High speed in-vacuum flat panel display handler
US6079927A (en) * 1998-04-22 2000-06-27 Varian Semiconductor Equipment Associates, Inc. Automated wafer buffer for use with wafer processing equipment
US6030208A (en) * 1998-06-09 2000-02-29 Semitool, Inc. Thermal processor
US6178361B1 (en) * 1998-11-20 2001-01-23 Karl Suss America, Inc. Automatic modular wafer substrate handling device
JP2000306978A (en) * 1999-02-15 2000-11-02 Kokusai Electric Co Ltd Substrate treatment apparatus, substrate transfer apparatus, and substrate treatment method
KR100574140B1 (en) * 1999-07-02 2006-04-25 동경 엘렉트론 주식회사 Semiconductor manufacture equipment, and method and apparatus for semiconductor manufacture
JP4578615B2 (en) * 1999-07-21 2010-11-10 東京エレクトロン株式会社 Heat treatment equipment
KR20010083206A (en) * 2000-02-22 2001-08-31 히가시 데쓰로 Treament apparatus
JP3676983B2 (en) 2000-03-29 2005-07-27 株式会社日立国際電気 Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
EP1332349A4 (en) * 2000-07-07 2008-12-17 Semitool Inc Automated processing system
JP4342745B2 (en) * 2000-09-27 2009-10-14 株式会社日立国際電気 Substrate processing method and semiconductor device manufacturing method
JP4731755B2 (en) * 2001-07-26 2011-07-27 東京エレクトロン株式会社 Transfer device control method, heat treatment method, and heat treatment device
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
KR100527671B1 (en) * 2004-02-19 2005-11-28 삼성전자주식회사 Method of forming a layer on a wafer
JP4266197B2 (en) * 2004-10-19 2009-05-20 東京エレクトロン株式会社 Vertical heat treatment equipment
US8211235B2 (en) * 2005-03-04 2012-07-03 Picosun Oy Apparatuses and methods for deposition of material on surfaces
JP5280861B2 (en) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド High temperature ALD inlet manifold
JP5050761B2 (en) * 2007-10-03 2012-10-17 東京エレクトロン株式会社 Processing system for object to be processed and heat treatment method for object to be processed
JP4975605B2 (en) * 2007-12-26 2012-07-11 東京エレクトロン株式会社 Processing system, processing system control method, and software version upgrade method
JP5625981B2 (en) * 2011-02-10 2014-11-19 東京エレクトロン株式会社 Heat treatment apparatus and heat treatment method
JP5614352B2 (en) * 2011-03-29 2014-10-29 東京エレクトロン株式会社 Loading unit and processing system
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9564350B1 (en) * 2015-09-18 2017-02-07 Globalfoundries Inc. Method and apparatus for storing and transporting semiconductor wafers in a vacuum pod
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
JP6820186B2 (en) * 2016-11-22 2021-01-27 株式会社アドテックエンジニアリング Board handling device and board handling method
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
KR20210048408A (en) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. Semiconductor deposition reactor manifolds

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61105853A (en) * 1984-10-30 1986-05-23 Anelva Corp Autoloader
JPS61291032A (en) * 1985-06-17 1986-12-20 Fujitsu Ltd Vacuum apparatus
JPH0783003B2 (en) * 1986-07-09 1995-09-06 国際電気株式会社 Waferbot transport method
ES2163388T3 (en) * 1988-05-24 2002-02-01 Unaxis Balzers Ag VACUUM INSTALLATION
US5110248A (en) * 1989-07-17 1992-05-05 Tokyo Electron Sagami Limited Vertical heat-treatment apparatus having a wafer transfer mechanism
US5221201A (en) * 1990-07-27 1993-06-22 Tokyo Electron Sagami Limited Vertical heat treatment apparatus
US5181819A (en) * 1990-10-09 1993-01-26 Tokyo Electron Sagami Limited Apparatus for processing semiconductors
US5277579A (en) * 1991-03-15 1994-01-11 Tokyo Electron Sagami Limited Wafers transferring method in vertical type heat treatment apparatus and the vertical type heat treatment apparatus provided with a wafers transferring system
JP3149206B2 (en) * 1991-05-30 2001-03-26 東京エレクトロン株式会社 Heat treatment equipment
US5303671A (en) * 1992-02-07 1994-04-19 Tokyo Electron Limited System for continuously washing and film-forming a semiconductor wafer

Also Published As

Publication number Publication date
KR940022935A (en) 1994-10-22
JPH06267873A (en) 1994-09-22
JP3218488B2 (en) 2001-10-15
US5462397A (en) 1995-10-31

Similar Documents

Publication Publication Date Title
KR100276127B1 (en) Processing apparatus and method for process
CN117276150B (en) Indexable side cartridge devices, heated side cartridge devices, systems, and methods
KR100932168B1 (en) Method of manufacturing substrate processing apparatus and semiconductor device
US5121705A (en) Loading lock for chemical vapor deposition apparatus
US5391035A (en) Micro-enviroment load lock
KR100221983B1 (en) A treating apparatus for semiconductor process
JP4516966B2 (en) Semiconductor manufacturing apparatus, substrate loading / unloading method, and semiconductor device manufacturing method
JP4916140B2 (en) Vacuum processing system
JPH08213446A (en) Processing equipment
KR20030002299A (en) Substrate processing apparatus, substrate processing method, semiconductor device fabricating method, and conveying unit
KR20210066937A (en) Side storage pods, equipment front end modules, and methods for operating the same
JP2007073746A (en) Substrate processing device
JPH06302679A (en) Material-to-be-treated conveying box and treating apparatus
JP2002359237A (en) Manufacturing method of substrate treatment apparatus and semiconductor device
JP3589823B2 (en) Substrate transfer device, substrate processing device, and substrate transfer method
KR20090118632A (en) Apparatus and method for treating substrates of multi chamber type
JP3543987B2 (en) Processing equipment
JP2006269810A (en) Board processor
JP3666636B2 (en) Substrate processing equipment
JP2012129232A (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP2005347667A (en) Semiconductor fabrication device
JP2004286165A (en) Manufacturing method of chamber, board processing unit and semiconductor unit using this
JP2006190812A (en) Substrate processing device
KR20200108467A (en) Processing device, exhaust system, manufacturing method of semiconductor device
JP2006108348A (en) Substrate processing apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120907

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20130903

Year of fee payment: 14

EXPY Expiration of term