JPWO2020243098A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2020243098A5
JPWO2020243098A5 JP2021569842A JP2021569842A JPWO2020243098A5 JP WO2020243098 A5 JPWO2020243098 A5 JP WO2020243098A5 JP 2021569842 A JP2021569842 A JP 2021569842A JP 2021569842 A JP2021569842 A JP 2021569842A JP WO2020243098 A5 JPWO2020243098 A5 JP WO2020243098A5
Authority
JP
Japan
Prior art keywords
write
cache storage
miss information
cache
write miss
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021569842A
Other languages
Japanese (ja)
Other versions
JP2022534891A (en
Publication date
Priority claimed from US16/882,258 external-priority patent/US11693790B2/en
Application filed filed Critical
Publication of JP2022534891A publication Critical patent/JP2022534891A/en
Publication of JPWO2020243098A5 publication Critical patent/JPWO2020243098A5/ja
Pending legal-status Critical Current

Links

Claims (20)

装置であって、
第1のキャッシュストレージと、
前記第1のキャッシュストレージから退出されデータのセットを格納するように動作可能な第1の部分と書き込みミス情報を格納するように動作可能な第2の部分とを含む第2のキャッシュストレージと、
前記第1のキャッシュストレージ前記第2のキャッシュストレージに結合されるキャッシュコントローラであって
書き込み動作を受け取り、
前記書き込み動作が前記第1のキャッシュストレージにおけるミスに関連することを判定し、
前記第1のキャッシュストレージにおけるミスに応答して、前記書き込みデータを含む第1の書き込みミス情報のセットを前記第2のキャッシュストレージの第2の部分格納させ
前記書き込みデータに向けられる読み出し動作を受信し、
前記第2のキャッシュストレージの第2の部分に格納された前記書き込みデータを用いて前記読み出し動作を使用可能にし、
前記第1のキャッシュストレージに格納されるべき第2のデータのセットに応答して前記第1のキャッシュストレージから第1のデータのセットを退出させ、
前記第1のデータのセットを前記第2のキャッシュストレージの第1の部分に前記第1のデータのセットを格納する、
ように動作可能である、前記キャッシュコントローラと、
を含む、装置。
a device,
a first cache storage;
a second cache storage including a first portion operable to store a set of data evicted from the first cache storage and a second portion operable to store write miss information; ,
a cache controller coupled to the first cache storage and the second cache storage,
receive a write operation,
determining that the write operation is associated with a miss in the first cache storage;
causing a first set of write miss information containing the write data to be stored in a second portion of the second cache storage in response to a miss in the first cache storage;
receiving a read operation directed to the write data;
enabling the read operation using the write data stored in a second portion of the second cache storage;
evicting a first set of data from the first cache storage in response to a second set of data to be stored in the first cache storage;
storing the first set of data in a first portion of the second cache storage;
the cache controller operable to
apparatus , including
請求項1に記載の装置であって、
前記第1のキャッシュストレージと前記第2のキャッシュストレージと前記キャッシュコントローラとが第1のキャッシュレベルに関連し、
前記キャッシュコントローラが、
前記第2のキャッシュストレージの第2の部分の利用を閾値と比較し、
前記第2の部分の利用が前記閾値を超えることに基づいて、前記書き込みミス情報を第2のキャッシュレベルに送信させる、
ように更に動作可能である、装置。
2. The device of claim 1, wherein
wherein the first cache storage, the second cache storage and the cache controller are associated with a first cache level;
the cache controller
comparing utilization of a second portion of the second cache storage to a threshold;
causing the write miss information to be sent to a second cache level based on utilization of the second portion exceeding the threshold;
A device which is further operable to.
請求項2に記載の装置であって、
前記閾値がインタフェースの帯域幅に対応する、装置。
3. The apparatus of claim 2, wherein
The apparatus , wherein the threshold corresponds to bandwidth of an interface.
請求項2に記載の装置であって、
前記閾値が、前記第2の部分のサイズに対応する、装置。
3. The apparatus of claim 2, wherein
The apparatus, wherein the threshold corresponds to the size of the second portion.
請求項1に記載の装置であって、
前記キャッシュコントローラが、前記第2のキャッシュストレージが前記第1の書き込みミス情報のセットに対応するメモリアドレスに対する第2の書き込みミス情報のセットを含む場合に、前記第1のキャッシュストレージから前記第2のキャッシュストレージに前記第1の書き込みミス情報のセットを提供しないように更に動作可能である、装置。
2. The device of claim 1, wherein
The cache controller outputs the second write miss information from the first cache storage when the second cache storage includes a second set of write miss information for a memory address corresponding to the first set of write miss information. , further operable to not provide the first set of write miss information to cache storage of .
請求項1に記載の装置であって、
前記第1のキャッシュストレージ前記第2のキャッシュストレージが、中央処理装置に並列に接続される、装置。
2. The device of claim 1, wherein
The apparatus, wherein said first cache storage and said second cache storage are connected in parallel to a central processing unit.
請求項1に記載の装置であって、
前記キャッシュコントローラが、前記第1のキャッシュストレージからの前記第1の書き込みミス情報のセットの第1のメモリアドレスが前記第2の部分に格納された第2の書き込みミス情報のセットの第2のメモリアドレスと一致するときに、前記第1の書き込みミス情報のセットを前記第2の書き込みミス情報のセットとマージするように更に動作可能である、装置。
2. The device of claim 1, wherein
The cache controller generates a second of a second set of write miss information with a first memory address of the first set of write miss information from the first cache storage stored in the second portion. The apparatus is further operable to merge the first set of write miss information with the second set of write miss information when matching memory addresses.
請求項7に記載の装置であって、
前記キャッシュコントローラが、(a)前記第1の書き込みミス情報のセットの第1の書き込み情報を維持すること、又は、(b)前記第2の書き込みミス情報のセットの第2の書き込み情報が前記第1の書き込みミス情報のセットと同じ1つ又はそれ以上のバイトに対応する場合に前記第2の書き込みミス情報のセットの第2の書き込み情報を破棄すること、の少なくとも1つによって、前記第1の書き込みミス情報のセットを前記第2の書き込みミス情報のセットとマージするように更に動作可能である、装置。
8. A device according to claim 7, wherein
The cache controller may: (a) maintain a first write information of the first set of write miss information; or (b) second write information of the second set of write miss information. discarding the second write information of the second set of write miss information if it corresponds to the same one or more bytes as the first set of write miss information. and further operable to merge one set of write miss information with said second set of write miss information.
請求項1に記載の装置であって、
前記第2の部分がバイトイネーブルレジスタを含み、
前記キャッシュコントローラが、前記書き込みミス情報に基づいて前記バイトイネーブルレジスタに値を格納するように更に動作可能である、装置。
2. The device of claim 1, wherein
the second portion includes a byte enable register;
The apparatus of claim 1, wherein the cache controller is further operable to store a value in the byte enable register based on the write miss information.
請求項9に記載の装置であって、
前記値が、書き込まれる前記書き込みミス情報の要素に対応する、装置。
10. A device according to claim 9, wherein
The apparatus, wherein the values correspond to elements of the write miss information to be written.
システムであって、
メモリアドレスに対応する書き込みコマンドを出力するように動作可能である中央処理装置と、
主要キャッシュストレージであって、
前記主要キャッシュストレージに格納されるべき第2のデータのセットに応答して第1のデータのセット出力し、
前記主要キャッシュストレージにおけるミス関連する前記書き込みコマンドに基づいて、書き込みデータを含む書き込みミス情報のセットを出力する、
ように動作可能である、前記主要キャッシュストレージと、
前記主要キャッシュストレージから退出されデータのセットを格納するように動作可能な第1の部分と、書き込みミス情報のセットを格納するように動作可能な第2の部分とを含む犠牲キャッシュストレージであって、
前記主要キャッシュストレージから退出される前記第1のデータのセットに基づいて前記第1の部分に前記第1のデータセットを格納
前記主要キャッシュストレージにおけるミスに関連する前記書き込みコマンドに基づいて前記第2の部分に前記書き込みミス情報のセットを格納し、
前記書き込みミス情報のセットの書き込みデータに向けられる前記中央処理装置からの第2のコマンドを利用可能にする、
ように動作可能である、前記犠牲キャッシュストレージと、
を含む、システム。
a system,
a central processing unit operable to output a write command corresponding to a memory address;
primary cache storage,
outputting a first set of data in response to a second set of data to be stored in the primary cache storage;
outputting a set of write miss information including write data based on the write commands associated with misses in the primary cache storage;
the primary cache storage operable to
a victim cache storage comprising a first portion operable to store a set of data evicted from said primary cache storage and a second portion operable to store a set of write miss information ; There is
storing the first set of data in the first portion based on the first set of data evicted from the primary cache storage;
storing the set of write miss information in the second portion based on the write commands associated with misses in the primary cache storage ;
enabling a second command from the central processing unit directed to write data of the set of write miss information;
the victim cache storage operable to
system, including
請求項11に記載のシステムであって、
前記主要キャッシュストレージと前記犠牲キャッシュストレージとが第1のキャッシュレベルに関連し、
前記犠牲キャッシュストレージが、前記犠牲キャッシュストレージの第2の部分が書き込みミス情報の閾値量より多くを有するとき、前記書き込みミス情報を第2のキャッシュレベルに出力するように更に動作可能である、システム。
12. The system of claim 11, comprising:
the primary cache storage and the victim cache storage are associated with a first cache level;
the victim cache storage is further operable to output the write miss information to a second cache level when a second portion of the victim cache storage has more than a threshold amount of write miss information; system.
請求項12に記載のシステムであって、
前記閾値が、前記第2のキャッシュレベルへのインタフェースの帯域幅に対応する、システム。
13. The system of claim 12, wherein
The system, wherein the threshold amount corresponds to bandwidth of an interface to the second cache level .
請求項12に記載のシステムであって、
前記閾値が、前記第2の部分のサイズに対応する、システム。
13. The system of claim 12, wherein
The system, wherein the threshold amount corresponds to the size of the second portion.
請求項11に記載のシステムであって、
前記犠牲キャッシュストレージが、前記犠牲キャッシュストレージが前記中央処理装置からの前記第1の書き込みミス情報のセットと同じメモリアドレスに対応する第2の書き込みミス情報のセットを含む場合、前記主要キャッシュストレージからの前記第1の書き込みミス情報のセットを前記第2の部分に格納しないように更に動作可能である、システム。
12. The system of claim 11, comprising:
the primary cache storage, if the victim cache storage contains a second set of write miss information corresponding to the same memory address as the first set of write miss information from the central processing unit; , further operable to not store the first set of write miss information from the second portion in the second portion.
請求項11に記載のシステムであって、
前記主要キャッシュストレージ前記犠牲キャッシュストレージが、前記中央処理装置に並列に接続される、システム。
12. The system of claim 11, comprising:
A system, wherein the primary cache storage and the victim cache storage are connected in parallel to the central processing unit.
請求項11に記載のシステムであって、
コントローラであって、前記主要キャッシュストレージからの前記第1の書き込みミス情報のセットの第1のメモリアドレスが前記第2の部分に格納された第2の書き込みミス情報のセットの第2のメモリアドレスと一致するとき、前記第1の書き込みミス情報のセットを前記第2の書き込みミス情報のセットとマージするように動作可能である、前記コントローラを更に含む、システム。
12. The system of claim 11, comprising:
a controller, wherein a first memory address of the first set of write miss information from the primary cache storage is stored in the second portion and a second memory address of the second set of write miss information; , further comprising the controller operable to merge the first set of write miss information with the second set of write miss information when matching.
請求項17に記載のシステムであって、
前記コントローラが、(a)前記第1の書き込みミス情報のセットの第1の書き込み情報を維持すること、又は、(b)前記第2の書き込みミス情報のセットの第2の書き込み情報が前記第1の書き込みミス情報のセットと同じ1つ又はそれ以上のバイトに対応する場合に前記第2の書き込みミス情報のセットの第2の書き込み情報を破棄すること、の少なくとも1つによって、前記第1の書き込みミス情報のセットを前記第2の書き込みミス情報のセットとマージするように更に動作可能である、システム。
18. The system of claim 17, comprising:
(a ) maintaining a first write information of the first set of write miss information; or (b) second write information of the second set of write miss information is maintained in the discarding second write information of the second set of write miss information if it corresponds to the same one or more bytes as one set of write miss information. with said second set of write miss information.
方法であって、
プロセッサから書き込み動作を受け取ることと、
前記書き込み動作が第1のキャッシュレベルの第1のキャッシュストレージにおいてミスを生成する判定することと、
前記第1のキャッシュストレージにおけるミスに応答して、前記第1のキャッシュレベルの第2のキャッシュストレージに前記書き込み動作に関連する書き込みミス情報のセット格納することであって、前記第2のキャッシュストレージ前記第1のキャッシュストレージから退出される第1のデータのセットを格納する第1の部分と、前記書き込みミス情報のセットを格納する第2の部分を含む、前記書き込みミス情報のセットを格納することと、
前記プロセッサから第2の動作を受信することと、
前記第2のキャッシュストレージに格納された前記書き込みミス情報のセットを用いて前記第2の動作を使用可能にし、
前記第1のキャッシュストレージに格納されるべき第2のデータのセットに応答して、前記第2のデータのセットのための余裕をつくるために前記第1のキャッシュストレージから前記第1のデータのセットを退出させることと、
前記第2のキャッシュストレージの第1の部分に前記第1のデータのセットを格納することと、
を含む、方法。
a method,
receiving a write operation from a processor ;
determining that the write operation generates a miss in a first cache storage at a first cache level ;
storing a set of write miss information associated with the write operation in a second cache storage at the first cache level in response to a miss in the first cache storage; said write miss information, wherein storage includes a first portion storing a first set of data evicted from said first cache storage and a second portion storing said set of write miss information; storing the set ;
receiving a second action from the processor;
enabling the second operation using the set of write miss information stored in the second cache storage;
responsive to a second set of data to be stored in the first cache storage, extracting the first data from the first cache storage to make room for the second set of data; leaving the set; and
storing the first set of data in a first portion of the second cache storage;
A method, including
請求項19に記載の方法であって、
前記第2のキャッシュストレージの第2の部分の利用を閾値と比較することと、
前記第2の部分の利用が前記閾値を超えることに基づいて前記書き込みミス情報のセットを第2のキャッシュレベルに出力することと、
を更に含む、方法。
20. The method of claim 19, wherein
comparing utilization of a second portion of the second cache storage to a threshold;
outputting the set of write miss information to a second cache level based on utilization of the second portion exceeding the threshold;
The method further comprising:
JP2021569842A 2019-05-24 2020-05-26 Method and Apparatus for Facilitating Write Miscaching in Cache Systems Pending JP2022534891A (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201962852494P 2019-05-24 2019-05-24
US62/852,494 2019-05-24
US16/882,258 US11693790B2 (en) 2019-05-24 2020-05-22 Methods and apparatus to facilitate write miss caching in cache system
US16/882,258 2020-05-22
PCT/US2020/034560 WO2020243098A1 (en) 2019-05-24 2020-05-26 Methods and apparatus to facilitate write miss caching in cache system

Publications (2)

Publication Number Publication Date
JP2022534891A JP2022534891A (en) 2022-08-04
JPWO2020243098A5 true JPWO2020243098A5 (en) 2023-06-01

Family

ID=73456693

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2021569842A Pending JP2022534891A (en) 2019-05-24 2020-05-26 Method and Apparatus for Facilitating Write Miscaching in Cache Systems
JP2021569843A Pending JP2022534892A (en) 2019-05-24 2020-05-26 Victim cache that supports draining write-miss entries
JP2021569845A Pending JP2022532938A (en) 2019-05-24 2020-05-26 Methods and equipment to facilitate pipelined read / modify / write support in the cache

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021569843A Pending JP2022534892A (en) 2019-05-24 2020-05-26 Victim cache that supports draining write-miss entries
JP2021569845A Pending JP2022532938A (en) 2019-05-24 2020-05-26 Methods and equipment to facilitate pipelined read / modify / write support in the cache

Country Status (5)

Country Link
US (43) US11636040B2 (en)
EP (3) EP3977295A4 (en)
JP (3) JP2022534891A (en)
CN (3) CN113853592A (en)
WO (3) WO2020243098A1 (en)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11151042B2 (en) * 2016-09-27 2021-10-19 Integrated Silicon Solution, (Cayman) Inc. Error cache segmentation for power reduction
CN109392181B (en) * 2017-08-11 2022-07-19 华为技术有限公司 Method and apparatus for transmitting and receiving random access preamble
US10585819B2 (en) 2018-03-05 2020-03-10 Samsung Electronics Co., Ltd. SSD architecture for FPGA based acceleration
WO2020168522A1 (en) * 2019-02-21 2020-08-27 华为技术有限公司 System on chip, routing method for access command and terminal
US11636040B2 (en) * 2019-05-24 2023-04-25 Texas Instruments Incorporated Methods and apparatus for inflight data forwarding and invalidation of pending writes in store queue
US11223575B2 (en) * 2019-12-23 2022-01-11 Advanced Micro Devices, Inc. Re-purposing byte enables as clock enables for power savings
KR20210115576A (en) * 2020-03-13 2021-09-27 에스케이하이닉스 주식회사 Electronic system having host and memory system
KR20210157830A (en) * 2020-06-22 2021-12-29 에스케이하이닉스 주식회사 Memory and operation method of memory
US11467962B2 (en) * 2020-09-02 2022-10-11 SiFive, Inc. Method for executing atomic memory operations when contested
US20220188316A1 (en) * 2020-12-14 2022-06-16 Samsung Electronics Co., Ltd. Storage device adapter to accelerate database temporary table processing
WO2022139637A1 (en) * 2020-12-22 2022-06-30 Telefonaktiebolaget Lm Ericsson (Publ) Snapshotting pending memory writes using non-volatile memory
US20220206946A1 (en) * 2020-12-28 2022-06-30 Advanced Micro Devices, Inc. Method and apparatus for managing a cache directory
CN112765057B (en) * 2020-12-30 2024-04-30 京信网络系统股份有限公司 Data transmission method, PCIE system, equipment and storage medium
US11144822B1 (en) * 2021-01-04 2021-10-12 Edgecortix Pte. Ltd. Neural network accelerator run-time reconfigurability
US11735285B1 (en) * 2021-03-12 2023-08-22 Kioxia Corporation Detection of address bus corruption for data storage devices
US11599269B2 (en) * 2021-03-17 2023-03-07 Vmware, Inc. Reducing file write latency
US11803311B2 (en) * 2021-03-31 2023-10-31 Advanced Micro Devices, Inc. System and method for coalesced multicast data transfers over memory interfaces
US20220342590A1 (en) * 2021-04-27 2022-10-27 Microchip Technology Inc. Method and Apparatus for Gather/Scatter Operations in a Vector Processor
CN113553292B (en) * 2021-06-28 2022-04-19 睿思芯科(深圳)技术有限公司 Vector processor and related data access method
US11768599B2 (en) 2021-07-13 2023-09-26 Saudi Arabian Oil Company Managing an enterprise data storage system
CN113778906B (en) * 2021-07-30 2023-11-21 成都佰维存储科技有限公司 Request reading method and device, readable storage medium and electronic equipment
US11829643B2 (en) * 2021-10-25 2023-11-28 Skyechip Sdn Bhd Memory controller system and a method of pre-scheduling memory transaction for a storage device
US11847062B2 (en) * 2021-12-16 2023-12-19 Advanced Micro Devices, Inc. Re-fetching data for L3 cache data evictions into a last-level cache
US20230315644A1 (en) * 2022-03-30 2023-10-05 International Business Machines Corporation Castout handling in a distributed cache topology
US20230342070A1 (en) * 2022-04-22 2023-10-26 Western Digital Technologies, Inc. Configurable Arithmetic HW Accelerator
US20230359556A1 (en) * 2022-05-03 2023-11-09 Advanced Micro Devices, Inc. Performing Operations for Handling Data using Processor in Memory Circuitry in a High Bandwidth Memory
EP4359936A1 (en) * 2022-09-12 2024-05-01 Google LLC Time-efficient implementation of cache replacement policy
CN116564374B (en) * 2023-07-07 2023-11-14 长鑫存储技术有限公司 Drive control circuit and memory
CN116627357B (en) * 2023-07-21 2023-09-29 美智纵横科技有限责任公司 Data processing method, deep learning model deployment method, device and chip

Family Cites Families (165)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5003459A (en) 1988-04-01 1991-03-26 Digital Equipment Corporation Cache memory system
US5412799A (en) * 1990-02-27 1995-05-02 Massachusetts Institute Of Technology Efficient data processor instrumentation for systematic program debugging and development
US5256293A (en) 1991-09-20 1993-10-26 Research Corporation Technologies, Inc. Separation of enantiomers of non-steroidal anti-inflammatory drugs and chiral selector therefor
US5325503A (en) * 1992-02-21 1994-06-28 Compaq Computer Corporation Cache memory system which snoops an operation to a first location in a cache line and does not snoop further operations to locations in the same line
US6219773B1 (en) * 1993-10-18 2001-04-17 Via-Cyrix, Inc. System and method of retiring misaligned write operands from a write buffer
US5687338A (en) * 1994-03-01 1997-11-11 Intel Corporation Method and apparatus for maintaining a macro instruction for refetching in a pipelined processor
US5644752A (en) * 1994-06-29 1997-07-01 Exponential Technology, Inc. Combined store queue for a master-slave cache system
US5577227A (en) * 1994-08-04 1996-11-19 Finnell; James S. Method for decreasing penalty resulting from a cache miss in multi-level cache system
US5651136A (en) * 1995-06-06 1997-07-22 International Business Machines Corporation System and method for increasing cache efficiency through optimized data allocation
JPH09114734A (en) * 1995-10-16 1997-05-02 Hitachi Ltd Store buffer device
US5822755A (en) * 1996-01-25 1998-10-13 International Business Machines Corporation Dual usage memory selectively behaving as a victim cache for L1 cache or as a tag array for L2 cache
US5758056A (en) * 1996-02-08 1998-05-26 Barr; Robert C. Memory system having defective address identification and replacement
US6038645A (en) * 1996-08-28 2000-03-14 Texas Instruments Incorporated Microprocessor circuits, systems, and methods using a combined writeback queue and victim cache
US5860107A (en) * 1996-10-07 1999-01-12 International Business Machines Corporation Processor and method for store gathering through merged store operations
KR100190379B1 (en) 1996-11-06 1999-06-01 김영환 Processor for performance improvement of write cycle
US5935233A (en) * 1997-05-21 1999-08-10 Micron Electronics, Inc. Computer system with a switch interconnector for computer devices
US6085294A (en) * 1997-10-24 2000-07-04 Compaq Computer Corporation Distributed data dependency stall mechanism
US6078992A (en) 1997-12-05 2000-06-20 Intel Corporation Dirty line cache
US6226713B1 (en) 1998-01-21 2001-05-01 Sun Microsystems, Inc. Apparatus and method for queueing structures in a multi-level non-blocking cache subsystem
US6195729B1 (en) * 1998-02-17 2001-02-27 International Business Machines Corporation Deallocation with cache update protocol (L2 evictions)
US6289438B1 (en) * 1998-07-29 2001-09-11 Kabushiki Kaisha Toshiba Microprocessor cache redundancy scheme using store buffer
US6215497B1 (en) * 1998-08-12 2001-04-10 Monolithic System Technology, Inc. Method and apparatus for maximizing the random access bandwidth of a multi-bank DRAM in a computer graphics system
JP2000215102A (en) * 1998-09-01 2000-08-04 Texas Instr Inc <Ti> Advanced memory hierarchical structure for processor
US6397296B1 (en) * 1999-02-19 2002-05-28 Hitachi Ltd. Two-level instruction cache for embedded processors
FR2795196B1 (en) * 1999-06-21 2001-08-10 Bull Sa PHYSICAL PAGES RELEASE PROCESS FOR VIRTUAL ADDRESSING MECHANISM
US6633299B1 (en) * 2000-01-10 2003-10-14 Intel Corporation Method and apparatus for implementing smart allocation policies for a small frame buffer cache serving 3D and 2D streams
JP2001249846A (en) * 2000-03-03 2001-09-14 Hitachi Ltd Cache memory device and data processing system
US20020087821A1 (en) * 2000-03-08 2002-07-04 Ashley Saulsbury VLIW computer processing architecture with on-chip DRAM usable as physical memory or cache memory
US6751720B2 (en) * 2000-06-10 2004-06-15 Hewlett-Packard Development Company, L.P. Method and system for detecting and resolving virtual address synonyms in a two-level cache hierarchy
EP1182570A3 (en) * 2000-08-21 2004-08-04 Texas Instruments Incorporated TLB with resource ID field
US6775750B2 (en) 2001-06-29 2004-08-10 Texas Instruments Incorporated System protection map
US6587929B2 (en) * 2001-07-31 2003-07-01 Ip-First, L.L.C. Apparatus and method for performing write-combining in a pipelined microprocessor using tags
US7085955B2 (en) * 2001-09-14 2006-08-01 Hewlett-Packard Development Company, L.P. Checkpointing with a write back controller
US20030182539A1 (en) * 2002-03-20 2003-09-25 International Business Machines Corporation Storing execution results of mispredicted paths in a superscalar computer processor
US7937559B1 (en) * 2002-05-13 2011-05-03 Tensilica, Inc. System and method for generating a configurable processor supporting a user-defined plurality of instruction sizes
US7290093B2 (en) * 2003-01-07 2007-10-30 Intel Corporation Cache memory to support a processor's power mode of operation
ITRM20030354A1 (en) * 2003-07-17 2005-01-18 Micron Technology Inc CONTROL UNIT FOR MEMORY DEVICE.
US7240277B2 (en) * 2003-09-26 2007-07-03 Texas Instruments Incorporated Memory error detection reporting
WO2005066830A1 (en) * 2004-01-08 2005-07-21 Agency For Science, Technology & Research A shared storage network system and a method for operating a shared storage network system
US7308526B2 (en) * 2004-06-02 2007-12-11 Intel Corporation Memory controller module having independent memory controllers for different memory types
US7360035B2 (en) * 2004-09-01 2008-04-15 International Business Machines Corporation Atomic read/write support in a multi-module memory configuration
US7606998B2 (en) * 2004-09-10 2009-10-20 Cavium Networks, Inc. Store instruction ordering for multi-core processor
US7716424B2 (en) * 2004-11-16 2010-05-11 International Business Machines Corporation Victim prefetching in a cache hierarchy
US20060143396A1 (en) 2004-12-29 2006-06-29 Mason Cabot Method for programmer-controlled cache line eviction policy
US8103749B2 (en) * 2005-01-05 2012-01-24 Yissum Research Development Company Of The Hebrew University Of Jerusalem Method and apparatus for managing communications
US7490200B2 (en) * 2005-02-10 2009-02-10 International Business Machines Corporation L2 cache controller with slice directory and unified cache structure
CN101156139A (en) * 2005-04-08 2008-04-02 松下电器产业株式会社 Cache memory
US7711988B2 (en) * 2005-06-15 2010-05-04 The Board Of Trustees Of The University Of Illinois Architecture support system and method for memory monitoring
US20070094450A1 (en) * 2005-10-26 2007-04-26 International Business Machines Corporation Multi-level cache architecture having a selective victim cache
JP4832862B2 (en) * 2005-11-18 2011-12-07 株式会社日立製作所 Disk array system and security method
US7613941B2 (en) * 2005-12-29 2009-11-03 Intel Corporation Mechanism for self refresh during advanced configuration and power interface (ACPI) standard C0 power state
US7461210B1 (en) * 2006-04-14 2008-12-02 Tilera Corporation Managing set associative cache memory according to entry type
US8407395B2 (en) * 2006-08-22 2013-03-26 Mosaid Technologies Incorporated Scalable memory system
KR101086417B1 (en) 2006-11-27 2011-11-25 삼성전자주식회사 Apparatus and method for partial access of dynamic random access memory
US7539062B2 (en) * 2006-12-20 2009-05-26 Micron Technology, Inc. Interleaved memory program and verify method, device and system
US7996632B1 (en) * 2006-12-22 2011-08-09 Oracle America, Inc. Device for misaligned atomics for a highly-threaded x86 processor
US7660967B2 (en) * 2007-02-01 2010-02-09 Efficient Memory Technology Result data forwarding in parallel vector data processor based on scalar operation issue order
JP2008234806A (en) * 2007-03-23 2008-10-02 Toshiba Corp Semiconductor memory device and its redundancy method
US8166246B2 (en) * 2008-01-31 2012-04-24 International Business Machines Corporation Chaining multiple smaller store queue entries for more efficient store queue usage
US7814300B2 (en) * 2008-04-30 2010-10-12 Freescale Semiconductor, Inc. Configurable pipeline to process an operation at alternate pipeline stages depending on ECC/parity protection mode of memory access
US9146744B2 (en) * 2008-05-06 2015-09-29 Oracle America, Inc. Store queue having restricted and unrestricted entries
US7934080B2 (en) * 2008-05-28 2011-04-26 Oracle America, Inc. Aggressive store merging in a processor that supports checkpointing
US8117395B1 (en) * 2008-06-25 2012-02-14 Marvell Israel (Misl) Ltd. Multi-stage pipeline for cache access
US8327072B2 (en) 2008-07-23 2012-12-04 International Business Machines Corporation Victim cache replacement
US8943273B1 (en) * 2008-08-14 2015-01-27 Marvell International Ltd. Method and apparatus for improving cache efficiency
US8181005B2 (en) 2008-09-05 2012-05-15 Advanced Micro Devices, Inc. Hybrid branch prediction device with sparse and dense prediction caches
US8782348B2 (en) * 2008-09-09 2014-07-15 Via Technologies, Inc. Microprocessor cache line evict array
WO2010087310A1 (en) * 2009-01-28 2010-08-05 日本電気株式会社 Cache memory and control method therefor
US8259520B2 (en) * 2009-03-13 2012-09-04 Unity Semiconductor Corporation Columnar replacement of defective memory cells
US8117390B2 (en) * 2009-04-15 2012-02-14 International Business Machines Corporation Updating partial cache lines in a data processing system
JP5413001B2 (en) * 2009-07-09 2014-02-12 富士通株式会社 Cache memory
US8244981B2 (en) * 2009-07-10 2012-08-14 Apple Inc. Combined transparent/non-transparent cache
US8392661B1 (en) * 2009-09-21 2013-03-05 Tilera Corporation Managing cache coherence
US8595425B2 (en) * 2009-09-25 2013-11-26 Nvidia Corporation Configurable cache for multiple clients
US8688901B2 (en) * 2009-12-08 2014-04-01 Intel Corporation Reconfigurable load-reduced memory buffer
US20110149661A1 (en) * 2009-12-18 2011-06-23 Rajwani Iqbal R Memory array having extended write operation
US9507647B2 (en) * 2010-01-08 2016-11-29 Globalfoundries Inc. Cache as point of coherence in multiprocessor system
US9081501B2 (en) * 2010-01-08 2015-07-14 International Business Machines Corporation Multi-petascale highly efficient parallel supercomputer
US8341353B2 (en) 2010-01-14 2012-12-25 Qualcomm Incorporated System and method to access a portion of a level two memory and a level one memory
US8370582B2 (en) * 2010-01-26 2013-02-05 Hewlett-Packard Development Company, L.P. Merging subsequent updates to a memory location
US8429374B2 (en) * 2010-01-28 2013-04-23 Sony Corporation System and method for read-while-write with NAND memory device
US8621145B1 (en) * 2010-01-29 2013-12-31 Netapp, Inc. Concurrent content management and wear optimization for a non-volatile solid-state cache
US20130117838A1 (en) * 2010-02-11 2013-05-09 Timothy Evert LEVIN Superpositional Control of Integrated Circuit Processing
US8514235B2 (en) * 2010-04-21 2013-08-20 Via Technologies, Inc. System and method for managing the computation of graphics shading operations
US8751745B2 (en) * 2010-08-11 2014-06-10 Advanced Micro Devices, Inc. Method for concurrent flush of L1 and L2 caches
US20120079245A1 (en) * 2010-09-25 2012-03-29 Cheng Wang Dynamic optimization for conditional commit
US8904115B2 (en) 2010-09-28 2014-12-02 Texas Instruments Incorporated Cache with multiple access pipelines
US8756374B2 (en) * 2010-11-05 2014-06-17 Oracle International Corporation Store queue supporting ordered and unordered stores
US9141527B2 (en) 2011-02-25 2015-09-22 Intelligent Intellectual Property Holdings 2 Llc Managing cache pools
US9547593B2 (en) * 2011-02-28 2017-01-17 Nxp Usa, Inc. Systems and methods for reconfiguring cache memory
JP2012203729A (en) * 2011-03-25 2012-10-22 Fujitsu Ltd Arithmetic processing unit and method for controlling arithmetic processing unit
US9229879B2 (en) * 2011-07-11 2016-01-05 Intel Corporation Power reduction using unmodified information in evicted cache lines
JP5524144B2 (en) * 2011-08-08 2014-06-18 株式会社東芝 Memory system having a key-value store system
US8634221B2 (en) * 2011-11-01 2014-01-21 Avago Technologies General Ip (Singapore) Pte. Ltd. Memory system that utilizes a wide input/output (I/O) interface to interface memory storage with an interposer and that utilizes a SerDes interface to interface a memory controller with an integrated circuit, and a method
US8966457B2 (en) * 2011-11-15 2015-02-24 Global Supercomputing Corporation Method and system for converting a single-threaded software program into an application-specific supercomputer
WO2013089786A1 (en) * 2011-12-16 2013-06-20 Soft Machine, Inc. Cache replacement policy
US9229853B2 (en) * 2011-12-20 2016-01-05 Intel Corporation Method and system for data de-duplication
US9251086B2 (en) 2012-01-24 2016-02-02 SanDisk Technologies, Inc. Apparatus, system, and method for managing a cache
JP5565425B2 (en) * 2012-02-29 2014-08-06 富士通株式会社 Arithmetic apparatus, information processing apparatus and arithmetic method
US11024352B2 (en) * 2012-04-10 2021-06-01 Samsung Electronics Co., Ltd. Memory system for access concentration decrease management and access concentration decrease method
US20130321439A1 (en) * 2012-05-31 2013-12-05 Allen B. Goodrich Method and apparatus for accessing video data for efficient data transfer and memory cache performance
US9773531B2 (en) * 2012-06-08 2017-09-26 Hewlett Packard Enterprise Development Lp Accessing memory
US8904100B2 (en) * 2012-06-11 2014-12-02 International Business Machines Corporation Process identifier-based cache data transfer
US9092359B2 (en) * 2012-06-14 2015-07-28 International Business Machines Corporation Identification and consolidation of page table entries
US9639469B2 (en) * 2012-09-28 2017-05-02 Qualcomm Technologies, Inc. Coherency controller with reduced data buffer
US10169091B2 (en) * 2012-10-25 2019-01-01 Nvidia Corporation Efficient memory virtualization in multi-threaded processing units
US9158725B2 (en) * 2012-11-20 2015-10-13 Freescale Semiconductor, Inc. Flexible control mechanism for store gathering in a write buffer
US9170955B2 (en) 2012-11-27 2015-10-27 Intel Corporation Providing extended cache replacement state information
US9612972B2 (en) * 2012-12-03 2017-04-04 Micron Technology, Inc. Apparatuses and methods for pre-fetching and write-back for a segmented cache memory
US9244841B2 (en) 2012-12-31 2016-01-26 Advanced Micro Devices, Inc. Merging eviction and fill buffers for cache line transactions
US9489204B2 (en) * 2013-03-15 2016-11-08 Qualcomm Incorporated Method and apparatus for precalculating a direct branch partial target address during a misprediction correction process
US9361240B2 (en) * 2013-04-12 2016-06-07 International Business Machines Corporation Dynamic reservations in a unified request queue
US20150006820A1 (en) 2013-06-28 2015-01-01 Texas Instruments Incorporated Dynamic management of write-miss buffer to reduce write-miss traffic
US9606803B2 (en) 2013-07-15 2017-03-28 Texas Instruments Incorporated Highly integrated scalable, flexible DSP megamodule architecture
US10061675B2 (en) 2013-07-15 2018-08-28 Texas Instruments Incorporated Streaming engine with deferred exception reporting
US8704842B1 (en) * 2013-07-17 2014-04-22 Spinella Ip Holdings, Inc. System and method for histogram computation using a graphics processing unit
CN103649901A (en) * 2013-07-26 2014-03-19 华为技术有限公司 Data transmission method, data receiving method and sotring equipment
US9092345B2 (en) * 2013-08-08 2015-07-28 Arm Limited Data processing systems
CN105493053B (en) * 2013-08-29 2019-06-11 英派尔科技开发有限公司 Multi-core processor and the method for updating the cache in multi-core processor
JP6088951B2 (en) * 2013-09-20 2017-03-01 株式会社東芝 Cache memory system and processor system
US9652230B2 (en) * 2013-10-15 2017-05-16 Mill Computing, Inc. Computer processor employing dedicated hardware mechanism controlling the initialization and invalidation of cache lines
GB2520942A (en) * 2013-12-03 2015-06-10 Ibm Data Processing system and method for data processing in a multiple processor system
US9342403B2 (en) * 2014-03-28 2016-05-17 Intel Corporation Method and apparatus for managing a spin transfer torque memory
US9483310B2 (en) * 2014-04-29 2016-11-01 Bluedata Software, Inc. Associating cache memory with a work process
CN105264608B (en) * 2014-04-30 2018-03-06 华为技术有限公司 Method, Memory Controller Hub and the central processing unit of data storage
US9864007B2 (en) * 2014-04-30 2018-01-09 Duke University Software-based self-test and diagnosis using on-chip memory
US9691452B2 (en) * 2014-08-15 2017-06-27 Micron Technology, Inc. Apparatuses and methods for concurrently accessing different memory planes of a memory
WO2016095191A1 (en) * 2014-12-19 2016-06-23 Micron Technology, Inc. Apparatuses and methods for pipelining memory operations with error correction coding
US9690710B2 (en) * 2015-01-15 2017-06-27 Qualcomm Incorporated System and method for improving a victim cache mode in a portable computing device
US9696934B2 (en) * 2015-02-12 2017-07-04 Western Digital Technologies, Inc. Hybrid solid state drive (SSD) using PCM or other high performance solid-state memory
US10360972B2 (en) * 2015-03-10 2019-07-23 Rambus Inc. Memories and memory components with interconnected and redundant data interfaces
US20160269501A1 (en) * 2015-03-11 2016-09-15 Netapp, Inc. Using a cache cluster of a cloud computing service as a victim cache
US20160294983A1 (en) * 2015-03-30 2016-10-06 Mellanox Technologies Ltd. Memory sharing using rdma
US20170046278A1 (en) * 2015-08-14 2017-02-16 Qualcomm Incorporated Method and apparatus for updating replacement policy information for a fully associative buffer cache
JP6540363B2 (en) * 2015-08-19 2019-07-10 富士通株式会社 Storage control device, storage control method, and storage control program
US9824012B2 (en) * 2015-09-24 2017-11-21 Qualcomm Incorporated Providing coherent merging of committed store queue entries in unordered store queues of block-based computer processors
US10002076B2 (en) * 2015-09-29 2018-06-19 Nxp Usa, Inc. Shared cache protocol for parallel search and replacement
US10255196B2 (en) 2015-12-22 2019-04-09 Intel Corporation Method and apparatus for sub-page write protection
US10169240B2 (en) * 2016-04-08 2019-01-01 Qualcomm Incorporated Reducing memory access bandwidth based on prediction of memory request size
US9940267B2 (en) * 2016-05-17 2018-04-10 Nxp Usa, Inc. Compiler global memory access optimization in code regions using most appropriate base pointer registers
US20170344575A1 (en) * 2016-05-27 2017-11-30 Netapp, Inc. Methods for facilitating external cache in a cloud storage environment and devices thereof
US10430349B2 (en) * 2016-06-13 2019-10-01 Advanced Micro Devices, Inc. Scaled set dueling for cache replacement policies
US9928176B2 (en) 2016-07-20 2018-03-27 Advanced Micro Devices, Inc. Selecting cache transfer policy for prefetched data based on cache test regions
US10719447B2 (en) 2016-09-26 2020-07-21 Intel Corporation Cache and compression interoperability in a graphics processor pipeline
JP6732032B2 (en) * 2016-09-30 2020-07-29 三菱電機株式会社 Information processing equipment
US20180107602A1 (en) * 2016-10-13 2018-04-19 Intel Corporation Latency and Bandwidth Efficiency Improvement for Read Modify Write When a Read Operation is Requested to a Partially Modified Write Only Cacheline
WO2018100331A1 (en) 2016-11-29 2018-06-07 Arm Limited Storage circuitry responsive to a tag-matching command
US10102149B1 (en) 2017-04-17 2018-10-16 Intel Corporation Replacement policies for a hybrid hierarchical cache
US10482028B2 (en) * 2017-04-21 2019-11-19 Intel Corporation Cache optimization for graphics systems
US20180336143A1 (en) * 2017-05-22 2018-11-22 Microsoft Technology Licensing, Llc Concurrent cache memory access
US10318436B2 (en) * 2017-07-25 2019-06-11 Qualcomm Incorporated Precise invalidation of virtually tagged caches
US11294594B2 (en) * 2017-08-07 2022-04-05 Kioxia Corporation SSD architecture supporting low latency operation
US20190073305A1 (en) * 2017-09-05 2019-03-07 Qualcomm Incorporated Reuse Aware Cache Line Insertion And Victim Selection In Large Cache Memory
US10503656B2 (en) * 2017-09-20 2019-12-10 Qualcomm Incorporated Performance by retaining high locality data in higher level cache memory
US10719058B1 (en) * 2017-09-25 2020-07-21 Cadence Design Systems, Inc. System and method for memory control having selectively distributed power-on processing
US10691345B2 (en) 2017-09-29 2020-06-23 Intel Corporation Systems, methods and apparatus for memory access and scheduling
US10402096B2 (en) * 2018-01-31 2019-09-03 EMC IP Holding Company LLC Unaligned IO cache for inline compression optimization
US10909040B2 (en) * 2018-04-19 2021-02-02 Intel Corporation Adaptive calibration of nonvolatile memory channel based on platform power management state
US10983922B2 (en) * 2018-05-18 2021-04-20 International Business Machines Corporation Selecting one of multiple cache eviction algorithms to use to evict a track from the cache using a machine learning module
US10884751B2 (en) * 2018-07-13 2021-01-05 Advanced Micro Devices, Inc. Method and apparatus for virtualizing the micro-op cache
US11030098B2 (en) * 2018-09-25 2021-06-08 Micron Technology, Inc. Configurable burst optimization for a parameterizable buffer
US10628312B2 (en) * 2018-09-26 2020-04-21 Nxp Usa, Inc. Producer/consumer paced data transfer within a data processing system having a cache which implements different cache coherency protocols
US11061810B2 (en) * 2019-02-21 2021-07-13 International Business Machines Corporation Virtual cache mechanism for program break point register exception handling
US11636040B2 (en) * 2019-05-24 2023-04-25 Texas Instruments Incorporated Methods and apparatus for inflight data forwarding and invalidation of pending writes in store queue
US11163700B1 (en) * 2020-04-30 2021-11-02 International Business Machines Corporation Initiating interconnect operation without waiting on lower level cache directory lookup

Similar Documents

Publication Publication Date Title
JPWO2020243098A5 (en)
JP4628750B2 (en) Flash memory device with built-in buffer memory and flash memory system
US20080028132A1 (en) Non-volatile storage device, data storage system, and data storage method
US6457105B1 (en) System and method for managing data in an asynchronous I/O cache memory
CN107797759B (en) Method, device and driver for accessing cache information
US11733932B2 (en) Data management on memory modules
JP6021759B2 (en) Memory system and information processing apparatus
US11210020B2 (en) Methods and systems for accessing a memory
JP5836903B2 (en) Information processing device
JP2009276853A (en) Flash memory device
CN107797760B (en) Method and device for accessing cache information and solid-state drive
US20170091099A1 (en) Memory controller for multi-level system memory having sectored cache
KR20170129701A (en) Improved storage cache performance by using the compression rate of the data as the basis for cache insertion
JP6746747B2 (en) Storage system
US20070038797A1 (en) Methods and apparatus for invalidating multiple address cache entries
WO2015176664A1 (en) Data operation method, device and system
JP2020154525A (en) Memory system and information processing system
WO2015196378A1 (en) Method, device and user equipment for reading/writing data in nand flash
JP4113524B2 (en) Cache memory system and control method thereof
JP2003281079A5 (en)
US20170185541A1 (en) Peripheral interface circuit
CN111290975A (en) Method for processing read command and pre-read command by using unified cache and storage device thereof
CN111290974A (en) Cache elimination method for storage device and storage device
CN111352865A (en) Write caching for memory controllers
JP3747213B1 (en) NAND flash memory device and controller for sequential ROM interface