JPWO2020176425A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2020176425A5
JPWO2020176425A5 JP2021549891A JP2021549891A JPWO2020176425A5 JP WO2020176425 A5 JPWO2020176425 A5 JP WO2020176425A5 JP 2021549891 A JP2021549891 A JP 2021549891A JP 2021549891 A JP2021549891 A JP 2021549891A JP WO2020176425 A5 JPWO2020176425 A5 JP WO2020176425A5
Authority
JP
Japan
Prior art keywords
etchant
catalyst layer
etching
semiconductor
catalyst
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021549891A
Other languages
Japanese (ja)
Other versions
JP2022523520A (en
Publication date
Application filed filed Critical
Priority claimed from PCT/US2020/019543 external-priority patent/WO2020176425A1/en
Publication of JP2022523520A publication Critical patent/JP2022523520A/en
Publication of JPWO2020176425A5 publication Critical patent/JPWO2020176425A5/ja
Pending legal-status Critical Current

Links

Claims (20)

触媒影響化学エッチングのための装置であって、
半導体ウェハを収容する処理チャンバと、
前記半導体ウェハ上の一以上の位置の環境特性を制御するように構成された一以上のアクチュエータと、
を備え、
前記触媒影響化学エッチングの現在の状態は、前記半導体ウェハの前面及び背面の一以上の光学的計測法を用いて決定される
ことを特徴とする装置。
An apparatus for catalytically influenced chemical etching, comprising:
a processing chamber containing a semiconductor wafer;
one or more actuators configured to control environmental properties of one or more locations on the semiconductor wafer ;
with
A current state of the catalytically influenced chemical etch is determined using one or more of optical metrology on the front and back sides of the semiconductor wafer.
A device characterized by:
前記一以上のアクチュエータは、温度を制御するように構成されており、wherein the one or more actuators are configured to control temperature;
前記一以上のアクチュエータは、溶液を局所的に加熱するために、サーマルチャック、マイクロミラー及び電極の一以上を有するThe one or more actuators comprise one or more of thermal chucks, micromirrors and electrodes to locally heat the solution.
ことを特徴とする請求項1に記載の装置。2. A device according to claim 1, characterized in that:
前記環境特性は、温度、蒸気圧、電解、エッチング液濃度、エッチング液組成及び照明を含むことを特徴とする請求項1に記載の装置。 2. The apparatus of claim 1, wherein the environmental characteristics include temperature, vapor pressure, electrolysis, etchant concentration, etchant composition and lighting. 前記半導体ウェハは、蒸気状態にあるエッチング液にさらされることを特徴とする請求項1に記載の装置。2. The apparatus of claim 1, wherein the semiconductor wafer is exposed to an etchant in a vapor state. 前記半導体ウェハは、液体状態にあるエッチング液にさらされることを特徴とする請求項1に記載の装置。2. The apparatus of claim 1, wherein the semiconductor wafer is exposed to an etchant that is in a liquid state. 触媒影響化学エッチングの信頼性を向上する方法であって、A method for improving the reliability of catalytically influenced chemical etching, comprising:
半導体材料の表面上に触媒層をパターニングする工程と、patterning a catalyst layer on the surface of the semiconductor material;
前記パターン化された触媒層を、エッチング液及び経時変化する電場の一以上にさらす工程と、ここで、前記パターン化された触媒層、並びに、前記エッチング液及び前記電場の一以上は、前記半導体材料のエッチングを引き起こし、ナノ構造を形成し、exposing the patterned catalyst layer to one or more of an etchant and a time-varying electric field; wherein the patterned catalyst layer and one or more of the etchant and the electric field are connected to the semiconductor; cause material etching and form nanostructures,
前記エッチングが進行するにつれて、多孔性の一以上の層を作成する工程と、ここで、前記多孔性は、前記電場の一以上の特性を変化させることによって制御されるcreating one or more layers of porosity as the etching progresses, wherein the porosity is controlled by varying one or more properties of the electric field.
を含むことを特徴とする方法。A method comprising:
触媒影響化学エッチングの信頼性を向上する方法であって、
半導体材料を提供する工程と、
前記半導体材料の表面上に触媒層をパターニングする工程と、ここで、パターンは一以上のリソグラフィックリンクを含み、
前記パターン化された触媒層の前記リソグラフィックリンクが、高アスペクト比構造のエッチング中のエッチング液の拡散を促進するように、前記パターン化された触媒層をエッチング液にさらす工程と、
を含むことを特徴とする方法。
A method for improving the reliability of catalytically influenced chemical etching, comprising:
providing a semiconductor material;
patterning a catalyst layer on the surface of the semiconductor material, wherein the pattern comprises one or more lithographic links;
exposing the patterned catalyst layer to an etchant such that the lithographic links in the patterned catalyst layer facilitate diffusion of the etchant during etching of high aspect ratio structures;
A method comprising:
触媒影響化学エッチングのための触媒のパターニング方法であって、A method of patterning a catalyst for catalytically affected chemical etching, comprising:
リソグラフィ構造を有する基板をパターニングする工程と、ここで、前記基板の表面は、前記リソグラフィ構造のない領域が曝露され、patterning a substrate with lithographic structures, wherein a surface of said substrate is exposed in areas free of said lithographic structures;
前記曝露された基板表面上に触媒を選択的に堆積させる工程と、selectively depositing a catalyst on the exposed substrate surface;
前記基板及び前記触媒をエッチング液にさらす工程と、exposing the substrate and the catalyst to an etchant;
を含むことを特徴とする方法。A method comprising:
前記リソグラフィ構造は、前記触媒が接続されたメッシュを形成するように設計されることを特徴とする請求項8に記載の方法。9. The method of claim 8, wherein the lithographic structure is designed to form a mesh to which the catalyst is connected. 前記エッチング液は、化学物質であるHF若しくはNHThe etching solution is HF or NH, which is a chemical substance. 4 Fを含有するフッ化物種、酸化剤であるHFluoride species containing F, H as an oxidizing agent 2 O. 2 、KMnO, KMnO 4 若しくは溶存酸素、アルコールであるエタノール、イソプロピルアルコール若しくはエチレングリコール、又は、脱イオン水若しくはジメチルスルホキシド(DMSO)を含むプロトン性、非プロトン性、極性及び非極性の溶剤のうち、少なくとも2つを含むことを特徴とする請求項8に記載の方法。or at least two of protic, aprotic, polar and non-polar solvents including dissolved oxygen, the alcohols ethanol, isopropyl alcohol or ethylene glycol, or deionized water or dimethyl sulfoxide (DMSO) 9. The method of claim 8, characterized by: 前記触媒は、Au、Pt、Pd、Ru、Ag、Cu、Ni、W、TiN、TaN、RuOThe catalyst is Au, Pt, Pd, Ru, Ag, Cu, Ni, W, TiN, TaN, RuO 2 、IrO, IrO 2 又はグラフェンの一以上を含むことを特徴とする請求項8に記載の方法。or graphene. 前記触媒は、選択的原子層堆積を用いてシリコン表面上に選択的に堆積され、the catalyst is selectively deposited on a silicon surface using selective atomic layer deposition;
前記シリコン表面は、自然酸化物層を含むThe silicon surface includes a native oxide layer
ことを特徴とする請求項8に記載の方法。9. The method of claim 8, wherein:
触媒影響化学エッチングのための触媒のパターニング方法であって、A method of patterning a catalyst for catalytically affected chemical etching, comprising:
基板上に触媒を堆積する工程と、ここで、前記触媒は、リソグラフィ構造でパターン化され、前記リソグラフィ構造は、前記触媒のエッチングのためのマスクとして使用され、depositing a catalyst on a substrate, wherein said catalyst is patterned with a lithographic structure, said lithographic structure being used as a mask for etching of said catalyst;
前記基板及び前記触媒をエッチング液にさらす工程と、exposing the substrate and the catalyst to an etchant;
を含むことを特徴とする方法。A method comprising:
半導体材料をエッチングする方法であって、A method of etching a semiconductor material, comprising:
前記半導体材料の表面に触媒層をパターニングする工程と、ここで、前記触媒層は、複数のフィーチャを含み、patterning a catalyst layer on the surface of the semiconductor material, wherein the catalyst layer comprises a plurality of features;
前記パターン化された触媒層をエッチング液にさらす工程と、ここで、前記パターン化された触媒層及び前記エッチング液は、前記半導体材料のエッチングを引き起こしてナノ構造を形成し、前記触媒層の材料がルテニウムを含むexposing the patterned catalyst layer to an etchant, wherein the patterned catalyst layer and the etchant cause etching of the semiconductor material to form nanostructures, the material of the catalyst layer contains ruthenium
を含むことを特徴とする方法。A method comprising:
半導体材料をエッチングする方法であって、A method of etching a semiconductor material, comprising:
前記半導体材料の表面に触媒層をパターニングする工程と、ここで、前記触媒層は複数のフィーチャを含み、patterning a catalyst layer on the surface of the semiconductor material, wherein the catalyst layer comprises a plurality of features;
前記パターン化された触媒層をエッチング液にさらす工程と、ここで、前記パターン化された触媒層及び前記エッチング液は、前記半導体材料のエッチングを引き起こしてナノ構造を形成し、前記触媒層の材料は2以上の材料の合金であるexposing the patterned catalyst layer to an etchant, wherein the patterned catalyst layer and the etchant cause etching of the semiconductor material to form nanostructures, the material of the catalyst layer is an alloy of two or more materials
を含むことを特徴とする方法。A method comprising:
前記2以上の材料は、Au、Pt、Pd、Ru、Ag、Cu、Ni、W、TiN、TaN、RuOThe two or more materials are Au, Pt, Pd, Ru, Ag, Cu, Ni, W, TiN, TaN, RuO 2 、IrO, IrO 2 、C、Mo、Cr、III-V族、II-VI族、Ge、金属及び半導体酸化物を含む半導体、金属、並びに、半導体窒化物の一以上を含むことを特徴とする請求項15に記載の方法。, C, Mo, Cr, III-V, II-VI, Ge, semiconductors including metals and semiconductor oxides, metals, and semiconductor nitrides. the method of. 半導体材料をエッチングする方法であって、A method of etching a semiconductor material, comprising:
前記半導体材料の少なくとも一つの層を有する基板を提供する工程と、providing a substrate having at least one layer of said semiconductor material;
前記半導体材料の表面上に触媒層をパターニングする工程と、ここで、前記触媒層は複数のフィーチャを含み、patterning a catalyst layer on the surface of the semiconductor material, wherein the catalyst layer comprises a plurality of features;
前記パターン化された触媒層をエッチング液にさらす工程と、ここで、前記パターン化された触媒層及び前記エッチング液は、前記半導体材料のエッチングを引き起こしてナノ構造を形成し、exposing the patterned catalyst layer to an etchant, wherein the patterned catalyst layer and the etchant cause etching of the semiconductor material to form nanostructures;
前記半導体材料の少なくとも一つの層のドーピングを変更する工程と、changing the doping of at least one layer of the semiconductor material;
を含むことを特徴とする方法。A method comprising:
触媒影響化学エッチングによって形成された高アスペクト比の半導体構造の実質的な崩壊を防止する方法であって、A method for preventing substantial collapse of high aspect ratio semiconductor structures formed by catalytically influenced chemical etching, comprising:
2以上の崩壊していない半導体構造の上に材料を堆積することによって支持構造を作成する工程と、creating a support structure by depositing material over the two or more unbroken semiconductor structures;
前記高アスペクト比の半導体構造の材料でより高いアスペクト比の半導体構造を形成するために、前記支持構造をエッチング液にさらすことが、崩壊前のフィーチャの臨界高さを増加させて、前記高アスペクト比の半導体構造の実質的な崩壊を防止する工程と、Exposing the support structure to an etchant to form a higher aspect ratio semiconductor structure in the material of the high aspect ratio semiconductor structure increases the critical height of the feature before collapse to increase the critical height of the high aspect ratio semiconductor structure. preventing substantial collapse of the semiconductor structure of the ratio;
を含むことを特徴とする方法。A method comprising:
前記2以上の崩壊していない半導体構造は、プラズマエッチング、ドライエッチング、化学エッチング及び触媒影響化学エッチングの一以上の処理を用いて作られることを特徴とする請求項18に記載の方法。19. The method of claim 18, wherein the two or more undisrupted semiconductor structures are made using one or more processes of plasma etching, dry etching, chemical etching and catalytically influenced chemical etching. 前記材料は低表面エネルギーを有することを特徴とする請求項18に記載の方法。19. The method of Claim 18, wherein said material has a low surface energy.
JP2021549891A 2019-02-25 2020-02-24 Large area measurement and processing control for anisotropic chemical etching Pending JP2022523520A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962810070P 2019-02-25 2019-02-25
US62/810,070 2019-02-25
PCT/US2020/019543 WO2020176425A1 (en) 2019-02-25 2020-02-24 Large area metrology and process control for anisotropic chemical etching

Publications (2)

Publication Number Publication Date
JP2022523520A JP2022523520A (en) 2022-04-25
JPWO2020176425A5 true JPWO2020176425A5 (en) 2023-03-06

Family

ID=72238704

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021549891A Pending JP2022523520A (en) 2019-02-25 2020-02-24 Large area measurement and processing control for anisotropic chemical etching

Country Status (7)

Country Link
US (1) US20220139717A1 (en)
EP (1) EP3931863A4 (en)
JP (1) JP2022523520A (en)
KR (1) KR20210142118A (en)
SG (1) SG11202109293XA (en)
TW (2) TWI759693B (en)
WO (1) WO2020176425A1 (en)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3780070A1 (en) * 2019-08-14 2021-02-17 Paul Scherrer Institut System and etching method for fabricating photonic device elements
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
US20220102118A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Etch apparatus for compensating shifted overlayers
KR20230098225A (en) * 2020-10-29 2023-07-03 보드 오브 리전츠, 더 유니버시티 오브 텍사스 시스템 Apparatus and process technology of catalyst-assisted chemical etching
WO2022159191A1 (en) * 2021-01-21 2022-07-28 Lam Research Corporation Profile optimization for high aspect ratio memory using an etch front metal catalyst
US20220301887A1 (en) * 2021-03-16 2022-09-22 Applied Materials, Inc. Ruthenium etching process
WO2022216727A1 (en) * 2021-04-05 2022-10-13 The Board of Trustees of the Leland Stanford Junior University Office of the General Counsel Ultrahigh aspect ratio nanoporous and nanotextured microstructures with exceptionally high surface area prepared using nanopore-mediated metal-assisted chemical etching
CN114229787B (en) * 2022-02-23 2022-07-08 绍兴中芯集成电路制造股份有限公司 Method and structure for improving defect of silicon column of deep silicon etching wafer and semiconductor device
CN114671622A (en) * 2022-03-09 2022-06-28 许昌恒昊光学科技有限公司 Glass etching solution with rock-like bedding staggered accumulation effect and glass manufacturing process
WO2023196493A1 (en) * 2022-04-07 2023-10-12 Board Of Regents, The University Of Texas System Tool and processes for electrochemical etching
KR102619817B1 (en) * 2022-05-19 2024-01-02 세메스 주식회사 Method of forming semiconductor device and substrate processing system for forming semiconductor device
KR20240056603A (en) * 2022-07-01 2024-04-30 램 리써치 코포레이션 Cyclic phenomenon of metal oxide-based photoresist for ETCH STOP DETERRENCE
CN115360496B (en) * 2022-08-30 2023-09-29 合肥工业大学 Preparation method of terahertz height difference cavity device based on metal-assisted chemical etching

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6569775B1 (en) * 1999-03-30 2003-05-27 Applied Materials, Inc. Method for enhancing plasma processing performance
US7265382B2 (en) * 2002-11-12 2007-09-04 Applied Materials, Inc. Method and apparatus employing integrated metrology for improved dielectric etch efficiency
JP2004327561A (en) * 2003-04-22 2004-11-18 Ebara Corp Substrate processing method and device thereof
US20050148198A1 (en) * 2004-01-05 2005-07-07 Technion Research & Development Foundation Ltd. Texturing a semiconductor material using negative potential dissolution (NPD)
US7324193B2 (en) * 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7927469B2 (en) * 2006-08-25 2011-04-19 Semitool, Inc. Electro-chemical processor
US7444198B2 (en) * 2006-12-15 2008-10-28 Applied Materials, Inc. Determining physical property of substrate
US8734661B2 (en) * 2007-10-15 2014-05-27 Ebara Corporation Flattening method and flattening apparatus
US8278191B2 (en) * 2009-03-31 2012-10-02 Georgia Tech Research Corporation Methods and systems for metal-assisted chemical etching of substrates
US8951430B2 (en) * 2012-04-18 2015-02-10 The Board Of Trustees Of The University Of Illinois Metal assisted chemical etching to produce III-V semiconductor nanostructures
US20150376798A1 (en) * 2013-03-14 2015-12-31 The Board Of Trustees Of The Leland Stanford Junior University High aspect ratio dense pattern-programmable nanostructures utilizing metal assisted chemical etching
JP6454326B2 (en) * 2014-04-18 2019-01-16 株式会社荏原製作所 Substrate processing apparatus, substrate processing system, and substrate processing method
US10134599B2 (en) * 2016-02-24 2018-11-20 The Board Of Trustees Of The University Of Illinois Self-anchored catalyst metal-assisted chemical etching
US10032681B2 (en) * 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10347497B2 (en) * 2016-09-23 2019-07-09 The Board Of Trustees Of The University Of Illinois Catalyst-assisted chemical etching with a vapor-phase etchant
SG11202005030XA (en) * 2017-11-28 2020-06-29 Univ Texas Catalyst influenced pattern transfer technology

Similar Documents

Publication Publication Date Title
US20220181171A1 (en) Substrate processing method and substrate processing apparatus
JPWO2020176425A5 (en)
TWI759693B (en) Large area metrology and process control for anisotropic chemical etching
KR100285696B1 (en) Cleaning method of patterned metal layer
JP5806645B2 (en) Substrate drying method, electronic device manufacturing method, and substrate drying apparatus
JP4793854B2 (en) Selective deposition of ZnO nanostructures on silicon substrates using nickel catalyst and patterned polysilicon or silicon surface modification
TWI399803B (en) Semiconductor device manufacturing method
US9502240B2 (en) Preparation method of crystalline silicon film based on layer transfer
JP2009507135A (en) System and method for forming patterned copper wire by electroless copper plating
KR101264877B1 (en) Fabricating method of silicon wire structure
JP2008218867A (en) Semiconductor device manufacturing method
WO2020004214A1 (en) Substrate processing apparatus and substrate processing method
JP2006156646A (en) Solar cell manufacturing method
TWI551386B (en) Methods and systems of material removal and pattern transfer
JP2005302897A (en) Method for removing hard etching mask and manufacturing method for semiconductor device
JP2023098815A (en) Thin film for euv lithography masks and method of manufacturing thereof
CN111681964A (en) Preparation method of device based on two-dimensional material
KR20070091396A (en) Method for forming patterns of semiconductor device
JPH036821A (en) Manufacture of semiconductor device
KR101960589B1 (en) Method of wet bulk patterning and etching composition for the same
JP2022532288A (en) How to structure the board
JPH0218579B2 (en)
KR20220083780A (en) Dry etching method, semiconductor device manufacturing method, and cleaning method
JP2024047257A (en) SUBSTRATE PROCESSING METHOD, SUBSTRATE PROCESSING APPARATUS, AND SUBSTRATE PROCESSING LIQUID
KR20220079646A (en) Dry etching method, semiconductor device manufacturing method, and cleaning method