JPWO2020014441A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2020014441A5
JPWO2020014441A5 JP2020573143A JP2020573143A JPWO2020014441A5 JP WO2020014441 A5 JPWO2020014441 A5 JP WO2020014441A5 JP 2020573143 A JP2020573143 A JP 2020573143A JP 2020573143 A JP2020573143 A JP 2020573143A JP WO2020014441 A5 JPWO2020014441 A5 JP WO2020014441A5
Authority
JP
Japan
Prior art keywords
single crystal
multilayer structure
structure according
crystal silicon
silicon wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020573143A
Other languages
Japanese (ja)
Other versions
JP7248711B2 (en
JP2021532570A (en
Publication date
Application filed filed Critical
Priority claimed from PCT/US2019/041345 external-priority patent/WO2020014441A1/en
Publication of JP2021532570A publication Critical patent/JP2021532570A/en
Publication of JPWO2020014441A5 publication Critical patent/JPWO2020014441A5/ja
Priority to JP2023039665A priority Critical patent/JP2023088940A/en
Application granted granted Critical
Publication of JP7248711B2 publication Critical patent/JP7248711B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

関連出願の相互参照Cross-reference of related applications

本願は、2018年7月13日に出願された米国仮特許出願第62/697,474号の優先権の利益を主張する。当該米国仮特許出願の開示内容は、その全体が記載されている如く参照により本明細書中に組み込まれる。 The present application claims the priority benefit of US Provisional Patent Application No. 62 / 697,474 filed on 13 July 2018. The disclosures of such US provisional patent applications are incorporated herein by reference in their entirety.

(発明の分野)
本発明は、概して、半導体ウエハ製造の分野に関する。より具体的には、本発明は、半導体オン・インシュレータ(semiconductor-on-insulator)(例えば、シリコン・オン・インシュレータ(silicon-on-insulator))の構造を製造する方法に関しており、優れた無線周波数デバイス性能(radio frequency device performance)を有する半導体オン・インシュレータ構造に関する。
(Field of invention)
The present invention generally relates to the field of semiconductor wafer manufacturing. More specifically, the present invention relates to a method of manufacturing a structure of a semiconductor-on-insulator (for example, silicon-on-insulator), which has excellent radio frequencies. It relates to a semiconductor on-insulator structure having radio frequency device performance.

(発明の背景)
半導体ウエハは、単結晶インゴット(例えば、シリコンインゴット)から一般に調製されるところ、かかる単結晶インゴットは、後続する処理において半導体ウエハの適当な方向付けのためのフラットまたはノッチを1以上有するようにトリミングおよび研磨される。次いで、インゴットは個々のウエハへとスライスされる。本明細書ではシリコンから得られる半導体ウエハについて言及するものの、他の材料を用いても半導体ウエハの調製はされ得る。例えば、ゲルマニウム、シリコンカーバイド、シリコン・ゲルマニウム、ガリウムヒ素を用いたり、窒化ガリウムまたはリン化インジウムなどの第III族元素と第V族元素との合金、または、硫化カドミウムもしくは酸化亜鉛などの第II族元素と第VI族元素との合金を用いたりすることで半導体ウエハを調製できる。
(Background of invention)
Semiconductor wafers are generally prepared from single crystal ingots (eg, silicon ingots), such single crystal ingots trimmed to have one or more flats or notches for proper orientation of the semiconductor wafer in subsequent processing. And polished. The ingot is then sliced into individual wafers. Although the present specification refers to semiconductor wafers obtained from silicon, semiconductor wafers can also be prepared using other materials. For example, germanium, silicon carbide, silicon germanium, gallium arsenide, alloys of Group III and Group V elements such as gallium nitride or indium phosphate, or Group II such as cadmium sulfide or zinc oxide. A semiconductor wafer can be prepared by using an alloy of an element and a Group VI element.

半導体ウエハ(例えば、シリコン・ウエハ)は、複合層構造の調製において利用され得る。例えば半導体オン・インシュレータは、より具体的には、シリコン・オン・インシュレータ(SOI)構造などの複合層構造は、ハンドル・ウエハまたはハンドル層、デバイス層、および、ハンドル層とデバイス層との間の絶縁性(即ち、誘電体)の膜(典型的には酸化物層)を一般的に有して成る。一般的に、デバイス層は0.01~20μm厚さ、例えば、0.05~20μm厚さを有している。厚膜のデバイス層(または厚いフィルム状のデバイス層)は、約1.5μm~約20μmのデバイス層厚さを有し得る。薄膜のデバイス層(または薄いフィルム状のデバイス層)は、約0.01μm~約0.20μmの厚さを有し得る。一般的に、シリコン・オン・インシュレータ(SOI)、シリコン・オン・サファイア(SOS)、シリコン・オン・クォーツなどの複合層構造は、2つのウエハを密接させ、それによって、ファンデルワールス力、水素結合またはその双方で結合を開始させ、引き続いて行われる熱処理により結合が強固にされる。アニールは、末端シラノール基を2つの界面間でシロキサン結合に変換させるので、結合が強くなる。 Semiconductor wafers (eg, silicon wafers) can be used in the preparation of composite layer structures. For example, semiconductor-on-insulators, more specifically, composite layer structures such as silicon-on-insulator (SOI) structures, are handle wafers or handle layers, device layers, and between handle layers and device layers. It generally has an insulating (ie, dielectric) film (typically an oxide layer). Generally, the device layer has a thickness of 0.01 to 20 μm, for example, 0.05 to 20 μm. The thick film device layer (or thick film-like device layer) can have a device layer thickness of about 1.5 μm to about 20 μm. The thin film device layer (or thin film-like device layer) can have a thickness of about 0.01 μm to about 0.20 μm. In general, composite layer structures such as Silicon on Insulator (SOI), Silicon on Sapphire (SOS), and Silicon on Quartz bring two wafers into close contact, thereby van der Waals forces, hydrogen. Bonding is initiated by the bond or both, and subsequent heat treatment strengthens the bond. Annealing converts the terminal silanol group into a siloxane bond between the two interfaces, thus strengthening the bond.

熱アニール後、結合された構造は更なる処理に付されてドナー・ウエハのかなりの部分が除去されて層転写(layer transfer)が達成される。例えば、エッチングまたは研削などのウエハ薄化技術が用いられ得るところ、それはしばしば、ボンド・アンド・エッチSOI(即ち、BESOI:bond and etch SOI)またはボンド・アンド・グラインドSOI(即ち、BGSOI:bond and grind SOI)などと称される。このようなBESOIまたはBGSOIでは、シリコン・ウエハがハンドル・ウエハに結合され、次いで、ハンドル・ウエハ上にシリコンの薄層だけが残るまでゆっくりとエッチング除去がなされる。例えば米国特許第5,189,500号を参照されたい。米国特許第5,189,500号は、参照によりその全体が本明細書に組み込まれる。なお、かかる方法は、時間とコストがかかり、複数の基板のうちの1つを無駄にし、数ミクロンよりも薄い層に対して適当な厚さ均一性が一般に供されない。 After thermal annealing, the bonded structure is subjected to further processing to remove a significant portion of the donor wafer to achieve layer transfer. Where wafer thinning techniques such as etching or grinding can be used, it is often bond and etch SOI (ie, BESOI: bond and etch SOI) or bond and grind SOI (ie, BGSOI: bond and). It is called grind SOI). In such BESOI or BGSOI, the silicon wafer is bonded to the handle wafer and then the etching is slowly removed until only a thin layer of silicon remains on the handle wafer. See, for example, US Pat. No. 5,189,500. US Pat. No. 5,189,500 is incorporated herein by reference in its entirety. It should be noted that such a method is time consuming and costly, wastes one of a plurality of substrates, and generally does not provide adequate thickness uniformity for layers thinner than a few microns.

層の転写を達成する別の一般的な方法は、水素注入とそれに続く熱誘発される層スプリッティング(thermally induced layer splitting)を利用する。粒子(原子またはイオン化原子、例えば水素原子または水素原子とヘリウム原子との組合せ)は、ドナー・ウエハの表側面下の特定の深さに埋め込まれる。注入された粒子は、その注入された特定の深さでドナー・ウエハ内に劈開面を形成する。ドナー・ウエハの表面を洗浄することによって、注入プロセス中にウエハ上に堆積した有機化合物または他のコンタミネーション(例えば、ホウ素化合物やその他の粒子状物質など)を除去する。 Another common method of achieving layer transfer utilizes hydrogen injection followed by thermally induced layer splitting. The particles (atoms or ionized atoms, such as hydrogen atoms or a combination of hydrogen and helium atoms) are embedded at a specific depth below the front surface of the donor wafer. The injected particles form a cleavage plane in the donor wafer at the specific depth of injection. By cleaning the surface of the donor wafer, organic compounds or other contaminants (eg, boron compounds and other particulate matter) deposited on the wafer during the injection process are removed.

次いで、ドナー・ウエハの表側面をハンドル・ウエハに結合し、親水性結合プロセスにより結合ウエハ(又は接合ウエハ)を形成する。結合に先立って、ドナー・ウエハおよび/またはハンドル・ウエハは、ウエハ表面は、例えば酸素または窒素を含むプラズマにさらすことにより活性化される。プラズマにさらされることで、表面活性化としばしば称されるプロセスで表面の構造が改質されるが、そのような活性化プロセスは、ドナー・ウエハおよびハンドル・ウエハの一方または双方の表面を親水性にする。かかるウエハの表面は、SC1クリーン(SC1 clean)などの湿式処理で付加的に化学的に活性化され得る。湿式処理およびプラズマ活性化はいずれの順序で行ってよく、あるいは、ウエハをいずれか一方の処理のみに付してもよい。次いで、ウエハは一体的に押圧され、ウエハ同士の間に結合が形成される。この結合は、ファンデルワール力ゆえ比較的弱く、さらなる処理に先立って強固にされる必要がある。 The front side surface of the donor wafer is then bonded to the handle wafer to form a bonded wafer (or bonded wafer) by a hydrophilic bonding process. Prior to bonding, the donor wafer and / or handle wafer is activated by exposing the wafer surface to a plasma containing, for example, oxygen or nitrogen. Exposure to plasma modifies the structure of the surface in a process often referred to as surface activation, in which such activation processes make one or both surfaces of the donor and handle wafers hydrophilic. Make it sex. The surface of such a wafer can be additionally chemically activated by a wet treatment such as SC1 clean. The wet treatment and plasma activation may be performed in any order, or the wafer may be subjected to only one of the treatments. The wafers are then integrally pressed to form a bond between the wafers. This bond is relatively weak due to van der Waals forces and needs to be strengthened prior to further processing.

幾つかのプロセスでは、ドナー・ウエハとハンドル・ウエハ(つまり、接合ウエハもしくは接合ウエハ)との間の親水性結合(または親水性の接合)は、結合ウエハのペアを加熱またはアニールすることにより強固にされる。幾つかのプロセスでは、ウエハの結合は、およそ300℃~500℃などの低温で生じ得る。より低い結合温度では、表面の吸着された水蒸気のブリッジング層(bridging layers)が減少し、各ウエハ表面のシラノール基の互いの水素結合の密度が増加する。幾つかのプロセスでは、およそ800℃~1100℃などの高い温度でウエハ結合が生じ得る。温度がより上げられた高温では、ドナー・ウエハとハンドル・ウエハとの隣接面間に共有結合の形成を引き起こし、例えば、シラノール水素結合を共有シロキサン結合へと変え、これにより、ドナー・ウエハとハンドル・ウエハとの間の結合が強固にされる。結合ウエハの加熱またはアニールと並行して、ドナー・ウエハにより早期に注入された粒子は劈開面を弱める。 In some processes, the hydrophilic bond (or hydrophilic bond) between the donor wafer and the handle wafer (ie, the bonded wafer or the bonded wafer) is strengthened by heating or annealing a pair of bonded wafers. Be made. In some processes, wafer bonding can occur at low temperatures, such as approximately 300 ° C to 500 ° C. At lower bond temperatures, the adsorbed water vapor bridging layers on the surface decrease and the density of hydrogen bonds between silanol groups on each wafer surface increases. In some processes, wafer bonding can occur at high temperatures, such as approximately 800 ° C to 1100 ° C. Higher temperatures cause the formation of covalent bonds between the adjacent surfaces of the donor wafer and the handle wafer, for example, converting silanol hydrogen bonds into covalent siloxane bonds, thereby transforming the donor wafer and handle. -The bond with the wafer is strengthened. In parallel with heating or annealing the bonded wafer, the particles injected early by the donor wafer weaken the cleavage plane.

次いで、ドナー・ウエハの一部が、接合ウエハから劈開面に沿って分離(すなわち、劈開)され、SOIウエハが形成される。劈開は、結合ウエハを固定具(fixture)に置くことでなされ得る。かかる固定具では、結合ウエハの対向する側に対して垂直に機械的な力が加えられ、結合ウエハからドナー・ウエハの一部が引き離される(又は引き剥がされる)。幾つかの方法によれば、吸引カップを利用して機械的な力が加えられる。ドナー・ウエハの一部の分離は、劈開面に沿ったクラック伝播の開始のため、劈開面で結合ウエハのエッジに機械的な力を加えることによって開始される。次いで、吸引カップにより加えられた機械的な力は、結合ウエハからドナー・ウエハの一部を引っ張るので(又は引き剥がすので)、SOIウエハが形成される。 A portion of the donor wafer is then separated (ie, cleaved) from the bonded wafer along the cleavage plane to form an SOI wafer. Cleavage can be done by placing the bonded wafer on a fixture. In such a fixture, a mechanical force is applied perpendicular to the opposite side of the bonded wafer to pull (or peel) a portion of the donor wafer from the bonded wafer. According to some methods, a suction cup is utilized to apply a mechanical force. Separation of a portion of the donor wafer is initiated by applying a mechanical force to the edge of the bonded wafer at the cleavage plane to initiate crack propagation along the cleavage plane. The mechanical force applied by the suction cup then pulls (or pulls) a portion of the donor wafer from the bonded wafer, thus forming the SOI wafer.

他の方法では、結合された対は、代わりにある期間にわたって高温にさらされ、それによって、結合ウエハからドナー・ウエハの部分が分離されてよい。高温にさらすことで、劈開面に沿ってクラックが発生および伝播するので、ドナー・ウエハの一部が分離する。注入されたイオンからのボイド形成に起因してクラックが形成され、それはオストワルド熟成(Ostwald ripening)によって成長する。ボイドは水素とヘリウムで満たされる。ボイドはプレートレット(platelet)になる。プレートレットにおける加圧ガスは、マイクロキャビティとマイクロクラックを伝播するが、それは注入面(implant plane)においてシリコンを弱める。適切なタイミングでアニールを停止すると、弱くなった結合ウエハが機械的プロセスによって劈開され得る。しかしながら、熱処理をより長時間および/またはより高い温度で継続すると、微小クラックの伝播は、すべてのクラックが劈開面に沿って合流するレベルに達するので、ドナー・ウエハの一部が分離される。この方法は、転写層の均一性をより向上させ、ドナー・ウエハのリサイクルを可能にするものの、典型的には、注入および結合されたペアを500℃に近い温度にまで加熱することを要する。 Alternatively, the bonded pair may instead be exposed to high temperatures for a period of time, thereby separating a portion of the donor wafer from the bonded wafer. Exposure to high temperatures causes and propagates cracks along the cleavage plane, thus separating part of the donor wafer. Cracks are formed due to void formation from the injected ions, which grow by Ostwald ripening. Voids are filled with hydrogen and helium. Voids become platelets. Pressurized gas in the platelet propagates through the microcavities and microcracks, which weakens the silicon in the implant plane. When annealing is stopped at the right time, the weakened bonded wafer can be cleaved by a mechanical process. However, if the heat treatment is continued for a longer period of time and / or at a higher temperature, the propagation of microcracks reaches a level where all cracks meet along the cleavage plane, thus separating part of the donor wafer. Although this method further improves the uniformity of the transfer layer and allows the donor wafer to be recycled, it typically requires heating the injected and bonded pairs to temperatures close to 500 ° C.

アンテナ・スイッチなどのRF関連デバイスに高抵抗率の半導体オン・インシュレータ(シリコン・オン・インシュレータなど)のウエハを使用すると、コストおよび集積(integration)の点で従来の基板よりも優れた利点が得られる。十分とはいえないものの、高周波用途に導電性基板を使用する場合で寄生電力損失の低減および固有の高調波ひずみの最小限への抑制は、抵抗率の高い基板ウエハの使用が必要である。したがって、RFデバイスのハンドル・ウエハの抵抗は、一般に約500Ω・cmよりも大きい。ここで図1を参照するが、シリコン・オン・インシュレータ構造2は、非常に高い抵抗率のシリコン・ウエハ4、埋め込み酸化物(BOX)層6、およびシリコン・デバイス層10を有して成る。かかる基板は、フリーキャリア(電子または正孔)の生成を引き起こすBOX/ハンドル・インターフェースで高い導電性電荷の反転または蓄積の層12の形成をもたらし易く、それは、基板の実効抵抗率を低下させ、デバイスがRF周波数で動作される際に寄生電力損失およびデバイスの非線形性を生じる。このような反転/蓄積層は、BOX固定電荷、酸化物トラップ電荷、界面トラップ電荷、さらにはデバイス自体に適用されるDCバイアスに起因し得る。 The use of high resistivity semiconductor-on-insulator (such as silicon-on-insulator) wafers for RF-related devices such as antenna switches offers advantages over traditional substrates in terms of cost and integration. Be done. Although not sufficient, when using conductive substrates for high frequency applications, it is necessary to use substrate wafers with high resistivity to reduce parasitic power loss and minimize inherent harmonic distortion. Therefore, the resistance of the handle wafer of an RF device is generally greater than about 500 Ω · cm. As shown in FIG. 1, the silicon-on-insulator structure 2 comprises a silicon wafer 4 having a very high resistivity, an embedded oxide (BOX) layer 6, and a silicon device layer 10. Such substrates are likely to result in the formation of layers 12 of high conductive charge inversion or accumulation at the BOX / handle interface that causes the generation of free carriers (electrons or holes), which reduces the effective resistivity of the substrate. It causes parasitic power loss and device non-linearity when the device is operated at RF frequencies. Such inversion / storage layers can be due to BOX fixed charges, oxide trap charges, interfacial trap charges, and even DC bias applied to the device itself.

それゆえ、誘導される反転層または蓄積層の形成を抑制し、非常に近い表面領域(very near surface region)でも基板の高い抵抗率が維持される方法が求められる。高抵抗率ハンドル基板と埋込み酸化物(BOX)との間のトラップ・リッチ層(trap rich layer)は、SOIウエハを使用して製造されるRFデバイスの性能を向上させ得ることが知られている。これらの高界面トラップ層を形成する方法は提案されている。例えば、図2に示されるように、RFデバイス用途のためのトラップ・リッチ層を備える半導体オン・インシュレータ多層構造20(例えば、シリコン・オン・インシュレータ、またはSOI)を作成する方法の1つは、アンドープの多結晶シリコン膜28を高抵抗率シリコン基板22上に堆積させ、その多結晶シリコン膜28上に酸化物(例えば埋込み酸化物層24)および最上部シリコン層26のスタックを形成することに基づいている。多結晶シリコン層28は、シリコン基板22と埋込み酸化物層24との間の高欠陥層(high defectivity layer)として機能する。図2を参照のこと。図2では、シリコン・オン・インシュレータ多層構造20において高抵抗率基板22と埋込み酸化物層24との間のトラップ・リッチ層28として用いられる多結晶シリコン膜が示されている。代替的な方法は、近接の表面ダメージ層(near surface damage layer)を作るための重イオン注入である。無線周波数デバイスなどのデバイスは、最上部シリコン層26に組み込まれる。 Therefore, there is a need for a method that suppresses the formation of induced inversion layers or accumulation layers and maintains a high resistivity of the substrate even in a very near surface region. It is known that a trap rich layer between a high resistivity handle substrate and an embedded oxide (BOX) can improve the performance of RF devices manufactured using SOI wafers. .. Methods for forming these high interface trap layers have been proposed. For example, as shown in FIG. 2, one method of creating a semiconductor-on-insulator multilayer structure 20 (eg, silicon-on-insulator, or SOI) with a trap-rich layer for RF device applications is An undoped polysilicon film 28 is deposited on a high resistivity silicon substrate 22, and a stack of an oxide (for example, an embedded oxide layer 24) and an uppermost silicon layer 26 is formed on the polysilicon film 28. Is based. The polysilicon silicon layer 28 functions as a high defectivity layer between the silicon substrate 22 and the embedded oxide layer 24. See FIG. FIG. 2 shows a polysilicon film used as a trap-rich layer 28 between the resistivity substrate 22 and the embedded oxide layer 24 in the silicon-on-insulator multilayer structure 20. An alternative method is heavy ion implantation to create a near surface damage layer. Devices such as radio frequency devices are incorporated in the top silicon layer 26.

学術研究では、酸化物と基板との間の多結晶シリコン層がデバイスの絶縁改善、伝送路損失低減および高調波ひずみの低減をもたらすことが示されている。例えば、H.S.Gamble他“Low-loss CPW lines on surface stabilized high resistivity silicon,” Microwave Guided Wave Lett., 9(10), pp.395-397, 1999、D.Lederer, R.LobetおよびJ.-P.Raskin,“Enhanced high resistivity SOI wafers for RF applications,” IEEE Intl. SOI Conf., pp.46-47, 2004、D.LedererおよびJ.-P.Raskin, “New substrate passivation method dedicated to high resistivity SOI wafer fabrication with increased substrate resistivity,” IEEE Electron Device Letters, vol.26, no.11, pp.805-807, 2005、D.Lederer, B.Aspar, C.LaghaeおよびJ.-P.Raskin,“Performance of RF passive structures and SOI MOSFETs transferred on a passivated HR SOI substrate,” IEEE International SOI Conference, pp.29-30, 2006、および、Daniel C. Kerr他“Identification of RF harmonic distortion on Si substrates and its reduction using a trap-rich layer”, Silicon Monolithic Integrated Circuits in RF Systems, 2008. SiRF 2008 (IEEE Topical Meeting), pp.151-154, 2008を参照されたい。 Academic studies have shown that the polysilicon layer between the oxide and the substrate provides improved device insulation, reduced transmission line loss and reduced harmonic distortion. For example, H.S.Gamble et al. “Low-loss CPW lines on surface stabilized high resistivity silicon,” Microwave Guided Wave Lett., 9 (10), pp.395-397, 1999, D.Lederer, R.Lobet and J.-P. .Raskin, “Enhanced high resistivity SOI wafers for RF applications,” IEEE Intl. SOI Conf., Pp.46-47, 2004, D.Lederer and J.-P.Raskin, “New substrate passivation method dedicated to high resistivity SOI wafer foiling with increased substrate resistivity, ”IEEE Electron Device Letters, vol.26, no.11, pp.805-807, 2005, D.Lederer, B.Aspar, C.Laghae and J.-P.Raskin,“ Performance of RF passive structures and SOI MOSFETs transferred on a passivated HR SOI substrate, ”IEEE International SOI Conference, pp.29-30, 2006, and Daniel C. Kerr et al. See trap-rich layer ”, Silicon Monolithic Integrated Circuits in RF Systems, 2008. SiRF 2008 (IEEE Topical Meeting), pp.151-154, 2008.

発明の要旨
簡潔にいえば、本発明は、以下の多層構造(または多層構造体)を対象としている。
2つの略平行な主面と、周縁エッジ(または周囲エッジもしくは外縁、circumferential edge)と、中心面(または中央面もしくは中心平面、central plane)とを含んで成る単結晶シリコン・ウエハ・ハンドル基板であって、当該2つの略平行な主面の一方が、単結晶シリコン・ウエハ・ハンドル基板の表側面であり、当該2つの略平行な主面の他方が、単結晶シリコン・ウエハ・ハンドル基板の裏側面である単結晶シリコン・ウエハ・ハンドル基板(single crystal silicon wafer handle)と、単結晶シリコン・ウエハ・ハンドル基板の表側面と界面接触しているトラップ・リッチ層(trap rich layer)と、トラップ・リッチ層と界面接触している誘電層(dielectric layer)と、誘電層と界面接触している単結晶半導体デバイス層(single crystal semiconductor device layer)と、を有して成り、
単結晶シリコン・ウエハ・ハンドル基板において、周縁エッジは、単結晶シリコン・ウエハ・ハンドル基板の表側面と裏側面とを繋いでおり(または結び合わせており, join)、単結晶シリコン・ウエハ・ハンドル基板の中心面が単結晶シリコン・ウエハ・ハンドル基板の表側面と裏側面との間にあり、
単結晶シリコン・ウエハ・ハンドル基板が、少なくとも約5000Ω・cmのバルク抵抗率と、約1×1016原子/cm未満の格子間酸素濃度(interstitial oxygen concentration)と、少なくとも約1×1013原子/cmの窒素濃度とを有する、多層構造。
Abstract of the Invention Briefly, the present invention targets the following multilayer structures (or multilayer structures).
A single crystal silicon wafer handle substrate comprising two substantially parallel main planes, a peripheral edge (or peripheral edge or outer edge), and a central plane (or central plane). One of the two substantially parallel main surfaces is the front surface of the single crystal silicon wafer handle substrate, and the other of the two substantially parallel main surfaces is the single crystal silicon wafer handle substrate. A single crystal silicon semiconductor handle, which is the back side surface, and a trap rich layer, which is in interface contact with the front side surface of the single crystal silicon wafer handle substrate, and a trap. -It consists of a dielectric layer that is in interface contact with the rich layer and a single crystal semiconductor device layer that is in interface contact with the dielectric layer.
In a single crystal silicon wafer handle substrate, the peripheral edge connects (or joins) the front side surface and the back side surface of the single crystal silicon wafer handle substrate, and the single crystal silicon wafer handle substrate is connected. The central surface of the substrate is between the front and back surfaces of the single crystal silicon wafer handle substrate.
The single crystal silicon wafer handle substrate has a bulk resistance of at least about 5000 Ω · cm, an interstitial oxygen concentration of less than about 1 × 10 16 atoms / cm3 , and at least about 1 × 10 13 atoms. Multilayer structure with a nitrogen concentration of / cm3 .

図1は、高抵抗率基板と埋込み酸化物層とを有して成るシリコン・オン・インシュレータ・ウエハを示している。FIG. 1 shows a silicon-on-insulator wafer having a high resistivity substrate and an embedded oxide layer. 図2は、シリコン・オン・インシュレータ・ウエハを示しており、高抵抗率基板と埋込み酸化物層との間に多結晶シリコン・トラップ・リッチ層を有するSOIウエハを示している。FIG. 2 shows a silicon-on-insulator wafer, showing an SOI wafer having a polycrystalline silicon trap-rich layer between the resistivity substrate and the embedded oxide layer. 図3は、トラップ・リッチ層を用いるHR-SOI構造の基板抵抗率を関数とした高調波ひずみを示すグラフである。FIG. 3 is a graph showing harmonic distortion using the substrate resistivity of the HR-SOI structure using the trap-rich layer as a function. 図4は、トラップ・リッチ層を用いるSOI処理後のフロートゾーン成長のハンドル・ウエハおよびチョクラルスキー成長のハンドル・ウエハの抵抗率の深さプロファイルを示すグラフである。FIG. 4 is a graph showing the resistivity depth profiles of the float zone-grown handle wafers and the Czochralski-grown handle wafers after SOI treatment using a trap-rich layer. 図5は、トラップ・リッチ層を用いるSOI処理後のフロートゾーン成長のハンドル・ウエハのBOX/ハンドル界面下の最初の90ミクロンの平均抵抗率を示すグラフである。FIG. 5 is a graph showing the average resistivity of the first 90 microns under the BOX / handle interface of a handle wafer for float zone growth after SOI treatment with a trap-rich layer. 図6は、ハンドル基板がフロートゾーン法またはチョクラルスキー法を用いて作成されるSOI多層構造のスリップ・ウィンドウの比較を示すグラフである。FIG. 6 is a graph showing a comparison of SOI multilayer slip windows in which the handle substrate is made using the float zone method or the Czochralski method. 図7は、アニール条件の変更下でハンドル基板がフロートゾーン法を用いて作成されるSOI多層構造の抵抗率を示すグラフである。FIG. 7 is a graph showing the resistivity of the SOI multilayer structure in which the handle substrate is prepared by using the float zone method under the change of annealing conditions. 図8は、フロートゾーン法を用いてハンドル基板が作成されるSOI多層構造の高調波{こうちょうは}ひずみ(HD2)対ピンと、チョクラルスキー法を用いてハンドル基板が作成されるSOI多層構造の高調波{こうちょうは}ひずみ(HD2)対ピンとの比較を示すグラフである。FIG. 8 shows the harmonic distortion (HD2) pair pin of the SOI multilayer structure in which the handle substrate is created using the float zone method, and the SOI multilayer structure in which the handle substrate is created using the Czochralski method. It is a graph which shows the comparison with the harmonic | distortion (HD2) vs. pin of.

(発明の態様の詳細な説明)
本発明に従って、優れた無線周波数(RF)デバイス性能、デバイス安定性、およびデバイス製造特性を可能にする半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)構造を製造するための方法および構造が提供される。本発明では、高抵抗率(例えば、非常に高い抵抗率または超高い抵抗率)のフロートゾーン(FZ)シリコンベース・ウエハ(ハンドル・ウエハ)、およびトラップ・リッチ層が半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)構造へと統合(又は一体化)される。
(Detailed description of aspects of the invention)
According to the present invention, methods and structures for manufacturing semiconductor on-insulator (eg, silicon-on-insulator) structures that enable excellent radio frequency (RF) device performance, device stability, and device manufacturing characteristics are provided. Will be done. In the present invention, float zone (FZ) silicon-based wafers (handle wafers) with high resistivity (eg, very high or ultra-high resistivity), and trap-rich layers are semiconductor-on-insulators (eg, eg). It is integrated (or integrated) into a silicon-on-insulator structure.

無線周波数(RF)チップの設計は、より高い基板抵抗率レベルから大きな恩恵を受ける。インダクタやコンデンサなどの受動要素の品質要因の改善、伝送路の減衰低減、集積デジタルとRFとアナログ要素との間の基板の電気的絶縁は、より高い抵抗率のシリコン基板で実現される。業界スタンダートは、ハンドル基板の抵抗率が1000Ω・cmを超えるものであり、さらに高い抵抗率が好まれる。高抵抗率基板を半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)構造(HRSOI)に統合すると、デバイス絶縁の向上、基板ウエハへの導電性結合(conductive coupling)の減少、および接合容量(junction capacitance)の低下によって、RF機能がさらに向上する。 Radio frequency (RF) chip designs benefit greatly from higher substrate resistivity levels. Improving quality factors for passive elements such as inductors and capacitors, reducing transmission line attenuation, and electrical insulation of the substrate between integrated digital and RF and analog elements are achieved with higher resistivity silicon substrates. In the industry standard, the resistivity of the handle substrate exceeds 1000 Ω · cm, and a higher resistivity is preferred. Integrating a high resistivity substrate into a semiconductor-on-insulator (eg, silicon-on-insulator) structure (HRSOI) improves device insulation, reduces conductive coupling to substrate wafers, and junctions. The decrease in capacitance) further improves the RF function.

超高抵抗率チョクラルスキー(CZ)結晶を7500Ω・cmを超える抵抗率値まで成長させることは、シビアな課題を伴う。添加される電気的に活性なドーパントの濃度は大幅に低下するため、CZクリスタルプーラー(CZ crystal puller)で使用する全ての原材料およびコンポーネントから導入されるドーパント(ホウ素およびリンなど)の制御については更に重点を置く必要がある。これらの材料およびコンポーネントとしては、ポリシリコン源材料および石英坩堝(quartz crucible)が挙げられる。さらに、溶融物中の非常に低いドーパント・レベルにより、許容可能な半径方向の抵抗率の変動を達成するのに溶融物と固体との界面の境界層へのドーパントの質量移動、そして、かかる境界層を通るドーパントの質量移動の制御が重要になる。より高い抵抗率のチョクラルスキー・シリコンインゴットの成長におけるもう1つの重要な課題は、結晶成長中に組み込まれる格子間酸素の挙動を制御することである。チョクラルスキー成長のシリコンの格子間酸素濃度(または侵入型酸素濃度)は、通常5×1017原子/cm(10PPMA 新ASTM)よりも高く、例えば最大で約1×1018原子/cm(20PPMA 新ASTM)などである。このような格子間酸素の供給源は、結晶成長中のSiO坩堝の溶解である。高抵抗率CZシリコンでは、酸素を約5PPMA(2.5×1017原子/cm)の範囲に制御でき、それよりも低い濃度、例えば約2PPMA(1×1017原子/cm)、約3PPMA(1.5×1017原子/cm)および約4PPMA(2×1017原子/cm)などに制御できる。しかしながら、低濃度であっても、格子間酸素は、格子間酸素濃度および350~500℃のアニール時間/温度の双方に強く依存し、電気的に活性なサーマルドナーに凝集し得る。4つの酸素原子を超えるような凝集レベルでは、サーマルドナーは電気的に活性となり、ダブル・ドナーとして作用する。このようなドナーの形成は、約450℃で最大となり、その後減少し、約550℃を超えるアニール処理で解離することになり、電気的に不活性な状態に戻り得る。しかしながら、より長いアニール時間および550℃~850℃などのより高いアニール温度では、いわゆるニュー・サーマルドナーが形成され得る。ピークのニュー・サーマルドナー形成は、750℃~800℃の温度で生じる。我々は、高温熱処理を受ける高抵抗率シリコンで別のクラスの過剰ドナーを最近発見した。まだ現時点で特定されていない高速な拡散種は、非常に高いTアニールにてシリコン・ウエハに導入され、ウエハ冷却にて急冷される。その後450℃~650℃に加熱すると、これらの種はウエハ内の格子間酸素と急速に複合体(complex)を形成し、電気的に活性な「過剰ドナー」を形成する。かかる過剰なドナーは、約1050℃~1100℃よりも高く加熱されると解離する。酸素サーマルダブルドナー、ニュードナー、および過剰サーマルドナーは、電子の伝導に寄与し、それは“生じるドナー数”対“ウエハのバックグラウンド・キャリア濃度”に応じ、ウエハの抵抗率およびタイプを変える。p型シリコンにおいて、サーマルドナー濃度は、ウエハがn型へと変換されることになるp型キャリア濃度を超えるポイントまでサーマルドナーがウエハの抵抗率を増加させる。そして、さらにサーマルドナーが生じると、n型ウエハの抵抗率がますます低くなる。デバイス製造プロセス中またはプロセス終了時における抵抗率の変化は、抵抗率に敏感な製造プロセスを乱し、デバイス性能を低下させる可能性がある。サーマルドナーは、原理的には、高Tアニール(サーマルダブルドナーの場合は約550℃よりも高く、ニュードナーおよび過剰ドナーの場合は約1050℃~約1100℃)で消滅され得、実際にはこれらのドナーのほとんどが、金属化後、集積回路の製造フローの後半(「ラインのバックエンド、BEOL」)で起こる低温アニール工程(約450℃の温度で起り得る工程)により形成される。金属が一旦堆積すると、ウエハを約500℃を超えるTにまで加熱できないので、BEOLで形成されたサーマルドナー種のいずれも消滅させることはできない。350~500℃で形成されるサーマルドナーは、短時間の高温アニールにおいて除去され得るものの、過剰サーマルドナーの存在は、4000Ω・cmを超える抵抗率を有する高抵抗率シリコンで特に顕著になり、7500Ω・cmを超える抵抗率を有する材料ではそれが大きくなる。このような材料において、ドーパント濃度は1.8×1012/cm(p型)またはN<5×1011/cm(n型)であり得る。比較のためであるが、過剰サーマルドナー濃度は、約1100~1125℃の温度でアニールされた材料では約1×1012/cmであり、約1000℃でアニールされた材料では過剰サーマルドナー濃度が低く、1×1011/cmと低くなる。匹敵するドーパント材料(例えば、ホウ素、ヒ素、リンなど)の濃度および過剰サーマルドナーの濃度を考えると、高抵抗率であると特定された材料は、抵抗率の変動(variability)の点で苦慮し得、さらにはp型からn型への明らかなシフトの点でも苦慮し得る。 Growing an ultra-high resistivity Czochralski (CZ) crystal to a resistivity value exceeding 7500 Ω · cm entails severe challenges. Since the concentration of electrically active dopants added is significantly reduced, further control of dopants (such as boron and phosphorus) introduced from all raw materials and components used in the CZ crystal puller The emphasis needs to be placed. These materials and components include polysilicon source materials and quartz crucibles. In addition, due to the very low dopant levels in the melt, the mass transfer of the dopant to the boundary layer at the interface between the melt and the solid to achieve acceptable radial resistance variation, and such boundaries. Controlling the mass transfer of the dopant through the layer is important. Another important challenge in the growth of higher resistivity Czochralski silicon ingots is to control the behavior of interstitial oxygen incorporated during crystal growth. The interstitial oxygen concentration (or penetrating oxygen concentration) of silicon in chokralsky growth is usually higher than 5 × 10 17 atoms / cm 3 (10PPMA new ASTM), for example up to about 1 × 10 18 atoms / cm 3 (20PPMA new ASTM) and so on. The source of such interstitial oxygen is the dissolution of the SiO 2 crucible during crystal growth. With high resistance CZ silicon, oxygen can be controlled in the range of about 5PPMA (2.5 × 10 17 atoms / cm 3 ) and lower concentrations such as about 2PPMA (1 × 10 17 atoms / cm 3 ), about. It can be controlled to 3PPMA (1.5 × 10 17 atoms / cm 3 ) and about 4PPMA (2 × 10 17 atoms / cm 3 ). However, even at low concentrations, interstitial oxygen is strongly dependent on both the interstitial oxygen concentration and the annealing time / temperature of 350-500 ° C. and can aggregate into electrically active thermal donors. At aggregation levels above four oxygen atoms, the thermal donor becomes electrically active and acts as a double donor. The formation of such donors is maximal at about 450 ° C., then diminishes, dissociates with annealing treatments above about 550 ° C., and can return to the electrically inactive state. However, longer annealing times and higher annealing temperatures such as 550 ° C to 850 ° C can form so-called new thermal donors. Peak new thermal donor formation occurs at temperatures between 750 ° C and 800 ° C. We recently discovered another class of excess donors in high resistivity silicon that undergoes high temperature heat treatment. Fast diffusing species, which have not yet been identified, are introduced into silicon wafers with very high T-anneals and rapidly cooled by wafer cooling. When then heated to 450 ° C to 650 ° C, these seeds rapidly form a complex with interstitial oxygen in the wafer to form an electrically active "excess donor". Such excess donors dissociate when heated above about 1050 ° C to 1100 ° C. Oxygen thermal double donors, new donors, and excess thermal donors contribute to the conduction of electrons, which vary the resistivity and type of wafer depending on the "number of donors produced" vs. the "background carrier concentration of the wafer". In p-type silicon, the thermal donor concentration increases the resistivity of the wafer to a point where the thermal donor concentration exceeds the p-type carrier concentration at which the wafer will be converted to n-type. Then, when further thermal donors are generated, the resistivity of the n-type wafer becomes lower and lower. Changes in resistivity during or at the end of the device manufacturing process can disrupt resistivity-sensitive manufacturing processes and reduce device performance. Thermal donors can, in principle, be extinguished with high T-annealing (higher than about 550 ° C for thermal double donors, about 1050 ° C to about 1100 ° C for new donors and excess donors), and in practice Most of these donors are formed after metallization by a low temperature annealing step (a step that can occur at a temperature of about 450 ° C.) that occurs in the second half of the integrated circuit manufacturing flow (“line back end, VOL”). Once the metal is deposited, the wafer cannot be heated to T above about 500 ° C., so none of the thermal donor species formed in BEOL can be extinguished. Although thermal donors formed at 350-500 ° C can be removed by high temperature annealing for a short period of time, the presence of excess thermal donors is particularly pronounced in high resistivity silicon with resistivity above 4000 Ω · cm, 7500 Ω. -It will be larger for materials with resistivity greater than cm. In such materials, the dopant concentration can be 1.8 × 10 12 / cm 3 (p-type) or N d <5 × 10 11 / cm 3 (n-type). For comparison, the excess thermal donor concentration is about 1 × 10 12 / cm 3 for materials annealed at a temperature of about 1100-1125 ° C, and excess thermal donor concentration for materials annealed at about 1000 ° C. Is low, as low as 1 × 10 11 / cm 3 . Given the concentration of comparable dopant materials (eg boron, arsenic, phosphorus, etc.) and the concentration of excess thermal donors, materials identified as having high resistivity suffer from resistivity variability. It can also be difficult in terms of the obvious shift from p-type to n-type.

フロートゾーン(FZ)シリコンは、CZシリコンに対する超高純度な代替品である。 FZは、5000Ω・cmを超える抵抗率レベルで製造することができ、7500Ω・cmを超える、さらに10000Ω・cmを超える、さらには20000Ω・cmを超える抵抗率レベルでFZを製造できる。フロートゾーン・プロセスは、成長する単結晶への酸素導入を最小限にし、酸素サーマルダブルドナーの形成、ニューサーマルドナー形成、および過剰熱ドナー形成を有利に最小にできる。サーマルドナー形成において付随する減少は、インゴットおよびそれからスライスされるウエハの軸方向および半径方向の抵抗率の変動を最小限にする。これは双方とも、デバイスの性能および抵抗率の安定化を向上させることができる。 Float zone (FZ) silicon is an ultra-purity alternative to CZ silicon. The FZ can be manufactured at a resistivity level of more than 5000 Ω · cm, and can be manufactured at a resistivity level of more than 7500 Ω · cm, further more than 10000 Ω · cm, and even more than 20000 Ω · cm. The float zone process can minimize the introduction of oxygen into the growing single crystal and advantageously minimize the formation of oxygen thermal double donors, new thermal donors, and excess thermal donors. The associated reduction in thermal donor formation minimizes axial and radial resistivity variations of the ingot and the wafer sliced from it. Both of these can improve device performance and resistivity stabilization.

HRSOIウエハは、埋込み酸化物層(BOX)と高抵抗率基板との間の界面であって、下にある高抵抗率基板内に10ミクロンよりも大きく延在し得る界面で寄生伝導(parasitic conduction)を受ける。これは、BOX内での通常の酸化物電荷と、基板の非常に低いドーピング濃度との組合せによって引き起こされる。図1に示される寄生表面伝導の効果(文献ではPSCと称される)は、実効基板抵抗率を低くし、RF損失、基板の非線形性およびクロストークを増加させる。BOX24と高抵抗率基板22との間にトラップ・リッチ層28(図2参照)を配置することは、BOX/基板の界面に引き付けられる自由キャリアを捕捉するトラップにより、寄生伝導層12(図1参照)の形成を防ぎ、蓄積層または反転層の形成を抑制する。トラップ・リッチ層と、抵抗率が5000Ω・cmを超える、7500Ω・cmを超える、さらに10000Ω・cmを超える、さらには20000Ω・cmを超える、さらには30000Ω・cmを超える安定なフロートゾーン・シリコンハンドル・ウエハとを組み合わせると、-80dBmよりも良好な(better than)、-90dBmよりも良好な、-100dBmよりも良好な、又は-110dBmよりも良好な第2高調波ひずみまたはHD2値など優れたRF性能を達成することができる。トラップ・リッチ層を用いたHR-SOI構造での基板抵抗率を変数とした高調波ひずみを示す図3を参照されたい。そこで示されているように、より高い抵抗率のウエハはより良好なHD2値を呈する。より具体的には、-100dBmよりも良好な、又は-110dBmよりも良好な第2高調波ひずみまたはHD2値は、20000Ω・cmを超える又は30000Ω・cmを超える抵抗率値を有するフロートゾーン・ハンドル基板を備えたSOI構造において達成できる。 HRSOI wafers are the interface between the embedded oxide layer (BOX) and the high resistivity substrate, which can extend more than 10 microns within the underlying high resistivity substrate. ). This is caused by the combination of the normal oxide charge in the BOX and the very low doping concentration of the substrate. The effect of parasitic surface conduction shown in FIG. 1 (referred to in the literature as PSC) lowers the effective substrate resistivity and increases RF loss, substrate non-linearity and crosstalk. Placing the trap-rich layer 28 (see FIG. 2) between the BOX 24 and the high resistivity substrate 22 is a parasitic conduction layer 12 (FIG. 1) by trapping free carriers attracted to the BOX / substrate interface. (See) to prevent the formation of accumulation layers or inversion layers. Trap-rich layer and stable float zone silicon handle with resistivity of over 5000 Ω · cm, over 7500 Ω · cm, over 10000 Ω · cm, even over 20000 Ω · cm, and even over 30,000 Ω · cm When combined with a wafer, it is better than -80 dBm, better than -90 dBm, better than -100 dBm, or better than -110 dBm, such as second harmonic distortion or HD2 value. RF performance can be achieved. See FIG. 3, which shows the harmonic distortion with the substrate resistivity as a variable in the HR-SOI structure using the trap-rich layer. As shown there, wafers with higher resistivity exhibit better HD2 values. More specifically, a second harmonic distortion or HD2 value better than -100 dBm or better than -110 dBm is a float zone handle with a resistivity value greater than 20,000 Ω cm or more than 30,000 Ω cm. This can be achieved in an SOI structure with a substrate.

フロートゾーン・ハンドル・ウエハの使用は、幾つかの問題を解決することを意図している。つまり、1)FZは、5000Ω・cmを超える、7500Ω・cmを超える、さらに10000Ω・cmを超える、さらには20000Ω・cm、またはさらには30000Ω・cmを超える目標レベルの抵抗率へと製造可能な結晶成長の途を供し、これにより、トラップ・リッチ層と組み合わされる場合RF性能の向上が可能となる。2)FZは、検出限界を下回る酸素含有量を有し、これにより、電気的に活性なサーマルドナーおよび過剰サーマルドナーの形成が減少されたり排除され得たりし、その結果、RFの電気的性能を低下させ得、デバイス製造ラインのウエハの処理を妨げ得る抵抗率シフトを防止する。フロートゾーン・シリコンは、高純度の多結晶ロッドの垂直ゾーン溶融/精製により成長させられる。シード結晶はロッドの一端に配置され、単結晶成長が開始される。かかるプロセスは、酸素を含む不純物の導入を大幅に減じる封じ込め容器(containment vessel)の使用を回避する。超高抵抗率シリコンでは、サーマルドナー形成などの酸素効果を排除することが不可欠である。窒素は、典型的には、FZの成長中に意図的に追加され、点欠陥形成を制御し、機械的強度を向上させる。超高抵抗率FZのドーピング・レベルおよびドーパント・タイプは、多結晶源ロッドの純度に依存する。 The use of float zone handle wafers is intended to solve some problems. That is, 1) FZ can be manufactured to a target level resistivity of more than 5000 Ω · cm, more than 7500 Ω · cm, more than 10000 Ω · cm, further more than 20000 Ω · cm, or even more than 30,000 Ω · cm. It provides a crystal growth path, which allows for improved RF performance when combined with a trap-rich layer. 2) The FZ has an oxygen content below the detection limit, which can reduce or eliminate the formation of electrically active thermal donors and excess thermal donors, resulting in the electrical performance of the RF. Prevents resistivity shifts that can reduce and interfere with the processing of wafers on the device manufacturing line. Float zone silicon is grown by vertical zone melting / purification of high purity polycrystalline rods. The seed crystal is placed at one end of the rod and single crystal growth is initiated. Such a process avoids the use of containment vessels that significantly reduce the introduction of impurities, including oxygen. For ultra-high resistivity silicon, it is essential to eliminate oxygen effects such as thermal donor formation. Nitrogen is typically added intentionally during the growth of the FZ to control point defect formation and improve mechanical strength. The doping level and dopant type of the ultra-high resistivity FZ depends on the purity of the polycrystalline source rod.

(I)フロートゾーン・ハンドル・ウエハ
本発明によれば、フロートゾーン法によって成長させた単結晶シリコン・インゴットからスライスされるウエハは、高抵抗率ハンドル構造として、図2に示される構造を有する半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)多層構造体20へと統合(integrate)される。つまり、半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)多層構造体20は、フロートゾーン高抵抗率ハンドル構造22(または高抵抗なフロートゾーン・ハンドル構造体(例えば、半導体ハンドル基板22))、トラップ・リッチ層28、誘電体層24、およびデバイス層26を有して成る。
(I) Float Zone Handle Wafer According to the present invention, a wafer sliced from a single crystal silicon ingot grown by the float zone method is a semiconductor having the structure shown in FIG. 2 as a high resistivity handle structure. It is integrated into an on-insulator (eg, silicon-on-insulator) multilayer structure 20. That is, the semiconductor-on-insulator (for example, silicon-on-insulator) multilayer structure 20 has a float zone high resistivity handle structure 22 (or a high resistance float zone handle structure (for example, a semiconductor handle substrate 22)). It comprises a trap-rich layer 28, a dielectric layer 24, and a device layer 26.

本発明で用いられる基板は、半導体ハンドル基板(例えば、単結晶半導体ハンドル・ウエハ)および半導体ドナー基板(例えば、単結晶半導体ドナー・ウエハ)を含む。半導体オン・インシュレータ多層構造体20における半導体デバイス層26は、単結晶半導体ドナー・ウエハに起因する。半導体デバイス層26は、半導体ドナー基板をエッチングするなどのウエハ薄化技術によって、またはダメージ面を含む半導体ドナー基板を劈開することによって、半導体ハンドル基板22上へと移されてよい。 The substrates used in the present invention include semiconductor handle substrates (eg, single crystal semiconductor handle wafers) and semiconductor donor substrates (eg, single crystal semiconductor donor wafers). The semiconductor device layer 26 in the semiconductor-on-insulator multilayer structure 20 is derived from the single crystal semiconductor donor wafer. The semiconductor device layer 26 may be transferred onto the semiconductor handle substrate 22 by a wafer thinning technique such as etching the semiconductor donor substrate, or by cleaving the semiconductor donor substrate including the damaged surface.

一般的に、単結晶半導体ハンドル・ウエハおよび単結晶半導体ドナー・ウエハは、2つの略平行な主面(または主たる面もしくは主要な面)を有して成る。略平行な主面の一方は、基板の表側面(またはフロント面もしくは前面)であり、略平行な主面の他方は、基板の裏側面(またはバック面もしくは背面)である。基板は、表側面と裏側面とをつなぐ周縁エッジ、表側面と裏側面との間のバルク領域、および、表側面と裏側面との間の中心面(または中央面)を有して成る。付加的に、基板は、中心面に垂直な仮想中心軸と、中心軸から周縁エッジまで延びる半径方向長さを付加的に有して成る。また、付加的に、シリコン・ウエハなどの半導体基板は、典型的には、総厚さ変動(TTV)、たわみ(warp)および反り(bow)を有し得るので、表側面のすべての点と裏側面のすべての点との中間点が正確に平面内に収まらない場合もあり得る。ただし、実際的な事項としては、TTV、たわみおよび反りは典型的には非常に僅かなものであり、中間点は、表側面と裏側面との間のほぼ等距離にある仮想の中心面内にあると近似できる。 Generally, a single crystal semiconductor handle wafer and a single crystal semiconductor donor wafer have two substantially parallel main planes (or main planes or main planes). One of the substantially parallel main surfaces is the front surface (or front surface or front surface) of the substrate, and the other of the substantially parallel main surfaces is the back surface surface (or back surface or back surface) of the substrate. The substrate comprises a peripheral edge connecting the front and back surfaces, a bulk region between the front and back surfaces, and a central surface (or central surface) between the front and back surfaces. In addition, the substrate additionally has a virtual central axis perpendicular to the central plane and a radial length extending from the central axis to the peripheral edge. Also, in addition, semiconductor substrates such as silicon wafers can typically have total thickness variation (TTV), warp and bow, so that all points on the front surface It is possible that the midpoints of all the points on the back side will not fit exactly in the plane. However, in practice, TTV, deflection and warpage are typically very small, with the midpoint within a virtual central plane approximately equidistant between the front and back sides. Can be approximated to.

本明細書で説明される操作に先立って、基板の表側面と裏側面とは実質的に同一であってよい。単に便宜上、本発明の方法の操作が実行される表面を区別すべく、表面(サーフェース)を「表側面(front surface)」または「裏側面(back surface)」と称する。本発明の文脈において、単結晶半導体ハンドル基板(例えば、単結晶シリコンハンドル・ウエハ)の「表側面」は、結合構造の内側面となる基板の主面を指す。かかる表側面上にトラップ・リッチ層が形成される。したがって、単結晶半導体ハンドル基板(例えばハンドル・ウエハ)の「裏側面」は、結合構造の外側面となる主面を指す。同様に、単結晶半導体ドナー基板(例えば、単結晶シリコンドナー・ウエハ)の「表側面」は、結合構造の内側面となる単結晶半導体ドナー基板の主面を指す。単結晶半導体ドナー基板の表側面は、しばしば、最終構造において埋込み酸化物(BOX)層の一部または全てを構成する誘電体層、例えば二酸化シリコン層など有して成る。単結晶半導体ドナー基板(例えば単結晶シリコンドナー・ウエハ)の「裏側面」は、結合構造の外側面となる主面を指す。常套的な結合およびウエハ薄化のステップが完了すると、単結晶半導体ドナー基板は、半導体オン・インシュレータ(例えば、シリコン・オン・インシュレータ)複合構造体の半導体デバイス層を構成する。 Prior to the operations described herein, the front and back surfaces of the substrate may be substantially identical. For convenience only, a surface is referred to as a "front surface" or "back surface" to distinguish between surfaces on which the operations of the methods of the invention are performed. In the context of the present invention, the "front side surface" of a single crystal semiconductor handle substrate (eg, a single crystal silicon handle wafer) refers to the main surface of the substrate which is the inner surface of the bonded structure. A trap-rich layer is formed on the front surface. Therefore, the "back side surface" of a single crystal semiconductor handle substrate (eg, handle wafer) refers to the main surface that is the outer surface of the bonded structure. Similarly, the "front side surface" of a single crystal semiconductor donor substrate (eg, a single crystal silicon donor wafer) refers to the main surface of the single crystal semiconductor donor substrate that is the inner surface of the coupled structure. The front surface of the single crystal semiconductor donor substrate often comprises a dielectric layer, such as a silicon dioxide layer, that constitutes part or all of the embedded oxide (BOX) layer in the final structure. The "backside" of a single crystal semiconductor donor substrate (eg, a single crystal silicon donor wafer) refers to the main surface that is the outer surface of the coupled structure. Upon completion of the conventional bonding and wafer thinning steps, the single crystal semiconductor donor substrate constitutes the semiconductor device layer of the semiconductor on-insulator (eg, silicon-on-insulator) composite structure.

ハンドル・ウエハは、フロートゾーン法によって成長したインゴットに由来する材料、例えばシリコンを含んで成る。フロートゾーン法で成長させるインゴットからスライスされる単結晶シリコン・ハンドル・ウエハは、典型的には、少なくとも約20mm、少なくとも約50mm、少なくとも約100mm、少なくとも約150mm、少なくとも約200mmの公称径(例えば、約150mmまたは約200mmの径)を有している。成長プロセス中の表面張力の制限によって、共通的には、径(または直径)は250mm以下、または約200mm以下となる。ハンドル・ウエハの厚さは、約100マイクロメートル~約5000マイクロメートル、例えば約100マイクロメートル~約1500マイクロメートル、約250マイクロメートル~約1500マイクロメートル、約300マイクロメートル~約1000マイクロメートルなどの間で変わり得、好適には約500マイクロメートル~約1000マイクロメートルの範囲内で変わり得る。幾つかの具体的な態様では、ウエハ厚さは約725マイクロメートルであってよい。また、幾つかの態様では、ウエハ厚さは約775マイクロメートルであってもよい。 The handle wafer comprises a material derived from an ingot grown by the float zone method, such as silicon. Single crystal silicon handle wafers sliced from ingots grown by the float zone method typically have a nominal diameter of at least about 20 mm, at least about 50 mm, at least about 100 mm, at least about 150 mm, and at least about 200 mm (eg,). It has a diameter of about 150 mm or about 200 mm). Due to the limitation of surface tension during the growth process, the diameter (or diameter) is commonly less than 250 mm, or less than about 200 mm. The thickness of the handle wafer is from about 100 micrometers to about 5000 micrometers, such as about 100 micrometers to about 1500 micrometers, about 250 micrometers to about 1500 micrometers, about 300 micrometers to about 1000 micrometers, and so on. It can vary between, preferably in the range of about 500 micrometers to about 1000 micrometers. In some specific embodiments, the wafer thickness may be about 725 micrometers. Also, in some embodiments, the wafer thickness may be about 775 micrometers.

幾つかの態様では、フロートゾーンの結晶インゴット、および、それからスライスされる単結晶半導体ハンドル基板はバルク抵抗率を有し、少なくとも約5000Ω・cm、少なくとも約7500Ω・cm、例えば、少なくとも約10000Ω・cm、少なくとも約15000Ω・cm、少なくとも約20000Ω・cm、少なくとも約25000Ω・cm、または少なくとも約30000Ω・cmなどのバルク抵抗率を有する。幾つかの態様では、単結晶半導体ハンドル基板は、約100000Ω・cm未満のバルク抵抗率を有する。抵抗率の高いウエハは、ホウ素(p型)、ガリウム(p型)、アルミニウム(p型)、インジウム(p型)、リン(n型)、アンチモン(n型)、およびヒ素(n型)などの電気的に活性なドーパントを含み得、一般的に非常に低い濃度(例えば、1×1012原子/cm未満、さらには1×1011原子/cm未満)でそのような電気的に活性なドーパントを含み得る。フロートゾーン単結晶シリコン・インゴットから高抵抗率ウエハを調製する方法自体は、当技術分野で知られており、そのような高抵抗率ウエハは、台湾のGlobalWafers社などの商業的供給業者から入手してもよい。 In some embodiments, the float zone crystal ingot and the single crystal semiconductor handle substrate sliced from it have bulk resistivity and are at least about 5000 Ω · cm, at least about 7500 Ω · cm, eg, at least about 10000 Ω · cm. Has a bulk resistivity of at least about 15,000 Ω · cm, at least about 20,000 Ω · cm, at least about 25,000 Ω · cm, or at least about 30,000 Ω · cm. In some embodiments, the single crystal semiconductor handle substrate has a bulk resistivity of less than about 100,000 Ω · cm. Wafers with high resistance include boron (p type), gallium (p type), aluminum (p type), indium (p type), phosphorus (n type), antimony (n type), and arsenic (n type). Can contain electrically active dopants of, and generally at very low concentrations (eg, less than 1 × 10 12 atoms / cm 3 and even less than 1 × 10 11 atoms / cm 3 ) such electrically. May include active dopants. The method itself for preparing high resistivity wafers from float zone single crystal silicon ingots is known in the art, and such high resistivity wafers are obtained from commercial suppliers such as Global Wafers in Taiwan. You may.

フロートゾーン成長のインゴットに由来するシリコン・ハンドル・ウエハは、およそ2倍までの最小変動~最大変動の超高抵抗値をより確実にターゲットにできる。例えば、ウエハの抵抗率の2つのサイドの最小~最大仕様は、10000~20000Ω・cmまたはそれ以上として受け入れることができ、それは、かかる仕様が一般に1つのサイドとなって、7500Ω・cm以上等となるUHR Czウエハと異なる。目標値の周囲±30~50%の公差(tolerance)は許容され得る。これにより、エンド・ユーザーは、RF電気的性能レベルを改善できるだけでなく(例えば図3に示すように改善できるだけでなく)、チョクラルスキー成長シリコンと比較した場合、予測可能性が高くなり、変動が少なくなる。かかる解決事由の基本的な理由としては、フロートゾーン成長シリコン・ハンドル・ウエハが検出限界を下回る酸素濃度を有し、サーマルドナー形成および超高抵抗率チョクラルスキー成長シリコンで変動を引き起こす過剰サーマルドナー形成を回避できるからである。幾つかの態様では、フロートゾーン成長シリコン・ハンドル・ウエハは、計測法(metrology)で例えば約2.5×1016原子/cm未満(0.5PPMA、新ASTM規格)、約2×1016原子/cm未満(0.4PPMA、新ASTM規格)、約1×1016原子/cm未満(0.2PPMA、新ASTM規格)、または約1×1015原子/cm未満(0.02PPMA、新ASTM規格)などの検出限界を下回る酸素レベルを有し、チョクラルスキー成長シリコン・ウエハ(検出可能な酸素濃度を有するウエハ)で形成される酸素サーマルドナーおよび過剰ドナーの存在を排除している。幾つかの態様では、シリコン・ハンドル・ウエハは、1×1011ドナー/cm未満、または5×1010ドナー/cm未満の過剰サーマルドナー濃度を有する。幾つかの態様では、酸素濃度が非常に低く、ダブルドナーサーマルドナー濃度、ニュー・サーマルドナー濃度、および/または過剰サーマルドナー濃度は検出可能な限界を下回り、第一近似は、そのようなドナーの濃度が少なくともp型アクセプターまたはn型ドナーの濃度より少なくとも1桁少ない。換言すれば、ダブルドナーサーマルドナー濃度、ニュー・サーマルドナー濃度および/もしくは過剰サーマルドナー濃度のいずれかの濃度、または、ダブルドナーサーマルドナー濃度、ニュー・サーマルドナー濃度および/もしくは過剰サーマルドナー濃度の総計は、p型アクセプターまたはn型ドナー濃度より少なくとも1桁低く、すなわち、p型ドーパントまたはn型ドーパントの濃度の1/10未満となっている。CZでは、サーマルドナーおよび過剰ドナーは、酸素濃度および熱サイクルの詳細に応じ、バックグラウンドのドーピング濃度以下であり得、あるいは、バックグラウンドのドーピング濃度よりも高いものもあり得る。CZ Siにおけるサーマルダブルドナー濃度は、450℃までの温度においてアニール時間と共に非常に大きな値にまで増加し続けることになる。濃度は、最終的にはOiに依存するある値で飽和することになる。~15nppmaの大きいOiに対しては、飽和濃度は~1×1016/cm以上となり得る。飽和(最大)TDD濃度は、Oiの減少と共に減少する。それはHR Siで関連する実際のドーパント濃度よりはるかに大きいものであろう。FZウエハにおけるドナー濃度が低いと、RF性能の変動が減少し、ウエハ抵抗率(静電チャッキング)に敏感なデバイス製造プロセスに関して抵抗率変動の影響が減じられ、超高抵抗率/低酸素のチョクラルスキー成長シリコン・ウエハにおける別の変動原因であるニュー・サーマルドナー形成に対する感度が排除される。 Silicon handle wafers derived from float zone growth ingots can more reliably target ultra-high resistance values with minimal to maximum fluctuations of up to approximately double. For example, the minimum to maximum specifications for the two sides of the resistivity of a wafer can be accepted as 10,000 to 20,000 Ω · cm or more, which means that such specifications are generally one side and 7500 Ω · cm or more, etc. It is different from the UHR Cz wafer. Tolerance of ± 30-50% around the target value is acceptable. This not only allows the end user to improve the RF electrical performance level (eg, as shown in Figure 3), but also makes it more predictable and variable when compared to Czochralski growth silicon. Is reduced. The basic reason for this solution is that the float zone growing silicon handle wafer has an oxygen concentration below the detection limit, causing fluctuations in thermal donor formation and ultra-high resistivity Czochralski growing silicon. This is because the formation can be avoided. In some embodiments, the float zone grown silicon handle wafer is, for example, about 2.5 × 10 16 atoms / cm 3 (0.5PPMA, new ASTM standard), about 2 × 10 16 by metrology. Atoms / cm less than 3 (0.4PPMA, new ASTM standard), about 1 x 10 16 atoms / cm 3 (0.2PPMA, new ASTM standard), or about 1 x 10 15 atoms / cm 3 (0.02PPMA) , New ASTM standard), and eliminates the presence of oxygen thermal donors and excess donors formed on chokralsky-grown silicon wafers (wafers with detectable oxygen concentration) that have oxygen levels below the detection limits. There is. In some embodiments, the silicon handle wafer has an excess thermal donor concentration of less than 1 × 10 11 donor / cm 3 or less than 5 × 10 10 donor / cm 3 . In some embodiments, the oxygen concentration is very low, the double donor thermal donor concentration, the new thermal donor concentration, and / or the excess thermal donor concentration is below the detectable limit, and the first approximation is for such donors. The concentration is at least an order of magnitude lower than the concentration of the p-type acceptor or n-type donor. In other words, either the double donor thermal donor concentration, the new thermal donor concentration and / or the excess thermal donor concentration, or the sum of the double donor thermal donor concentration, the new thermal donor concentration and / or the excess thermal donor concentration. Is at least an order of magnitude lower than the concentration of the p-type acceptor or n-type donor, that is, less than 1/10 of the concentration of the p-type dopant or n-type dopant. In CZ, thermal donors and excess donors can be below or above the background doping concentration, depending on the oxygen concentration and thermal cycle details. The thermal double donor concentration in CZ Si will continue to increase to very large values with annealing time at temperatures up to 450 ° C. The concentration will eventually saturate at some value that depends on Oi. For large Oi of ~ 15 nppma, the saturation concentration can be ~ 1 × 10 16 / cm 3 or more. Saturated (maximum) TDD concentration decreases with decreasing Oi. It will be much higher than the actual dopant concentration associated with HR Si. Low donor concentrations in FZ wafers reduce fluctuations in RF performance, reduce the effects of resistivity fluctuations on wafer resistivity (electrostatic chucking) sensitive device manufacturing processes, and provide ultra-high resistivity / low oxygen. Sensitivity to new thermal donor formation, another source of variation in Czochralski-grown silicon wafers, is eliminated.

付加的には、チョクラルスキー成長シリコン・ハンドル・ウエハの拡がり抵抗プロファイル(SRP)は、例えば450℃アニール後などにおいてBOX/ハンドル界面下の最初の数十ミクロンに亘ってめったにフラットにならない。チョクラルスキー成長シリコン・ハンドル・ウエハのSRPは、TD形成および過剰ドナーによってしばしば影響を受け、図4に示すようにプロファイルが大幅に変化する。しかしながら、フロートゾーン成長シリコン・ハンドル・ウエハのSRPは、非常にフラットで、450℃および600℃試験でサーマルドナーおよび過剰ドナーが完全に存在しないことを示す。図4を参照のこと。図4のグラフにおいて、菱形(◆)の線は、450℃のドナー生成アニール(DGA)のアニール前のフロートゾーン・ハンドル・ウエハの深さあたりの抵抗率を示しており、エックス(X)の線は、450℃のDGAアニール後のフロートゾーン・ハンドル・ウエハの深さあたりの抵抗率を示している。さらに、かかるグラフにおいて、四角(■)の線は、450℃のDGAアニール後のチョクラルスキー成長ハンドル・ウエハp型の深さあたりの抵抗率を示している。そして、このグラフにおいて、三角(▲)の線は、450℃のDGAアニール後のチョクラルスキー成長ハンドル・ウエハn型の深さあたりの抵抗率を示している。図5も参照されたい。図5は、トラップ・リッチ層を用いるSOI処理後のフロートゾーン成長ハンドル・ウエハ(5000Ω・cmより高い抵抗率で、10000Ω・cmより高い抵抗性を有するウエハ)のBOX/ハンドル界面下の最初の90ミクロンの平均抵抗率を示している。プロファイルは非常にフラットであり、450℃および600℃試験でサーマルドナーが完全に存在しないことを示している。 In addition, the spread resistance profile (SRP) of a Czochralski-grown silicon handle wafer rarely flattens over the first few tens of microns under the BOX / handle interface, for example after 450 ° C. annealing. The SRP of Czochralski-grown silicon handle wafers is often affected by TD formation and excess donors, and the profile changes significantly as shown in FIG. However, the SRP of float zone grown silicon handle wafers is very flat, showing that there are no thermal donors and excess donors in the 450 ° C and 600 ° C tests. See FIG. In the graph of FIG. 4, the diamond-shaped (◆) line shows the resistivity per depth of the float zone handle wafer before annealing of donor-generated annealing (DGA) at 450 ° C. The line shows the resistivity per depth of the float zone handle wafer after DGA annealing at 450 ° C. Further, in such a graph, the square (■) line shows the resistivity per depth of the Czochralski growth handle wafer p-type after DGA annealing at 450 ° C. Then, in this graph, the triangular (▲) line shows the resistivity per depth of the Czochralski growth handle wafer n type after DGA annealing at 450 ° C. See also FIG. FIG. 5 shows the first under the BOX / handle interface of a float zone growth handle wafer (wafer with resistivity greater than 5000 Ω · cm and higher resistance than 10000 Ω · cm) after SOI treatment with a trap-rich layer. It shows an average resistivity of 90 microns. The profile is very flat, showing the complete absence of thermal donors in the 450 ° C and 600 ° C tests.

フロートゾーン成長シリコン・ハンドル・ウエハは、検出可能な限界を下回る酸素を有していると、そのようなウエハは、熱プロセスでスリップ(slip)を有する傾向がより大きくなり得る。しかしながら、フロートゾーン結晶成長中に窒素を追加して、点欠陥の形成を制御し、スリップに抗する強度を追加してもよい。コア・ドーピング(core doping)、ピル・ドーピング(pill doping)、窒素またはアンモニアガスによるガスドーピングなどの特殊なドーピング技術を用いて、均一濃度の不純物を組み込んでもよい。幾つかの態様では、フロートゾーン成長シリコン・ハンドル・ウエハの窒素濃度は、少なくとも約1×1013原子/cm、例えば少なくとも0.5×1014原子/cm、少なくとも約1×1014原子/cmなどとなる。幾つかの態様では、フロートゾーン成長シリコン・ハンドル・ウエハの窒素濃度は、約3×1015原子/cm未満、約1×1015原子/cm未満、約7×1014原子/cm未満、または約3×1014原子/cm未満であってよい。幾つかの態様では、フロートゾーン成長シリコン・ハンドル・ウエハにおける窒素濃度は、少なくとも約0.5×1014原子/cmであってよく、約3×1014原子/cm未満であってよい。SOI製造ラインでの窒素ドープのフロートゾーンの成長シリコン・ハンドル・ウエハのデモンストレーションでは、チョクラルスキー成長シリコン・ハンドル・ウエハとほぼ同等の許容可能なスリップ性能を示した。 If float zone grown silicon handle wafers have oxygen below the detectable limit, such wafers may be more prone to slip in the thermal process. However, nitrogen may be added during float zone crystal growth to control the formation of point defects and add strength to resist slippage. Uniform concentrations of impurities may be incorporated using specialized doping techniques such as core doping, pill doping, and gas doping with nitrogen or ammonia gas. In some embodiments, the nitrogen concentration of the float zone grown silicon handle wafer is at least about 1 × 10 13 atoms / cm 3 , eg at least 0.5 × 10 14 atoms / cm 3 , at least about 1 × 10 14 atoms. / Cm 3 and so on. In some embodiments, the nitrogen concentration of the float zone grown silicon handle wafer is about 3 × 10 less than 15 atoms / cm 3 , about 1 × 10 less than 15 atoms / cm 3 , and about 7 × 10 14 atoms / cm 3 . It may be less than, or less than about 3 × 10 14 atoms / cm3. In some embodiments, the nitrogen concentration in the float zone grown silicon handle wafer may be at least about 0.5 × 10 14 atoms / cm 3 and may be less than about 3 × 10 14 atoms / cm 3 . .. Demonstrations of nitrogen-doped float zone grown silicon handle wafers on the SOI production line showed almost the same acceptable slip performance as Czochralski grown silicon handle wafers.

これに関して、フロートゾーン成長シリコン・ハンドル・ウエハおよびチョクラルスキー成長シリコン・ハンドル・ウエハを800℃の酸化に付した後、1100℃で2時間のアニール・サイクルを行い、スリップ検査を行った。その結果、どちらのウエハ・タイプでもスリップは見られなかった。したがって、窒素ドープのフロートゾーン・ハンドル・ウエハは、トラップ・リッチ層の堆積およびその後のSOIウエハ製造に関連する熱サイクルにおいてスリップなく耐えることができる。別の炉プッシュ試験(furnace push test)では、炉を1000℃にまで加熱し、フロートゾーン成長シリコン・ハンドル・ウエハおよびチョクラルスキー成長シリコン・ハンドル・ウエハを急速に炉を通るようにプッシュした。かかるスリップ試験では、双方のウエハ・タイプが同様なふるまいを見せた。 In this regard, float zone grown silicon handle wafers and Czochralski grown silicon handle wafers were subjected to oxidation at 800 ° C. and then annealed at 1100 ° C. for 2 hours for slip inspection. As a result, no slip was observed with either wafer type. Therefore, nitrogen-doped float zone handle wafers can withstand slip-free thermal cycles associated with trap-rich layer deposition and subsequent SOI wafer fabrication. In another furnace push test, the furnace was heated to 1000 ° C. and the float zone grown silicon handle wafers and the Czochralski grown silicon handle wafers were pushed through the furnace rapidly. In such a slip test, both wafer types showed similar behavior.

幾つかの態様では、単結晶半導体ハンドル基板の表側面、裏側面、または表側面と裏側面との双方は、酸化プロセスなどのプロセスに付され、半導体酸化物層、半導体窒化物層、または半導体酸窒化物層などの誘電体層を成長させることができる。幾つかの態様において、誘電体層は二酸化シリコン(または二酸化ケイ素)を含み、それはシリコンハンドル基板の表側面を酸化することで形成され得る。これは、熱酸化(堆積された半導体材料膜のある部分が消費されることになる熱酸化)および/またはCVD酸化物堆積および/または原子層堆積によって達成され得る。幾つかの態様では、半導体ハンドル基板は、ASM A400などの炉で熱酸化されてよい。酸化環境では、温度は750℃~1100℃の範囲となり得る。酸化環境の雰囲気は、ArまたはNなどの不活性ガスとOとの混合物であり得る。酸素含有量は、1~10%の範囲またはそれよりも高い範囲で変動し得る。幾つかの態様において、酸化環境の雰囲気は、最大で100%となる酸素であってよい(「ドライ酸化」)。幾つかの態様では、酸化環境の雰囲気は、酸素およびアンモニアであり、それは酸窒化シリコン(または酸窒化ケイ素)の堆積に好適である。幾つかの態様において、環境雰囲気は、ArまたはNなどの不活性ガスと、Oなどの酸化ガスと、水蒸気との混合物を含んでいてよい(「ウェット酸化」)。幾つかの態様では、環境雰囲気は、ArまたはNなどの不活性ガスと、Oなどの酸化ガスと、水蒸気(「ウェット酸化」)と、アンモニアなどの窒化ガスとの混合物を含んでいてよい。幾つかの態様では、環境雰囲気は、ArまたはNなどの不活性ガスとアンモニアなどの窒化ガスとの混合物を含んで成っていてよく、それは、窒化シリコン(または窒化ケイ素)の堆積に好適である。例示的な態様として、半導体ハンドル・ウエハは、A400などの垂直型炉内に仕込んでよい。温度は、NとOとの混合物を用いて酸化温度にまで上げられる。所望の温度において、水蒸気がガス流に導入される。所望の酸化物厚さが得られたら、水蒸気およびOをオフにし、炉温度を下げて、ウエハを炉から取り出す。表側面、裏側面またはその双方における酸化層は、約100オングストローム~約100000オングストローム、約100オングストローム~約10000オングストローム、約100オングストローム~約1000オングストロームであってよく、例えば、約100オングストローム~約700オングストローム、約100オングストローム~約500オングストローム、または約100オングストローム~約250オングストロームであってよい。 In some embodiments, the front and back surfaces of the single crystal semiconductor handle substrate, or both the front and back surfaces, are subjected to a process such as an oxidation process, such as a semiconductor oxide layer, a semiconductor nitride layer, or a semiconductor. A dielectric layer such as an oxynitride layer can be grown. In some embodiments, the dielectric layer comprises silicon dioxide (or silicon dioxide), which can be formed by oxidizing the front side surface of the silicon handle substrate. This can be achieved by thermal oxidation (thermal oxidation where some portion of the deposited semiconductor material film will be consumed) and / or CVD oxide deposition and / or atomic layer deposition. In some embodiments, the semiconductor handle substrate may be thermally oxidized in a furnace such as ASM A400. In an oxidizing environment, the temperature can range from 750 ° C to 1100 ° C. The atmosphere of the oxidizing environment can be a mixture of an inert gas such as Ar or N 2 and O 2 . Oxygen content can vary in the range of 1-10% or higher. In some embodiments, the atmosphere of the oxidizing environment may be up to 100% oxygen (“dry oxidation”). In some embodiments, the atmosphere of the oxidizing environment is oxygen and ammonia, which is suitable for the deposition of silicon nitride (or silicon nitride). In some embodiments, the environmental atmosphere may include a mixture of an inert gas such as Ar or N 2 , an oxidizing gas such as O 2 and water vapor (“wet oxidation”). In some embodiments, the environmental atmosphere comprises a mixture of an inert gas such as Ar or N 2 , an oxidizing gas such as O 2 , water vapor (“wet oxidation”), and a nitride gas such as ammonia. good. In some embodiments, the environmental atmosphere may consist of a mixture of an inert gas such as Ar or N 2 and a nitride gas such as ammonia, which is suitable for the deposition of silicon nitride (or silicon nitride). be. As an exemplary embodiment, the semiconductor handle wafer may be charged in a vertical furnace such as A400. The temperature is raised to the oxidation temperature using a mixture of N 2 and O 2 . At the desired temperature, water vapor is introduced into the gas stream. Once the desired oxide thickness is obtained, steam and O 2 are turned off, the furnace temperature is lowered and the wafer is removed from the furnace. The oxide layer on the front side, the back side, or both may be about 100 angstroms to about 100,000 angstroms, about 100 angstroms to about 10,000 angstroms, about 100 angstroms to about 1000 angstroms, and may be, for example, about 100 angstroms to about 700 angstroms. , About 100 angstroms to about 500 angstroms, or about 100 angstroms to about 250 angstroms.

幾つかの態様において、酸化層(oxidation layer)は比較的薄く、約5オングストローム~約25オングストロームであり、例えば約10オングストローム~約15オングストロームである。SC1/SC2洗浄溶液などの標準洗浄溶液にさらすことによって、半導体ウエハの両面に薄い酸化物層を得ることができる。幾つかの態様において、SC1溶液は、5部の脱イオン水、1部のNHOH水溶液(水酸化アンモニウム、29重量%のNH)、および1部のH水溶液(過酸化水素、30%)を含んで成る。幾つかの態様では、ハンドル基板は、SC2溶液などの酸化剤を含む水溶液にさらすことで酸化されてよい。幾つかの態様において、SC2溶液は、5部の脱イオン水、1部のHCl水溶液(塩酸、39重量%)、および1部のH水溶液(過酸化水素、30%)を含んで成る。 In some embodiments, the oxidation layer is relatively thin, ranging from about 5 angstroms to about 25 angstroms, such as about 10 angstroms to about 15 angstroms. Thin oxide layers can be obtained on both sides of the semiconductor wafer by exposure to a standard cleaning solution such as the SC1 / SC2 cleaning solution. In some embodiments, the SC1 solution is 5 parts deionized water, 1 part NH 4 OH aqueous solution (ammonium hydroxide, 29 wt% NH 3 ), and 1 part H 2 O 2 aqueous solution (hydrogen peroxide). , 30%). In some embodiments, the handle substrate may be oxidized by exposure to an aqueous solution containing an oxidizing agent, such as an SC2 solution. In some embodiments, the SC2 solution comprises 5 parts deionized water, 1 part HCl aqueous solution (hydrochloric acid, 39% by weight), and 1 part H2O 2 aqueous solution (hydrogen peroxide, 30%). Become.

(II)トラップ・リッチ層
本発明の方法では、多結晶またはアモルファスの半導体材料を含んで成るトラップ・リッチ層が、単結晶半導体ハンドル・ウエハの露出された表側面に堆積される。半導体オン・インシュレータ・デバイスにおけるトラップ・リッチ層形成の使用に適した半導体材料は、製造されるデバイスで高欠陥層の形成が好適に可能である。かかる材料には、多結晶半導体材料およびアモルファス半導体材料が含まれる。多結晶またはアモルファスの材料は、シリコン(Si)、シリコン・ゲルマニウム(SiGe)、カーボンをドープしたシリコン(SiC)、およびゲルマニウム(Ge)を含む。多結晶シリコンは、ランダムな結晶方位を有する小さなシリコン結晶を含んで成る材料を表す。多結晶シリコン粒子は、サイズが約20ナノメートルと小さいものであってよい。本発明の方法では、堆積される多結晶シリコンの結晶粒子サイズが小さくなるほど、トラップ・リッチ層における欠陥率が高くなる。アモルファス・シリコンは、短いレンジおよび長いレンジのオーダー(short range and long range order)を欠く非結晶性のアロトロピック形態のシリコンを含む。約10ナノメートル以下の結晶性を有するシリコン粒子もまた本質的にアモルファスと見なされ得る。シリコン・ゲルマニウムは、シリコン(またはケイ素)とゲルマニウムとの任意のモル比のシリコン・ゲルマニウムの合金を含んで成る。炭素をドープしたシリコンは、シリコンおよび炭素の化合物を含み、シリコンと炭素とのモル比が異なっていてよい。多結晶シリコン・トラップ・リッチ層の抵抗率は、少なくとも100Ω・cm、少なくとも約500Ω・cm、少なくとも約1000Ω・cm、さらには、少なくとも約3000Ω・cmであり、例えば約100Ω・cm~約100000Ω・cm、または、約500Ω・cm~約100000Ω・cm、または、約1000Ω・cm~約100000Ω・cm、約500Ω・cm~約10000Ω・cm、または、約750Ω・cm~約10000Ω・cm、約1000Ω・cm~約10000Ω・cm、約2000Ω・cm~約10000Ω・cm、約3000Ω・cm~約10000Ω・cm、または、約3000Ω・cm~約8000Ω・cmである。
(II) Trap-rich layer In the method of the present invention, a trap-rich layer containing a polycrystalline or amorphous semiconductor material is deposited on the exposed front side surface of a single crystal semiconductor handle wafer. Semiconductor materials suitable for use in trap-rich layer formation in semiconductor-on-insulator devices are capable of forming high defect layers in manufactured devices. Such materials include polycrystalline semiconductor materials and amorphous semiconductor materials. Polycrystalline or amorphous materials include silicon (Si), silicon germanium (SiGe), carbon-doped silicon (SiC), and germanium (Ge). Polycrystalline silicon represents a material comprising small silicon crystals with random crystal orientations. The polycrystalline silicon particles may be as small as about 20 nanometers in size. In the method of the present invention, the smaller the crystal particle size of the deposited polysilicon, the higher the defect rate in the trap-rich layer. Amorphous silicon includes non-crystalline allotropic forms of silicon that lack a short range and long range order. Silicon particles with a crystallinity of about 10 nanometers or less can also be considered amorphous in nature. Silicon-germanium comprises an alloy of silicon (or silicon) and silicon-germanium in any molar ratio of germanium. The carbon-doped silicon contains a compound of silicon and carbon, and the molar ratio of silicon to carbon may be different. The resistance of the polycrystalline silicon trap rich layer is at least 100 Ω · cm, at least about 500 Ω · cm, at least about 1000 Ω · cm, and at least about 3000 Ω · cm, for example about 100 Ω · cm to about 100,000 Ω ·. cm, or about 500Ω ・ cm to about 100,000Ω ・ cm, or about 1000Ω ・ cm to about 100,000Ω ・ cm, about 500Ω ・ cm to about 10,000Ω ・ cm, or about 750Ω ・ cm to about 10000Ω ・ cm, about 1000Ω -Cm to about 10000 Ω-cm, about 2000 Ω-cm to about 10000 Ω-cm, about 3000 Ω-cm to about 10000 Ω-cm, or about 3000 Ω-cm to about 8000 Ω-cm.

単結晶半導体ハンドル・ウエハのオプション的に酸化される表側面への堆積のための材料は、当技術分野で知られている手段によって堆積させてよい。例えば、半導体材料は、有機金属化学蒸気堆積(MOCVD)、物理蒸気堆積(PVD)、化学蒸気堆積(CVD)、低圧化学蒸気堆積(LPCVD)、プラズマ増強化学蒸気堆積(PECVD)、または分子ビーム・エピタキシー(MBE)を用いて堆積させてよい。LPCVDまたはPECVDのためのシリコン前駆体には、とりわけ、メチルシラン、四水素化シリコン(シラン)、トリシラン、ジシラン、ペンタシラン、ネオペンタシラン、テトラシラン、ジクロロシラン(SiHCl)、四塩化シリコン(SiCl)が挙げられる。例えば、多結晶シリコンは、約550℃~約690℃(例えば約580℃~約650℃など)の温度範囲でシラン(SiH)を熱分解することによって、表面酸化層に堆積させてよい。チャンバー圧力は、約70~約400mTorrの範囲であってよい。アモルファス・シリコンは、一般的に約75℃~約300℃の範囲の温度でプラズマ増強化学蒸気堆積(PECVD)によって堆積させてよい。シリコン・ゲルマニウム、特にアモルファス・シリコンゲルマニウムは、イソブチルゲルマン、アルキルゲルマニウムトリクロリドおよびジメチルアミノゲルマニウムトリクロリドなどの有機ゲルマニウム化合物を含ませることによって化学蒸気堆積で約300℃までの温度で堆積させてよい。炭素をドープしたシリコンは、四塩化シリコンやメタンなどの前駆体を使用して、エピタキシャル反応器で熱プラズマ化学蒸気堆積で堆積させてよい。CVDまたはPECVDに適当な炭素前駆体には、とりわけ、メチルシラン、メタン、エタン、およびエチレンが挙げられる。LPCVD堆積に対して、メチルシランは炭素とシリコンとの双方を供するので、特に好ましい前駆体である。PECVD堆積に対して、好ましい前駆体としてはシランおよびメタンが挙げられる。幾つかの態様では、シリコン層は、原子ベースで約1%~約10%など、原子ベースで少なくとも約1%の炭素濃度を有して成っていてよい。 The material for the optional oxidation of the single crystal semiconductor handle wafer on the front side surface may be deposited by means known in the art. For example, semiconductor materials include metalorganic chemical vapor deposition (MOCVD), physical vapor deposition (PVD), chemical vapor deposition (CVD), low-pressure chemical vapor deposition (LPCVD), plasma-enhanced chemical vapor deposition (PECVD), or molecular beams. It may be deposited using epitaxy (MBE). Silicon precursors for LPCVD or PECVD include, among other things, methylsilane, silicon tetrahydride (silane), trisilane, disilane, pentasilane, neopentasilane, tetrasilane, dichlorosilane (SiH 2 Cl 2 ), silicon tetrachloride (SiCl). 4 ) can be mentioned. For example, polysilicon may be deposited on the surface oxide layer by thermally decomposing silane (SiH 4 ) in a temperature range of about 550 ° C to about 690 ° C (eg, about 580 ° C to about 650 ° C). The chamber pressure may be in the range of about 70 to about 400 mTorr. Amorphous silicon may be deposited by plasma-enhanced chemical vapor deposition (PECVD), generally at temperatures in the range of about 75 ° C to about 300 ° C. Silicon-germanium, particularly amorphous silicon-germanium, may be deposited at temperatures up to about 300 ° C. by chemical vapor deposition by including organogermanium compounds such as isobutyl germanium, alkyl germanium trichloride and dimethylamino germanium trichloride. Carbon-doped silicon may be deposited by thermal plasma chemical vapor deposition in an epitaxial reactor using precursors such as silicon tetrachloride and methane. Suitable carbon precursors for CVD or PECVD include, among others, methylsilane, methane, ethane, and ethylene. Methylsilane is a particularly preferred precursor for LPCVD deposition as it provides both carbon and silicon. Preferred precursors for PECVD deposition include silane and methane. In some embodiments, the silicon layer may consist of a carbon concentration of at least about 1% on an atomic basis, such as about 1% to about 10% on an atomic basis.

幾つかの態様では、トラップ・リッチ層の半導体材料の堆積は、トラップ・リッチ材料の多層を調製するために、一時的に中断されてよく、少なくとも1回、好ましくは2回以上一時的に中断されてよい。半導体材料膜の中間表面(interim surface)は、不活性雰囲気、酸化雰囲気、窒化雰囲気、または不動態化雰囲気にさらしてよく、それによって、堆積した半導体材料を毒化または不動態化してよい。換言すれば、本発明の方法は、半導体材料が堆積され、堆積が中断され、半導体材料の層が毒化または不動態化され、半導体材料の次の層が堆積される、といったサイクル・プロセスによって、トラップ・リッチ半導体材料の多層を堆積させることを含んで成り得る。幾つかの態様では、1つの不動態化された半導体層を有して成る多層が形成されてよく、1つの付加的な半導体層が堆積され、トラップ・リッチ層が形成されてよい。幾つかの態様では、多層は、トラップ・リッチ層で2以上の不動態化半導体層および1つの付加的な半導体層を有して成る。このようにトラップ・リッチ層を堆積させることによって、例えば、半導体材料の1つ以上の不動態化層または2つ以上の不動態化層、例えば半導体材料の少なくとも3つの不動態化層、または半導体材料の少なくとも4つの不動態化層を有して成る多層がハンドル基板上に堆積され、例えば、4~約100の不動態化層または4~約60の不動態化層または4~約50の不動態化層または4~約25の不動態化層または6~約20の不動態化層を有して成る多層がハンドル基板上に堆積される。多数の半導体層は、部分的にスループット要求によって、および、現在約20ナノメートルである堆積され得る最小の現実の層厚さによって、制限され堆積され得る。半導体材料のこれらの層の各々は、半導体オン・インシュレータの製造の高温プロセス中において、多層の各層における結晶粒成長が、従来プロセスの如く全体的なトラップ・リッチ層の厚さによって制限されるというよりも、不動態化された多層の厚さによって制限されるように、毒化または不動態化される。幾つかの態様では、半導体層は、第1半導体層を、窒素、亜酸化窒素、アンモニア(NH)、窒素プラズマ、およびそれらの任意の組合せなどの窒素含有ガスを含んで成る雰囲気にさらすことで不動態化させてよい。これに関して、半導体層が堆積される雰囲気は、窒素などの窒素含有ガスを含んでいてよく、堆積プロセスの終了およびそれに続いて行われるガスにさらす処理は、半導体層上に薄い不動態層を形成するのに十分であり得る。幾つかの態様では、それまでに堆積された半導体層の不動態化をもたらすために、チャンバーから堆積ガスの排出、窒素含有ガスを用いるチャンバーのパージを行ってよい。窒素にさらすことは、例えば、堆積した半導体層を窒化してよく、その結果、例えばわずか数オングストローム厚さの窒化シリコンの薄層の形成がもたらされる。代替的な不動態化法を用いてもよい。例えば、半導体層は、第1半導体層を、酸素、オゾン、水蒸気、またはそれらの任意の組合せなどの酸素含有ガスを含む雰囲気にさらすことで不動態化させてよい。このような態様に従って、半導体層上に形成され、その層の不動態化に十分な半導体酸化物の薄層が形成されてよい。例えば、シリコン酸化物の薄層が、多層における各層間に形成されてよい。酸化物層は、約1オングストローム~約20オングストロームの間、または約1オングストローム~約10オングストロームの間など、わずか数オングストロームの厚さを有していてよい。幾つかの態様では、窒素と酸素との双方を含んで成る空気は、不動態化ガスとして用いてよい。幾つかの態様では、半導体層は、水、過酸化物(例えば、過酸化水素溶液)、またはSC1溶液(NH:H:HO)から成る群から選択される液体に対して第1半導体層をさらすことで不動態化させてよい。 In some embodiments, the deposition of the semiconductor material in the trap-rich layer may be temporarily interrupted to prepare a multi-layer of trap-rich material, at least once, preferably two or more times. May be done. The interim surface of the semiconductor material film may be exposed to an inert atmosphere, an oxidizing atmosphere, a nitrided atmosphere, or a passivated atmosphere, thereby poisoning or passivating the deposited semiconductor material. In other words, the method of the invention is carried out by a cycle process in which the semiconductor material is deposited, the deposition is interrupted, the layer of the semiconductor material is poisoned or passivated, and the next layer of the semiconductor material is deposited. It can include depositing multiple layers of trap-rich semiconductor material. In some embodiments, a multilayer consisting of one passivated semiconductor layer may be formed, and one additional semiconductor layer may be deposited to form a trap-rich layer. In some embodiments, the multilayer consists of a trap-rich layer with two or more passivation semiconductor layers and one additional semiconductor layer. By depositing the trap-rich layer in this way, for example, one or more passivation layers or two or more passivation layers of the semiconductor material, for example, at least three passivation layers of the semiconductor material, or a semiconductor. A multilayer consisting of at least four passivation layers of the material is deposited on the handle substrate, eg, 4 to about 100 passivation layers or 4 to about 60 passivation layers or 4 to about 50 passivation layers. A multilayer consisting of a passivation layer or 4 to about 25 passivation layers or 6 to about 20 passivation layers is deposited on the handle substrate. A large number of semiconductor layers can be deposited, partially limited by throughput requirements and by the minimum actual layer thickness that can be deposited, which is currently about 20 nanometers. Each of these layers of semiconductor material is said to be limited by the overall trap-rich layer thickness as in the conventional process during the high temperature process of manufacturing semiconductor-on-insulators. Rather, it is poisoned or passivated so that it is limited by the thickness of the passivated multilayer. In some embodiments, the semiconductor layer exposes the first semiconductor layer to an atmosphere comprising a nitrogen-containing gas such as nitrogen, nitrogen sulfite, ammonia (NH 3 ), nitrogen plasma, and any combination thereof. May be demobilized with. In this regard, the atmosphere in which the semiconductor layer is deposited may contain a nitrogen-containing gas such as nitrogen, and the termination of the deposition process and subsequent exposure to the gas forms a thin passivation layer on the semiconductor layer. Can be enough to do. In some embodiments, the deposited gas may be discharged from the chamber and the chamber may be purged with a nitrogen-containing gas to result in the passivation of the previously deposited semiconductor layer. Exposure to nitrogen may, for example, nitride the deposited semiconductor layer, resulting in the formation of, for example, a thin layer of silicon nitride with a thickness of only a few angstroms. Alternative passivation methods may be used. For example, the semiconductor layer may be passivated by exposing the first semiconductor layer to an atmosphere containing an oxygen-containing gas such as oxygen, ozone, water vapor, or any combination thereof. According to such an embodiment, a thin layer of a semiconductor oxide formed on the semiconductor layer and sufficient for passivation of the layer may be formed. For example, a thin layer of silicon oxide may be formed between each layer of the multilayer. The oxide layer may have a thickness of only a few angstroms, such as between about 1 angstrom and about 20 angstroms, or between about 1 angstrom and about 10 angstroms. In some embodiments, air containing both nitrogen and oxygen may be used as the passivation gas. In some embodiments, the semiconductor layer is relative to a liquid selected from the group consisting of water, peroxides (eg, hydrogen peroxide solution), or SC1 solution (NH 3 : H 2 O 2 : H 2 O). It may be passivated by exposing the first semiconductor layer.

トラップ・リッチ層の全体の厚さは、約0.3マイクロメートル~約5マイクロメートル、例えば約0.3マイクロメートル~約3マイクロメートル、または約0.3マイクロメートル~約2マイクロメートル、または約2マイクロメートル~約3マイクロメートルであってよい。 The overall thickness of the trap-rich layer is from about 0.3 micrometers to about 5 micrometers, for example about 0.3 micrometers to about 3 micrometers, or about 0.3 micrometers to about 2 micrometers, or It may be from about 2 micrometers to about 3 micrometers.

幾つかの態様では、トラップ・リッチ層の堆積に続いて、トラップ・リッチ層の表面に誘電体層が形成される。幾つかの態様では、単一の半導体ハンドル基板(例えば、単結晶シリコン・ハンドル基板)が酸化され、トラップ・リッチ層上に半導体酸化物(例えば、二酸化シリコン)膜を形成する。幾つかの態様では、トラップ・リッチ層(例えば、多結晶膜など)は、熱酸化(堆積した半導体材料膜のある部分が消費されることになる熱酸化)させてよく、または半導体酸化物(例えば二酸化シリコン)の膜をCVD酸化物堆積によって成長させてもよい。多結晶またはアモルファスのトラップ・リッチ層(例えば、多結晶またはアモルファスのシリコン・トラップ・リッチ層)と接触している酸化物層(例えば、二酸化シリコン層)は、約0.1マイクロメートル~約10マイクロメートルの厚さを有してよく、例えば約0.1マイクロメートル~約4マイクロメートルの厚さ、約0.1マイクロメートル~約2マイクロメートルの厚さ、または約0.1マイクロメートル~約1マイクロメートルの厚さを有していてよい。酸化プロセスは、単結晶半導体ハンドル・ウエハの裏側面をさらに酸化し、シリコンと二酸化シリコンとの異なる熱膨張係数に起因して潜在的に引き起こされるたわみおよび反りが有利に減じられる。 In some embodiments, the deposition of the trap-rich layer is followed by the formation of a dielectric layer on the surface of the trap-rich layer. In some embodiments, a single semiconductor handle substrate (eg, a single crystal silicon handle substrate) is oxidized to form a semiconductor oxide (eg, silicon dioxide) film on the trap-rich layer. In some embodiments, the trap-rich layer (eg, polycrystalline film) may be thermally oxidized (thermal oxidation that would consume some portion of the deposited semiconductor material film), or a semiconductor oxide (eg, a semiconductor oxide). For example, a film of silicon dioxide) may be grown by CVD oxide deposition. The oxide layer (eg, silicon dioxide layer) in contact with the polycrystalline or amorphous trap-rich layer (eg, polycrystalline or amorphous silicon trap-rich layer) is from about 0.1 micrometer to about 10. It may have a thickness of micrometers, eg, a thickness of about 0.1 micrometer to about 4 micrometers, a thickness of about 0.1 micrometer to about 2 micrometers, or a thickness of about 0.1 micrometer to It may have a thickness of about 1 micrometer. The oxidation process further oxidizes the backside of the single crystal semiconductor handle wafer, favorably reducing the deflection and warpage potentially caused by the different coefficients of thermal expansion of silicon and silicon dioxide.

(III)結合構造の準備
次いで、フロートゾーン法に従って調製された単結晶シリコン・ンドル・ウエハなどの単結晶半導体ハンドル・ウエハを、常套的な層転写法に従って調製された単結晶半導体ドナー・ウエハに対して結合させる。好適な態様では、単結晶半導体ドナー・ウエハは、シリコン(またはケイ素)、シリコンカーバイド、シリコン・ゲルマニウム、ガリウムヒ素、ガリウム窒化物、インジウムホスファイド、インジウムガリウムヒ素、ゲルマニウム、およびそれらの組合せから成る群から選択される材料を含んで成る。ドナー・ウエハは、フロートゾーン法またはチョクラルスキー法で調製されたインゴットからスライスされてよい。ウエハ厚さは、約100マイクロメートル~約5000マイクロメートルの間で変わり得るものであり、例えば約100マイクロメートル~約1500マイクロメートルの間、約250マイクロメートル~約1500マイクロメートルの間で変わり得、約300マイクロメートル~約1000マイクロメートルの間など好適には約500マイクロメートル~約1000マイクロメートルの間で変わり得る。幾つかの具体的な態様では、ウエハ厚さは約725マイクロメートルであってよい。幾つかの態様では、ウエハ厚さは約775マイクロメートルであってよい。最終的な集積回路デバイスの所望特性に応じて、単結晶半導体(例えば、シリコン)ドナー・ウエハは、ホウ素(p型)、ガリウム(p型)、アルミニウム(p型)、インジウム(p型)、リン(n型)、アンチモン(n型)、ヒ素(n型)などの電気的に活性なドーパントを含んで成っていてよい。単結晶半導体(例えばシリコン)のドナー・ウエハの抵抗率は、1~100Ω・cm、1~50Ω・cm、5~25Ω・cmの範囲であってよい。単結晶半導体ドナー・ウエハは、酸化、注入、および注入後の洗浄(またはクリーニング)を含む標準的なプロセス工程に付してよい。したがって、エッチングおよび研磨され、そしてオプションとして酸化される単結晶半導体ドナー・ウエハは、イオン注入に付され、ドナー基板にダメージ層を形成する。
(III) Preparation of Bonded Structure Next, a single crystal semiconductor handle wafer such as a single crystal silicon ndle wafer prepared according to the float zone method is transferred to a single crystal semiconductor donor wafer prepared according to a conventional layer transfer method. Combine against. In a preferred embodiment, the single crystal semiconductor donor wafer is a group consisting of silicon (or silicon), silicon carbide, silicon germanium, gallium arsenide, gallium nitride, indium phosphide, indium gallium arsenide, germanium, and combinations thereof. Consists of materials selected from. Donor wafers may be sliced from ingots prepared by the float zone method or the Czochralski method. Wafer thickness can vary between about 100 micrometers and about 5000 micrometers, eg, between about 100 micrometers and about 1500 micrometers, and between about 250 micrometers and about 1500 micrometers. , Such as between about 300 micrometers and about 1000 micrometers, preferably between about 500 micrometers and about 1000 micrometers. In some specific embodiments, the wafer thickness may be about 725 micrometers. In some embodiments, the wafer thickness may be about 775 micrometers. Depending on the desired properties of the final integrated circuit device, single crystal semiconductor (eg, silicon) donor wafers may be boron (p-type), gallium (p-type), aluminum (p-type), indium (p-type), It may contain an electrically active dopant such as phosphorus (n-type), antimony (n-type), and arsenic (n-type). The resistivity of the donor wafer of a single crystal semiconductor (for example, silicon) may be in the range of 1 to 100 Ω · cm, 1 to 50 Ω · cm, 5 to 25 Ω · cm. Single crystal semiconductor donor wafers may be subjected to standard process steps including oxidation, injection, and cleaning (or cleaning) after injection. Therefore, single crystal semiconductor donor wafers that are etched, polished, and optionally oxidized are subjected to ion implantation to form a damaged layer on the donor substrate.

幾つかの態様では、単結晶半導体ドナー・ウエハは誘電体層を有して成る。誘電体層は、単結晶半導体ドナー・ウエハの表側面に形成される1つまたはそれよりも多い絶縁層を有して成っていてよい。絶縁層は、二酸化シリコン、窒化シリコン、および酸窒化シリコンから成る群から選択される材料を含んで成っていてよい。幾つかの態様では、絶縁層は、Al、AlN、またはそれらの組合せから成る群から選択される材料を含んで成っていてよい。幾つかの態様では、他の構成も本発明の範囲内であるものの、誘電体層は、絶縁材料の複数の層(またはマルチプルな層)を有して成る。各絶縁層は、二酸化シリコン、窒化シリコン、および酸窒化シリコンから成る群から選択される材料を含んでいてよい。幾つかの態様では、誘電体層は、絶縁材料の3つの層を有して成り、二酸化シリコン、窒化シリコン、および二酸化シリコンをこの順で有して成る。各絶縁層の厚さは、少なくとも約10ナノメートルの厚さであってよく、例えば、約10ナノメートル~約10000ナノメートル、約10ナノメートル~約5000ナノメートル、50ナノメートル~約400ナノメートル、または約100ナノメートル~約400ナノメートルなどであってよく、例えば、約50ナノメートル、100ナノメートル、または200ナノメートルなどであってよい。 In some embodiments, the single crystal semiconductor donor wafer comprises a dielectric layer. The dielectric layer may consist of one or more insulating layers formed on the front side surface of the single crystal semiconductor donor wafer. The insulating layer may comprise a material selected from the group consisting of silicon dioxide, silicon nitride, and silicon oxynitride. In some embodiments, the insulating layer may comprise a material selected from the group consisting of Al 2 O 3 , Al N, or a combination thereof. In some embodiments, the dielectric layer comprises multiple layers (or multiple layers) of insulating material, although other configurations are also within the scope of the present invention. Each insulating layer may contain a material selected from the group consisting of silicon dioxide, silicon nitride, and silicon oxynitride. In some embodiments, the dielectric layer comprises three layers of insulating material, silicon dioxide, silicon nitride, and silicon dioxide in that order. The thickness of each insulating layer may be at least about 10 nanometers, for example, about 10 nanometers to about 10,000 nanometers, about 10 nanometers to about 5000 nanometers, 50 nanometers to about 400 nanometers. It may be meters, or about 100 nanometers to about 400 nanometers, and may be, for example, about 50 nanometers, 100 nanometers, or 200 nanometers.

イオン注入は、市販の機器によって行ってよく、例えば、アプライドマテリアルズ(Applied Materials)のクアンタムII(Quantum II)、クアンタムH(Quantum H)、クアンタムLEAP(Quantum LEAP)またはクアンタムX(Quantum X)で行ってよい。注入されるイオンには、He、H、Hまたはそれらの組合せが挙げられる。イオン注入は、半導体ドナー基板にダメージ層を形成するのに十分な密度および持続時間で実行される。注入密度は、約1012イオン/cm~約1017イオン/cmであってよく、例えば約1014イオン/cm~約1017イオン/cm、または約1015イオン/cm~約1017イオン/cm、または約1016イオン/cm~約1017イオン/cmであってよい。注入エネルギーは、約10keV~約3000keVなど、約1keV~約3000keVの範囲であってよい。注入エネルギーは、約1keV~約3000keVであってよく、例えば約5keV~約1000keV、約5keV~約200keV、または約5keV~約100keV、約5keV~約80keVであってよい。注入深さは、SOIプロセスにおいてハンドルに移される単結晶半導体デバイス層の厚さを決定付ける。イオンは、約100オングストローム~約30000オングストロームの深さにまで注入されてよく、例えば、約200オングストローム~約20000オングストロームの深さ、約2000オングストローム~約15000オングストロームの深さ、約15000オングストローム~約30000オングストロームの深さにまで注入されてよい。幾つかの態様では、注入後、単結晶半導体ドナー・ウエハ(例えば単結晶シリコンドナー・ウエハ)を洗浄に付すことが望ましい場合がある。幾つかの好適な態様では、洗浄として、ピラニア洗浄(Piranha clean)とそれに続くDI水リンスおよびSC1/SC2洗浄を挙げることができる。 Ion implantation may be performed by commercially available equipment, such as Applied Materials' Quantum II, Quantum H, Quantum LEAP or Quantum X. You may go. The ions to be injected include He, H, H 2 or a combination thereof. Ion implantation is performed at a density and duration sufficient to form a damaged layer on the semiconductor donor substrate. The injection density may be from about 10 12 ions / cm 2 to about 10 17 ions / cm 2 , for example about 10 14 ions / cm 2 to about 10 17 ions / cm 2 , or about 10 15 ions / cm 2 to. It may be about 10 17 ions / cm 2 , or about 10 16 ions / cm 2 to about 10 17 ions / cm 2 . The injection energy may be in the range of about 1 keV to about 3000 keV, such as about 10 keV to about 3000 keV. The injection energy may be from about 1 keV to about 3000 keV, for example about 5 keV to about 1000 keV, about 5 keV to about 200 keV, or about 5 keV to about 100 keV, about 5 keV to about 80 keV. The injection depth determines the thickness of the single crystal semiconductor device layer transferred to the handle during the SOI process. Ions may be injected to a depth of about 100 angstroms to about 30,000 angstroms, eg, about 200 angstroms to about 20,000 angstroms, about 2000 angstroms to about 15,000 angstroms, about 15,000 angstroms to about 30,000. It may be injected to the depth of angstrom. In some embodiments, it may be desirable to wash the single crystal semiconductor donor wafer (eg, single crystal silicon donor wafer) after injection. In some preferred embodiments, the wash can include a piranha clean followed by a DI water rinse and an SC1 / SC2 wash.

本発明の幾つかの態様では、He、H、H やそれらの任意の組合せのイオン注入によって形成されたイオン注入領域を有する単結晶半導体ドナー・ウエハは、単結晶半導体ドナー基板で熱活性化された劈開面を形成するのに十分な温度でアニールされる。適切なツールの例としては、Blue Mモデルなどの単純なボックス炉(Box furnace)が挙げられ得る。幾つかの好適な態様では、イオン注入された単結晶半導体ドナー基板は、約200℃~約350℃、約225℃~約325℃、好ましくは約300℃の温度でアニールされる。熱アニーリングは、約2時間~約10時間(例えば約2時間~約8時間など)の期間にわたって行われ得る。これらの温度範囲の熱アニーリングは、熱的に活性化される劈開面の形成に十分である。劈開面を活性化するための熱アニールの後、単結晶半導体ドナー基板面は、オプションとして洗浄される。 In some aspects of the invention, a single crystal semiconductor donor wafer having an ion implantation region formed by ion implantation of He + , H + , H 2+ or any combination thereof is a single crystal semiconductor donor substrate. It is annealed at a temperature sufficient to form a thermally activated open surface. An example of a suitable tool could be a simple Box furnace, such as the Blue M model. In some preferred embodiments, the ion-implanted single crystal semiconductor donor substrate is annealed at a temperature of about 200 ° C. to about 350 ° C., about 225 ° C. to about 325 ° C., preferably about 300 ° C. Thermal annealing can be performed over a period of about 2 hours to about 10 hours (eg, about 2 hours to about 8 hours, etc.). Thermal annealing in these temperature ranges is sufficient for the formation of thermally activated cleavage planes. After thermal annealing to activate the cleavage plane, the single crystal semiconductor donor substrate surface is optionally cleaned.

幾つかの態様では、イオン注入され、オプションとして洗浄およびオプションとしてアニールされた単結晶半導体ドナー・ウエハは、酸素プラズマおよび/または窒素プラズマの表面活性化にさらされる。幾つかの態様では、酸素プラズマ表面活性化ツールは、市販のツールであり、例えば、EVG(登録商標)810LTの低温プラズマ活性化システム(Low Temp Plasma Activation System)などEVグループから入手可能なツールである。イオンが注入され、オプションとして洗浄された単結晶半導体ドナー・ウエハは、チャンバー内に仕込まれる。チャンバー内を排気し、大気圧未満の圧力にまでチャンバーをOにより充填し直し、それによってプラズマの生成を行う。単結晶半導体ドナー・ウエハは、かかるプラズマに所望の時間(約1秒~約120秒となり得る所望の時間)さらされる。単結晶半導体ドナー基板の表側面を親水性にし、上述の方法に従って調製された単結晶半導体ハンドル基板に結合しやすくするために酸素プラズマ表面酸化が行われる。 In some embodiments, the ion-implanted, optionally cleaned and optionally annealed single crystal semiconductor donor wafer is exposed to surface activation of an oxygen plasma and / or a nitrogen plasma. In some embodiments, the oxygen plasma surface activation tool is a commercially available tool, eg, a tool available from the EV Group, such as the EVG® 810LT Low Temp Plasma Activation System. be. The single crystal semiconductor donor wafer, which is ion-injected and optionally washed, is charged into the chamber. The chamber is evacuated and the chamber is refilled with O 2 to a pressure below atmospheric pressure, thereby generating plasma. The single crystal semiconductor donor wafer is exposed to such plasma for a desired time (a desired time which can be from about 1 second to about 120 seconds). Oxygen plasma surface oxidation is performed to make the front side surface of the single crystal semiconductor donor substrate hydrophilic so that it can be easily bonded to the single crystal semiconductor handle substrate prepared according to the above method.

次いで、単結晶半導体ドナー・ウエハの親水性表側面と単結晶半導体ハンドル・ウエハの表側面とを密接に接触させて、結合構造を形成する。本発明の方法では、単結晶半導体ドナー・ウエハの表側面および単結晶半導体ハンドル・ウエハの表側面の各々は、1つまたはそれよりも多い絶縁層を有して成るものであってよい。絶縁層は、結合構造の誘電体層を構成する。 Next, the hydrophilic front side surface of the single crystal semiconductor donor wafer and the front side surface of the single crystal semiconductor handle wafer are brought into close contact with each other to form a bonded structure. In the method of the present invention, each of the front side surface of the single crystal semiconductor donor wafer and the front side surface of the single crystal semiconductor handle wafer may have one or more insulating layers. The insulating layer constitutes a dielectric layer having a bonded structure.

機械的結合は比較的弱い場合があるので、単結晶半導体ドナー・ウエハと単結晶半導体ハンドル・ウエハとの間の結合を固めるために、結合構造をさらにアニールしてよい。本発明の幾つかの態様では、結合構造が、単結晶半導体ドナー基板で熱的に活性化された劈開面を形成するのに十分な温度でアニールされる。適切なツールの例としては、Blue Mモデルなどの単純なボックス炉であり得る。幾つかの態様では、結合構造は、約200℃~約400℃、約300℃~約400℃、例えば約350℃~約400℃の温度でアニールされる。 Since the mechanical bond may be relatively weak, the bond structure may be further annealed to solidify the bond between the single crystal semiconductor donor wafer and the single crystal semiconductor handle wafer. In some aspects of the invention, the bonded structure is annealed at a temperature sufficient to form a thermally activated cleavage plane in the single crystal semiconductor donor substrate. An example of a suitable tool could be a simple box furnace such as the Blue M model. In some embodiments, the bond structure is annealed at a temperature of about 200 ° C. to about 400 ° C., about 300 ° C. to about 400 ° C., such as about 350 ° C. to about 400 ° C.

幾つかの態様では、アニールは、約0.5MPa~約200MPaなどの比較的高い圧力で行われ、例えば、約0.5MPa~約100MPa、約0.5MPa~約50MPa、または、約0.5MPa~約10MPa、または、約0.5MPa~約5MPaで行われ得る。常套的な結合方法では、温度は熱劈開によって制限され易い。これは、注入面におけるプレートレット圧力が外部の等方圧(external isostatic pressure)を超える場合にもたらされる。したがって、常套的なアニールは、熱開裂のため、約350℃~約400℃の結合温度に制限され得る。注入および結合の後、ウエハ同士は一体的に弱く保持される。しかしながら、ウエハ間のギャップは、ガスの侵入または漏れを防ぐのに十分である。弱い結合は熱処理によって強化できるものの、注入中に形成されたキャビティはガスで満たされる。加熱の間、キャビティ内のガスの加圧がなされる。圧力は、注入量に依存して0.2~1GPaに達し得ると見積もられる(Cherkashin等、J.Appl.Phys.118、245301(2015年))。圧力が臨界値を超えると、層が剥離する。これは、サーマル・クリーブ(thermal cleave)と呼ばれる。これはアニールにおいてより高い温度またはより長い時間となることを防ぐ。本発明の幾つかの態様では、結合は、例えば約0.5MPa~約200MPaの高い圧力で生じ、例えば約0.5MPa~約100MPa、または、約0.5MPa~約50MPa、または、約0.5MPa~約10MPa、約0.5MPa~約5MPaなどの高い圧力で生じ、それによって、高い温度での結合を可能にする。幾つかの態様では、結合構造は、約300℃~約700℃、約400℃~約600℃の温度でアニールされ、例えば約400℃~約450℃、約450℃~約600℃または約350℃~約450℃の温度でアニールされる。サーマル・バジェット(thermal budget)を増やすと、結合強度にプラスの効果を与えることになる。熱アニールは、約0.5時間~約10時間、例えば約0.5時間~約3時間、好ましくは約2時間となる時間で行われ得る。このような温度範囲内の熱アニールは、熱的に活性化された劈開面の形成に十分である。常套的な結合アニールでは、ロール・オフ(roll off)によりハンドル・ウエハとドナー・ウエハとの双方のエッジが大きく離れ得る。かかる領域では層転写はない。それはテラス(terrace)と呼ばれる。加圧された結合は、このテラスを減じ、SOI層をエッジに向かってさらに延びることが予想される。このメカニズムは、トラップされた空気ポケットが圧縮され、外側に「ジッパー」することに基づいている。劈開面を活性化する熱アニールの後、結合構造が劈開されてよい。 In some embodiments, the annealing is performed at a relatively high pressure, such as about 0.5 MPa to about 200 MPa, for example, about 0.5 MPa to about 100 MPa, about 0.5 MPa to about 50 MPa, or about 0.5 MPa. It can be carried out at ~ about 10 MPa, or about 0.5 MPa to about 5 MPa. In the conventional bonding method, the temperature is likely to be limited by cleavage. This is brought about when the platelet pressure on the injection surface exceeds the external isostatic pressure. Therefore, conventional annealing can be limited to a bonding temperature of about 350 ° C to about 400 ° C due to thermal cleavage. After pouring and bonding, the wafers are held together weakly together. However, the gaps between the wafers are sufficient to prevent gas ingress or leakage. The cavities formed during injection are filled with gas, although weak bonds can be strengthened by heat treatment. During heating, the gas in the cavity is pressurized. It is estimated that the pressure can reach 0.2-1 GPa depending on the injection volume (Cherkashin et al., J. Appl. Phys. 118, 245301 (2015)). When the pressure exceeds the critical value, the layer peels off. This is called a thermal cleave. This prevents higher temperatures or longer times in annealing. In some aspects of the invention, the bond occurs at a high pressure, eg, about 0.5 MPa to about 200 MPa, eg, about 0.5 MPa to about 100 MPa, or about 0.5 MPa to about 50 MPa, or about 0. It occurs at high pressures such as 5 MPa to about 10 MPa, about 0.5 MPa to about 5 MPa, thereby allowing coupling at high temperatures. In some embodiments, the bond structure is annealed at temperatures of about 300 ° C. to about 700 ° C., about 400 ° C. to about 600 ° C., eg, about 400 ° C. to about 450 ° C., about 450 ° C. to about 600 ° C. or about 350 ° C. It is annealed at a temperature of ° C to about 450 ° C. Increasing the thermal budget will have a positive effect on bond strength. Thermal annealing can be performed for about 0.5 hours to about 10 hours, such as about 0.5 hours to about 3 hours, preferably about 2 hours. Thermal annealing within such a temperature range is sufficient for the formation of thermally activated cleavage planes. In conventional bond annealing, roll off can cause the edges of both the handle wafer and the donor wafer to be significantly separated. There is no layer transfer in such areas. It is called the terrace. Pressurized bonds are expected to reduce this terrace and extend the SOI layer further towards the edges. This mechanism is based on the trapped air pockets being compressed and "zipped" outward. After thermal annealing to activate the cleavage plane, the bond structure may be cleavaged.

熱アニール後、単結晶半導体ドナー・ウエハと単結晶半導体ハンドル・ウエハとの間の結合は、劈開面で結合構造の劈開を通じた層転写の開始に十分に強い。劈開は、当該技術分野で知られている技術に従って行われてよい。幾つかの態様では、結合構造は、片側が固定吸引カップ(stationary suction cup)に取り付けられ、反対側がヒンジ付きアームに追加の吸引カップにより取り付けられる常套的な劈開ステーションに配置されてよい。吸引カップ・アタッチメントの近くでクラックの開始が生じ、可動アームがヒンジを中心に回転してウエハを劈開する。劈開は、半導体ドナー・ウエハの一部を除去し、それにより、半導体オン・インシュレータ複合構造20上に単結晶半導体デバイス層26(好ましくはシリコン・デバイス層)を残す。図2を参照のこと。 After thermal annealing, the bond between the single crystal semiconductor donor wafer and the single crystal semiconductor handle wafer is strong enough to initiate layer transfer through cleavage of the bonded structure at the cleavage plane. Cleavage may be performed according to techniques known in the art. In some embodiments, the coupling structure may be placed in a conventional cleavage station where one side is attached to a stationary suction cup and the other side is attached to a hinged arm with an additional suction cup. A crack begins near the suction cup attachment and the movable arm rotates around the hinge to cleave the wafer. Cleavage removes a portion of the semiconductor donor wafer, thereby leaving the single crystal semiconductor device layer 26 (preferably the silicon device layer) on the semiconductor on-insulator composite structure 20. See FIG.

劈開後、その劈開された構造は、移されたデバイス層26と単結晶半導体ハンドル・ウエハ22との間の結合をさらに強化すべく、高温アニールに付されてよい。適切なツールの例は、ASM A400などの垂直型炉(vertical furnace)であってよい。幾つか好適な態様では、結合構造は、約1000℃~約1200℃、好ましくは約1000℃の温度でアニールされる。熱アニールは、約0.5時間~約8時間、好ましくは約4時間の期間行われてよい。これらの温度範囲にある熱アニールは、移されたデバイス層と単結晶半導体ハンドル基板との間の結合を強化するのに十分である。 After cleavage, the cleavage structure may be subjected to high temperature annealing to further strengthen the bond between the transferred device layer 26 and the single crystal semiconductor handle wafer 22. An example of a suitable tool may be a vertical furnace such as the ASM A400. In some preferred embodiments, the bond structure is annealed at a temperature of about 1000 ° C to about 1200 ° C, preferably about 1000 ° C. Thermal annealing may be carried out for a period of about 0.5 hours to about 8 hours, preferably about 4 hours. Thermal annealing in these temperature ranges is sufficient to strengthen the bond between the transferred device layer and the single crystal semiconductor handle substrate.

劈開および高温アニールの後、結合構造は、表面から薄い熱酸化物を除去して粒粒物を洗浄するように設計された洗浄プロセスに付されてよい。幾つかの態様では、単結晶半導体デバイス層は、キャリアガスとしてHを用いる水平流シングル・ウエハ・エピタキシャル反応器において気相HClエッチ・プロセスに付され、所望の厚さおよび滑らかさを有するようにされてよい。幾つかの態様では、半導体デバイス層26は、約20ナノメートル~約3マイクロメートルの厚さを有していてよく、例えば、約20ナノメートル~約2マイクロメートル、例えば、約20ナノメートル~約1.5マイクルメートル、または約1.5マイクロメートル~約3マイクロメートルの厚さを有していてよい。 After cleavage and high temperature annealing, the bonding structure may be subjected to a cleaning process designed to remove thin thermal oxides from the surface and clean the granules. In some embodiments, the single crystal semiconductor device layer is subjected to a gas phase HCl etching process in a horizontal flow single wafer epitaxial reactor using H 2 as the carrier gas to have the desired thickness and smoothness. May be made. In some embodiments, the semiconductor device layer 26 may have a thickness of about 20 nanometers to about 3 micrometers, eg, about 20 nanometers to about 2 micrometers, eg, about 20 micrometers. It may have a thickness of about 1.5 micrometers, or about 1.5 micrometers to about 3 micrometers.

幾つかの態様では、エピタキシャル層が、移された単結晶半導体デバイス層26上に堆積されてよい。堆積されたエピタキシャル層は、下にある単結晶半導体デバイス層26と実質的に同じ電気的特性を有して成っていてよい。別法にて、エピタキシャル層は、下にある単結晶半導体デバイス層26と異なる電気的特性を有して成っていてもよい。エピタキシャル層は、シリコン、シリコンカーバイド、シリコン・ゲルマニウム、ガリウムヒ素、ガリウム窒化物、インジウムホスファイド、インジウムガリウムヒ素、ゲルマニウム、およびそれらの組合せから成る群から選択される材料を含んで成っていてよい。最終的な集積回路デバイスの所望特性に応じて、エピタキシャル層は、ホウ素(p型)、ガリウム(p型)、アルミニウム(p型)、インジウム(p型)、リン(n型)、アンチモン(n型)、およびヒ素(n型)などの電気的に活性なドーパントを含んで成っていてよい。エピタキシャル層の抵抗率は、1~1050Ω・cm、1~50Ω・cmであってよく、典型的には5~25Ω・cmであってよい。幾つかの態様では、エピタキシャル層は、約20ナノメートル~約3マイクロメートルの厚さを有していてよく、例えば約20ナノメートル~約2マイクロメートル、約20ナノメートル~約1.5マイクロメートル、または約1.5マイクロメートル~約3マイクロメートルの厚さを有していてよい。 In some embodiments, the epitaxial layer may be deposited on the transferred single crystal semiconductor device layer 26. The deposited epitaxial layer may consist of substantially the same electrical properties as the underlying single crystal semiconductor device layer 26. Alternatively, the epitaxial layer may have electrical properties different from those of the underlying single crystal semiconductor device layer 26. The epitaxial layer may comprise a material selected from the group consisting of silicon, silicon carbide, silicon-germanium, gallium arsenide, gallium nitride, indium phosphide, indium gallium arsenide, germanium, and combinations thereof. Depending on the desired properties of the final integrated circuit device, the epitaxial layer may be boron (p-type), gallium (p-type), aluminum (p-type), indium (p-type), phosphorus (n-type), antimony (n-type). It may contain an electrically active dopant such as type) and arsenic (n type). The resistivity of the epitaxial layer may be 1 to 1050 Ω · cm, 1 to 50 Ω · cm, and typically 5 to 25 Ω · cm. In some embodiments, the epitaxial layer may have a thickness of about 20 nanometers to about 3 micrometers, eg, about 20 nanometers to about 2 micrometers, about 20 nanometers to about 1.5 micrometers. It may have a thickness of meters, or about 1.5 micrometers to about 3 micrometers.

完成したSOI多層構造は、単結晶半導体ハンドル・ウエハ22、トラップ・リッチ層28、誘電体層24、および半導体デバイス層26を有して成っていてよく、次いで、ライン計測検査の最後に付され、典型的なSC1-SC2プロセスを用いて最終的な時点で洗浄に付されてよい。このように、本発明は、トラップ・リッチ層、および、窒素ドープの高抵抗率(20kΩ・cmよりも大きい抵抗率)のフロートゾーン材料で作成されたハンドル基板を有して成るSOI多層構造を対象としている。フロートゾーン・ウエハは、典型的なBEOLアニールに亘って標準的なチョクラルスキー・ハンドル・ウエハよりも良好な抵抗率安定性を呈したものとなる。FZプロセスを用いて達成できるより高い抵抗率は、伝統的なCZシリコン材料では容易に達成できないような基板RF損失、クロストークおよび高調波ひずみのステップ変化状の改善を可能にする。我々のCPW構造での-110dBmのHD2を有するFZのCTLSOI基板の第1のデモンストレーションを我々は報告する。 The completed SOI multilayer structure may consist of a single crystal semiconductor handle wafer 22, a trap rich layer 28, a dielectric layer 24, and a semiconductor device layer 26, which are then added at the end of the line measurement inspection. , Can be subjected to cleaning at the final point using a typical SC1-SC2 process. Thus, the present invention comprises an SOI multilayer structure comprising a trap-rich layer and a handle substrate made of a nitrogen-doped high resistivity (resistivity greater than 20 kΩ · cm) float zone material. It is targeted. Float zone wafers exhibit better resistivity stability than standard Czochralski handle wafers over a typical BEOL annealing. The higher resistivity that can be achieved using the FZ process allows for the improvement of substrate RF loss, crosstalk and harmonic strain step changes that cannot be easily achieved with traditional CZ silicon materials. We report a first demonstration of an FZ CTLSOI substrate with -110 dBm HD2 in our CPW structure.

本発明は、更に以下の実施例によって説明される(なお、かかる実施例は発明を制限するものではない)。 The present invention is further described by the following examples (note that such examples do not limit the invention).

実施例1. フロートゾーン・ウエハ
かかる試験では、商業的に成長させた200mmの高抵抗率の窒素ドープのフロートゾーン結晶から得られるウエハを用いた。ウエハの抵抗率は、20kΩ・cmよりも大きいものであった。ウエハ内の酸素濃度は、1×1016原子/cm未満であった。ウエハの機械的強度のために異なるレベルの窒素を有するウエハが評価されたところ、窒素濃度は0.5×1014/cm~3×1014/cmの範囲であった。次いで、FZウエハにはその上にトラップ・リッチ層を堆積させた。次いで、ウエハは、高容量製造(HVM)プロセス・フロー下でSOIウエハへと処理された。SOIウエハ製造フローの最後では、ウエハはKLAテンコールSP1を用いた表面検査、平坦性、ADE9700を用いた形状測定、スリップ検査を含む標準的な品質検査に付した。
Example 1. Float Zone Wafer In this test, wafers obtained from commercially grown 200 mm high resistivity nitrogen-doped float zone crystals were used. The resistivity of the wafer was larger than 20 kΩ · cm. The oxygen concentration in the wafer was less than 1 × 10 16 atoms / cm3 . Nitrogen concentrations ranged from 0.5 × 10 14 / cm 3 to 3 × 10 14 / cm 3 when wafers with different levels of nitrogen were evaluated due to the mechanical strength of the wafer. Next, a trap-rich layer was deposited on the FZ wafer. The wafers were then processed into SOI wafers under a high capacity manufacturing (HVM) process flow. At the end of the SOI wafer manufacturing flow, the wafers were subjected to standard quality inspections including surface inspection using KLA Tencol SP1, flatness, shape measurement using ADE9700, and slip inspection.

実施例2.スリップ・ストレス試験
低い窒素濃度およびより高い窒素濃度を有するフロートゾーン法で調製したハンドル基板を有するように製造されたSOIウエハは、ウエハに対して半径方向の熱勾配を変えて急速熱プロセス(RTP)熱サイクルを模すことによって、高められた熱ストレス試験に付した。かかる熱ストレス試験の目的は、ウエハに意図的にスリップを誘発するためであり、FZハンドル基板を有するSOI構造とチョクラルスキー(CZ)法によって調製したハンドル基板を有するSOIウエハとの機械的強度のロバスト性を試験した。格子間酸素Oiを~3.5PPMA(新ASTM)有するCZウエハ上に調製したSOI構造は、制御ウエハとして含ませた。FZハンドル基板を有するUHR SOI構造は、引き続いて行われるデバイス製造プロセス・フローで安全処理に適切と考えられる誘発された熱勾配がスリップ・フリーの“ウインドウ”(slip free “window”)を示したが、より高い酸素のCZウエハに対するものほど全く広いウインドウではなかった。図6を参照されたい。図6は、フロートゾーン法またはチョクラルスキー法を用いてハンドル基板が作成されるSOI多層構造のスリップ・ウインドウを比べている。かかるウエハで試験された窒素範囲内では、より高いN濃度で僅かな向上が見られる。
Example 2. Slip Stress Test SOI wafers manufactured to have handle substrates prepared by the float zone method with low and higher nitrogen concentrations are subjected to a rapid thermal process (RTP) with varying radial thermal gradients with respect to the wafer. ) Submitted to an enhanced thermal stress test by mimicking the thermal cycle. The purpose of such a thermal stress test is to intentionally induce slippage on the wafer, and the mechanical strength of the SOI structure having an FZ handle substrate and the SOI wafer having a handle substrate prepared by the Czochralski (CZ) method. Was tested for robustness. The SOI structure prepared on a CZ wafer having interstitial oxygen Oi of ~ 3.5PPMA (new ASTM) was included as a control wafer. The UHR SOI structure with the FZ handle substrate showed a slip-free “window” with an induced thermal gradient that was considered appropriate for safe processing in the subsequent device manufacturing process flow. However, the window was not as wide as that for higher oxygen CZ wafers. See FIG. FIG. 6 compares an SOI multilayer slip window in which a handle substrate is made using the float zone method or the Czochralski method. Within the nitrogen range tested on such wafers, a slight improvement is seen at higher N concentrations.

実施例3.抵抗率の安定性
拡がり抵抗プロファイル(SRP)測定はラインの最後に行い、ウエハの抵抗率安定性を調べた。酸素を含むチョクラルスキー(CZ)法で調製したハンドル基板を有するSOIウエハの抵抗率は、350℃~500℃の範囲の温度でサーマル・ダブル・ドナーを成し、発生割合がおよそ450℃で強くピークとなる。これは、かかる温度範囲にしばしば収まるBEOL金属アニールプロセスの間でハンドル・ウエハでの抵抗率の低下につながり得る。FZハンドル基板を有するSOI構造は、酸素を事実上有していないので、このような抵抗率変化には影響を受けない。図7を参照されたい。図7は、予想された如く、FZハンドル基板を有するSOI構造の抵抗率が450℃の1時間のアニール前後で同じに維持されることを示している。付加的な事項として、FZハンドル基板は窒素ドープのものであるので、仮に酸素と窒素が存在しているならCZシリコンで予測されるであろうN-O関連ドナーが形成されていないことを確認するために600℃の1時間のアニールが行われた。
Example 3. Resistivity Stability The Spread Resistivity Profile (SRP) measurement was performed at the end of the line to examine the resistivity stability of the wafer. The resistivity of an SOI wafer having a handle substrate prepared by the Czochralski (CZ) method containing oxygen forms a thermal double donor at a temperature in the range of 350 ° C. to 500 ° C., and the generation rate is about 450 ° C. It peaks strongly. This can lead to reduced resistivity on the handle wafers during the BEOL metal annealing process, which often falls within such temperature ranges. The SOI structure with the FZ handle substrate is virtually free of oxygen and is therefore unaffected by such resistivity changes. See FIG. 7. FIG. 7 shows that, as expected, the resistivity of the SOI structure with the FZ handle substrate remains the same before and after 1 hour annealing at 450 ° C. As an additional matter, since the FZ handle substrate is nitrogen-doped, confirm that the NO-related donors that would be expected with CZ silicon if oxygen and nitrogen were present were not formed. An annealing was performed at 600 ° C. for 1 hour.

実施例4.高調波ひずみ
FZハンドル基板を有する幾つかのSOI構造に対して無線周波数(RF)試験を行った。SOIウエハの最上部シリコン層を除去し(湿式化学エッチングを通じて除去し)、同一平面状の導波路構造をBOX層上に直接的に作成した。第2高調波ひずみ(HD2)および第3高調波ひずみ(HD3)が35dBmまでの入力(input power)に対して測定した。結果の半径方向の均一性を決定するために、デバイスはウエハの直径にわたって試験された。そして、結果については、チョクラルスキー法で調製されたハンドル基板を有するSOIウエハ上の同様に作製された平面状の導波路構造と比較した。
Example 4. Radio frequency (RF) tests were performed on several SOI structures with harmonic strain FZ handle substrates. The top silicon layer of the SOI wafer was removed (removed through wet chemical etching) to create a coplanar waveguide structure directly on the BOX layer. Second harmonic distortion (HD2) and third harmonic distortion ( HD3 ) were measured for inputs up to 35 dBm. The device was tested over the diameter of the wafer to determine the radial uniformity of the results. The results were then compared to a similarly produced planar waveguide structure on an SOI wafer with a handle substrate prepared by the Czochralski method.

図8は、チョクラルスキー法で調製されたハンドル基板を有する我々の第1世代および第2世代SOIウエハのHD2性能を示している。かかるウエハについてピン(Pin)=15dBmでのHD2性能は、それぞれ-80dBmおよび-90dBmである。一方、FZハンドル基板を有するSOI構造のHD2は、ピン=15dBmで-110dBmの値へと20dBmの劇的な改善を示している。性能の差は、典型的なCZウエハとZウエハとの間の抵抗率の差によって引き起こされる。HD2は、ウエハの多数のサイトで測定されたが、HD2の強い半径方向変動はみられなかった。FZハンドル基板を有するSOI構造は、チョクラルスキー法で調製されたハンドル基板を有するSOIウエハよりも一貫してより良い性能を呈すものであった。 FIG. 8 shows the HD2 performance of our 1st and 2nd generation SOI wafers with handle substrates prepared by the Czochralski method. For such wafers, the HD2 performance at Pin = 15 dBm is −80 dBm and −90 dBm, respectively. On the other hand, HD2 with an SOI structure having an FZ handle substrate shows a dramatic improvement of 20 dBm to a value of -110 dBm at pin = 15 dBm. The difference in performance is caused by the difference in resistivity between typical CZ wafers and Z wafers. HD2 was measured at many sites on the wafer, but no strong radial variation of HD2 was observed. The SOI structure with the FZ handle substrate consistently performed better than the SOI wafer with the handle substrate prepared by the Czochralski method.

本開示またはその態様の要素について言及される場合、「ある(a)」、「ある(an)」、「その(the)」、および「上記(said)」などの冠詞は、1またはそれよりも多い要素を意味することを意図している。「有して成る/含んで成る(comprising)」、「含む(including)」、および「有する(having)」の用語は、包含的なものであることを意味しており、挙げられた要素以外の追加要素も存在し得ることを意味している。 When referring to elements of this disclosure or aspects thereof, articles such as "is", "an", "the", and "said" are one or more. It is intended to mean many elements. The terms "comprising," "including," and "having" mean inclusive and other than those listed. It means that additional elements of can also exist.

本開示の範囲から逸脱することなく、上述した事項について種々の変更を行うことができるので、上記説明に含まれ図面に示される全ての事項は、例示的なものとして解され、限定的な意味で解されないことを意図している。 Since various changes can be made to the above-mentioned matters without departing from the scope of the present disclosure, all the matters included in the above description and shown in the drawings are understood as exemplary and have a limited meaning. It is intended not to be understood by.

Claims (31)

多層構造であって、
2つの略平行な主面と、周縁エッジと、中心面とを有して成る単結晶シリコン・ウエハ・ハンドル基板であって、前記2つの略平行な主面の一方が、前記単結晶シリコン・ウエハ・ハンドル基板の表側面であり、前記2つの略平行な主面の他方が、前記単結晶シリコン・ウエハ・ハンドル基板の裏側面である前記単結晶シリコン・ウエハ・ハンドル基板、
前記単結晶シリコン・ウエハ・ハンドル基板の前記表側面と界面接触しているトラップ・リッチ層と、
前記トラップ・リッチ層と界面接触している誘電層と、
前記誘電層と界面接触している単結晶半導体デバイス層と、
を有して成り、
前記単結晶シリコン・ウエハ・ハンドル基板において、前記周縁エッジは、前記単結晶シリコン・ウエハ・ハンドル基板の前記表側面と前記裏側面とを繋いており、前記単結晶シリコン・ウエハ・ハンドル基板の前記中心面が前記単結晶シリコン・ウエハ・ハンドル基板の前記表側面と前記裏側面との間にあり、
前記単結晶シリコン・ウエハ・ハンドル基板は、少なくとも約7500Ω・cmのバルク抵抗率と、約1×1016原子/cm未満の格子間酸素濃度と、少なくとも約1×1013原子/cmの窒素濃度とを有し、さらに
前記単結晶シリコン・ウエハ・ハンドル基板は、1×10 12 原子/cm 未満の濃度でp型ドーパントを含んで成り、さらに、酸素サーマルダブルドナー、ニュードナー、過剰サーマルドナーまたはこれらの任意の組合せのドナーの濃度が、前記p型ドーパントの濃度より少なくとも一桁小さく、
前記トラップ・リッチ層は、約1000Ω・cmよりも大きな抵抗率を有し、
前記多層構造が、15dBmの無線入力にて-90dBmよりも良好な第2高調波ひずみのHD2値を示す、多層構造。
It has a multi-layer structure
A single crystal silicon wafer handle substrate having two substantially parallel main surfaces, a peripheral edge, and a central surface, and one of the two substantially parallel main surfaces is the single crystal silicon. The single crystal silicon wafer handle substrate, which is the front surface of the wafer handle substrate and the other of the two substantially parallel main surfaces is the back surface of the single crystal silicon wafer handle substrate.
A trap-rich layer in interface contact with the front side surface of the single crystal silicon wafer handle substrate,
The dielectric layer in interface contact with the trap-rich layer and
A single crystal semiconductor device layer that is in interfacial contact with the dielectric layer,
Made up of
In the single crystal silicon wafer handle substrate, the peripheral edge connects the front side surface and the back side surface of the single crystal silicon wafer handle substrate, and the single crystal silicon wafer handle substrate said. The central surface is between the front side surface and the back side surface of the single crystal silicon wafer handle substrate.
The single crystal silicon wafer handle substrate has a bulk resistivity of at least about 7500 Ω · cm, an interstitial oxygen concentration of less than about 1 × 10 16 atoms / cm 3 , and at least about 1 × 10 13 atoms / cm 3 . Has a nitrogen concentration of
The single crystal silicon wafer handle substrate comprises a p-type dopant at a concentration of less than 1 × 10 12 atoms / cm3 , plus an oxygen thermal double donor, a new donor, an excess thermal donor or any combination thereof. The concentration of the donor is at least an order of magnitude lower than the concentration of the p-type dopant.
The trap-rich layer has a resistivity greater than about 1000 Ω · cm and has a resistivity greater than about 1000 Ω · cm.
The multilayer structure shows an HD2 value of a second harmonic distortion better than −90 dBm at a radio input of 15 dBm .
前記単結晶シリコン・ウエハ・ハンドル基板は、フロートゾーン法で成長させた単結晶シリコン・インゴットからスライスされたシリコン・ウエハを有して成る、請求項1に記載の多層構造。 The multilayer structure according to claim 1, wherein the single crystal silicon wafer handle substrate has a silicon wafer sliced from a single crystal silicon ingot grown by a float zone method. 前記フロートゾーン法で成長させた単結晶シリコン・インゴットからスライスされたシリコン・ウエハは、少なくとも約150mmの径を有する、請求項2に記載の多層構造。 The multilayer structure according to claim 2, wherein the silicon wafer sliced from the single crystal silicon ingot grown by the float zone method has a diameter of at least about 150 mm. 前記フロートゾーン法で成長させた単結晶シリコン・インゴットからスライスされたシリコン・ウエハは、少なくとも約200mmの径を有する、請求項2に記載の多層構造。 The multilayer structure according to claim 2, wherein the silicon wafer sliced from the single crystal silicon ingot grown by the float zone method has a diameter of at least about 200 mm. 前記単結晶シリコン・ウエハ・ハンドル基板は、少なくとも約10000Ω・cmのバルク抵抗率を有する、請求項1~4のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 4, wherein the single crystal silicon wafer handle substrate has a bulk resistivity of at least about 10,000 Ω · cm. 前記単結晶シリコン・ウエハ・ハンドル基板は、少なくとも約15000Ω・cmのバルク抵抗率を有する、請求項1~4のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 4, wherein the single crystal silicon wafer handle substrate has a bulk resistivity of at least about 15,000 Ω · cm. 前記単結晶シリコン・ウエハ・ハンドル基板は、少なくとも約20000Ω・cmのバルク抵抗率を有する、請求項1~4のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 4, wherein the single crystal silicon wafer handle substrate has a bulk resistivity of at least about 20000 Ω · cm. 前記単結晶シリコン・ウエハ・ハンドル基板は、約100000Ω・cm未満のバルク抵抗率を有する、請求項1~4のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 4, wherein the single crystal silicon wafer handle substrate has a bulk resistivity of less than about 100,000 Ω · cm. 前記単結晶シリコン・ウエハ・ハンドル基板は、1×1011ドナー/cm未満の過剰サーマルドナー濃度を有する、請求項1~のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 8 , wherein the single crystal silicon wafer handle substrate has an excess thermal donor concentration of less than 1 × 10 11 donors / cm3 . 前記単結晶シリコン・ウエハ・ハンドル基板は、5×1010ドナー/cm未満の過剰サーマルドナー濃度を有する、請求項1~のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 8 , wherein the single crystal silicon wafer handle substrate has an excess thermal donor concentration of less than 5 × 10 10 donors / cm3 . 前記単結晶シリコン・ウエハ・ハンドル基板は、1×1011原子/cm未満の濃度でp型ドーパンを含んで成り、
さらに、酸素サーマルダブルドナー、ニュードナーおよび過剰サーマルドナーまたはこれらの任意の組合せのドナーの濃度が、前記p型ドーパントの濃度より少なくとも一桁小さい、請求項1~10のいずれか1項に記載の多層構造。
The single crystal silicon wafer handle substrate comprises p-type dopan at a concentration of less than 1 × 10 11 atoms / cm3 .
Further, the one according to any one of claims 1 to 10 , wherein the concentration of the oxygen thermal double donor, the new donor and the excess thermal donor or any combination thereof is at least an order of magnitude smaller than the concentration of the p-type dopant. Multi-layer structure.
前記単結晶シリコン・ウエハ・ハンドル基板は、約1×1015原子/cm未満の格子間酸素濃度を有する、請求項1~11のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 11 , wherein the single crystal silicon wafer handle substrate has an interstitial oxygen concentration of about 1 × 10 15 atoms / cm3 or less. 前記単結晶シリコン・ウエハ・ハンドル基板は、少なくとも約1×1014原子/cmの窒素濃度を有する、請求項1~12のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 12 , wherein the single crystal silicon wafer handle substrate has a nitrogen concentration of at least about 1 × 10 14 atoms / cm3 . 前記単結晶シリコン・ウエハ・ハンドル基板は、約3×1015原子/cm未満の窒素濃度を有する、請求項1~12のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 12 , wherein the single crystal silicon wafer handle substrate has a nitrogen concentration of about 3 × 10 15 atoms / cm3 or less. 前記単結晶シリコン・ウエハ・ハンドル基板は、約1×1015原子/cm未満の窒素濃度を有する、請求項1~12のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 12 , wherein the single crystal silicon wafer handle substrate has a nitrogen concentration of about 1 × 10 15 atoms / cm3 or less. 前記単結晶シリコン・ウエハ・ハンドル基板は、約7×1014原子/cm未満の窒素濃度を有する、請求項1~12のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 12 , wherein the single crystal silicon wafer handle substrate has a nitrogen concentration of about 7 × 10 14 atoms / cm3 or less. 前記単結晶シリコン・ウエハ・ハンドル基板は、約5×1014原子/cmと約2×1015原子/cmとの間の窒素濃度を有する、請求項1~12のいずれか1項に記載の多層構造。 The single crystal silicon wafer handle substrate has a nitrogen concentration between about 5 × 10 14 atoms / cm 3 and about 2 × 10 15 atoms / cm 3 , according to any one of claims 1 to 12 . Described multi-layer structure. 前記トラップ・リッチ層は、1以上の多結晶半導体層を有して成り、該1以上の多結晶半導体層の各々が、シリコン、SiGe、SiCおよびGeから成る群から選択される材料を含んで成る、請求項1~17のいずれか1項に記載の多層構造。 The trap-rich layer comprises one or more polycrystalline semiconductor layers, each of which comprises a material selected from the group consisting of silicon, SiGe, SiC and Ge. The multilayer structure according to any one of claims 1 to 17 . 前記トラップ・リッチ層は、1以上のアモルファス半導体層を有して成り、該1以上のアモルファス半導体層の各々が、シリコン、SiGe、SiCおよびGeから成る群から選択される材料を含んで成る、請求項1~17のいずれか1項に記載の多層構造。 The trap-rich layer comprises one or more amorphous semiconductor layers, each of which comprises a material selected from the group consisting of silicon, SiGe, SiC and Ge. The multilayer structure according to any one of claims 1 to 17 . 前記トラップ・リッチ層は、約3000Ω・cmよりも大きな抵抗率を有する、請求項1~19のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 19 , wherein the trap-rich layer has a resistivity larger than about 3000 Ω · cm. 前記トラップ・リッチ層は、約2000Ω・cmと約10000Ω・cmとの間の抵抗率を有する、請求項1~19のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 19 , wherein the trap-rich layer has a resistivity between about 2000 Ω · cm and about 10000 Ω · cm. 前記トラップ・リッチ層は、約3000Ω・cmと約10000Ω・cmとの間の抵抗率を有する、請求項1~19のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 19 , wherein the trap-rich layer has a resistivity between about 3000 Ω · cm and about 10000 Ω · cm. 前記トラップ・リッチ層は、約3000Ω・cmと約5000Ω・cmとの間の抵抗率を有する、請求項1~19のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 19 , wherein the trap-rich layer has a resistivity between about 3000 Ω · cm and about 5000 Ω · cm. 前記トラップ・リッチ層は、約0.1マイクロメートルと約50マイクロメートルとの間の厚みを有する、請求項1~23のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 23 , wherein the trap-rich layer has a thickness between about 0.1 micrometer and about 50 micrometers. 前記トラップ・リッチ層は、約0.1マイクロメートルと約20マイクロメートルとの間の厚みを有する、請求項1~23のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 23 , wherein the trap-rich layer has a thickness between about 0.1 micrometer and about 20 micrometers. 前記トラップ・リッチ層は、約0.1マイクロメートルと約10マイクロメートルとの間の厚みを有する、請求項1~23のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 23 , wherein the trap-rich layer has a thickness between about 0.1 micrometer and about 10 micrometers. 前記トラップ・リッチ層は、約0.5マイクロメートルと約5マイクロメートルとの間の厚みを有する、請求項1~23のいずれか1項に記載の多層構造。 The multilayer structure according to any one of claims 1 to 23 , wherein the trap-rich layer has a thickness between about 0.5 micrometer and about 5 micrometers. 前記誘電層が、二酸化シリコン、窒化シリコン、酸窒化シリコン、酸化ハフニウム、酸化チタン、酸化ジルコニウム、酸化ランタン、酸化バリウム、酸化アルミニウム、窒化アルミニウムおよびこれらの任意の組合せから成る群から選択される材料を含んで成る、請求項1~27のいずれか1項に記載の多層構造。 A material whose dielectric layer is selected from the group consisting of silicon dioxide, silicon nitride, silicon oxynitride, hafnium oxide, titanium oxide, zirconium oxide, lanthanum oxide, barium oxide, aluminum oxide, aluminum nitride and any combination thereof. The multilayer structure according to any one of claims 1 to 27 , which comprises. 前記誘電層が、二酸化シリコン、窒化シリコン、酸窒化シリコン、酸化ハフニウム、酸化チタン、酸化ジルコニウム、酸化ランタン、酸化バリウムおよびこれらの任意の組合せから成る群から選択される材料を含んで成る、請求項1~27のいずれか1項に記載の多層構造。 The dielectric layer comprises a material selected from the group consisting of silicon dioxide, silicon nitride, silicon oxynitride, hafnium oxide, titanium oxide, zirconium oxide, lanthanum oxide, barium oxide and any combination thereof. The multilayer structure according to any one of 1 to 27 . 前記多層構造が、15dBmの無線入力にて-100dBmよりも良好な第2高調波ひずみのHD2値を示す、請求項1に記載の多層構造。The multilayer structure according to claim 1, wherein the multilayer structure exhibits an HD2 value of a second harmonic distortion better than -100 dBm at a radio input of 15 dBm. 前記多層構造が、15dBmの無線入力にて-110dBmよりも良好な第2高調波ひずみのHD2値を示す、請求項1に記載の多層構造。The multilayer structure according to claim 1, wherein the multilayer structure exhibits an HD2 value of a second harmonic distortion better than −110 dBm at a radio input of 15 dBm.
JP2020573143A 2018-07-13 2019-07-11 Radio frequency silicon-on-insulator construction with excellent performance, stability and manufacturability Active JP7248711B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023039665A JP2023088940A (en) 2018-07-13 2023-03-14 Radio frequency silicon-on-insulator structure with superior performance, stability, and manufacturability

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862697474P 2018-07-13 2018-07-13
US62/697,474 2018-07-13
PCT/US2019/041345 WO2020014441A1 (en) 2018-07-13 2019-07-11 Radio frequency silicon on insulator structure with superior performance, stability, and manufacturability

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023039665A Division JP2023088940A (en) 2018-07-13 2023-03-14 Radio frequency silicon-on-insulator structure with superior performance, stability, and manufacturability

Publications (3)

Publication Number Publication Date
JP2021532570A JP2021532570A (en) 2021-11-25
JPWO2020014441A5 true JPWO2020014441A5 (en) 2022-07-20
JP7248711B2 JP7248711B2 (en) 2023-03-29

Family

ID=67303527

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2020572765A Active JP7275172B2 (en) 2018-07-13 2019-06-28 Radio frequency silicon-on-insulator wafer platform with superior performance, stability and manufacturability
JP2020573143A Active JP7248711B2 (en) 2018-07-13 2019-07-11 Radio frequency silicon-on-insulator construction with excellent performance, stability and manufacturability
JP2023039665A Pending JP2023088940A (en) 2018-07-13 2023-03-14 Radio frequency silicon-on-insulator structure with superior performance, stability, and manufacturability
JP2023075619A Active JP7470233B2 (en) 2018-07-13 2023-05-01 Radio Frequency Silicon-on-Insulator Wafer Platform with Superior Performance, Stability and Manufacturability

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2020572765A Active JP7275172B2 (en) 2018-07-13 2019-06-28 Radio frequency silicon-on-insulator wafer platform with superior performance, stability and manufacturability

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2023039665A Pending JP2023088940A (en) 2018-07-13 2023-03-14 Radio frequency silicon-on-insulator structure with superior performance, stability, and manufacturability
JP2023075619A Active JP7470233B2 (en) 2018-07-13 2023-05-01 Radio Frequency Silicon-on-Insulator Wafer Platform with Superior Performance, Stability and Manufacturability

Country Status (8)

Country Link
US (6) US10943813B2 (en)
EP (3) EP3821459B1 (en)
JP (4) JP7275172B2 (en)
KR (4) KR102551310B1 (en)
CN (3) CN112400224A (en)
SG (2) SG11202013101XA (en)
TW (5) TWI819956B (en)
WO (2) WO2020014007A1 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR3066858B1 (en) * 2017-05-23 2019-06-21 Soitec METHOD FOR MINIMIZING DISTORTION OF A SIGNAL IN A RADIO FREQUENCY CIRCUIT
US10943813B2 (en) 2018-07-13 2021-03-09 Globalwafers Co., Ltd. Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability
US11362176B2 (en) * 2020-05-28 2022-06-14 Taiwan Semiconductor Manufacturing Company Limited RFSOI semiconductor structures including a nitrogen-doped charge-trapping layer and methods of manufacturing the same
US11515397B2 (en) * 2020-07-21 2022-11-29 Globalfoundries U.S. Inc. III-V compound semiconductor layer stacks with electrical isolation provided by a trap-rich layer
FR3116151A1 (en) * 2020-11-10 2022-05-13 Commissariat A L'energie Atomique Et Aux Energies Alternatives METHOD FOR FORMING A USEFUL SUBSTRATE TRAPPING STRUCTURE
US11658177B2 (en) * 2020-12-07 2023-05-23 Globalfoundries U.S. Inc. Semiconductor device structures with a substrate biasing scheme
CN114023633A (en) * 2021-10-29 2022-02-08 苏州华太电子技术有限公司 Preparation method of gate oxide layer of silicon carbide device and silicon carbide device
JP2024070722A (en) * 2022-11-11 2024-05-23 信越半導体株式会社 Substrate for high frequency device and method for manufacturing same

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2617798B2 (en) 1989-09-22 1997-06-04 三菱電機株式会社 Stacked semiconductor device and method of manufacturing the same
JP2000082679A (en) 1998-07-08 2000-03-21 Canon Inc Semiconductor substrate and production thereof
DE60041309D1 (en) 1999-03-16 2009-02-26 Shinetsu Handotai Kk METHOD OF MANUFACTURING SILICON WAFER AND SILICON WAFER
US6653209B1 (en) 1999-09-30 2003-11-25 Canon Kabushiki Kaisha Method of producing silicon thin film, method of constructing SOI substrate and semiconductor device
JP2001278692A (en) 2000-03-29 2001-10-10 Shin Etsu Handotai Co Ltd Manufacturing method of silicon wafer and single crystal silicon
JP4463957B2 (en) * 2000-09-20 2010-05-19 信越半導体株式会社 Silicon wafer manufacturing method and silicon wafer
US6448152B1 (en) * 2001-02-20 2002-09-10 Silicon Genesis Corporation Method and system for generating a plurality of donor wafers and handle wafers prior to an order being placed by a customer
WO2002084728A1 (en) 2001-04-11 2002-10-24 Memc Electronic Materials, Inc. Control of thermal donor formation in high resistivity cz silicon
KR100745312B1 (en) * 2001-04-10 2007-08-01 엠이엠씨 일렉트로닉 머티리얼즈 인코포레이티드 Control of thermal donor formation in high resistivity cz silicon
KR100543252B1 (en) 2001-05-29 2006-01-20 신닛뽄세이테쯔 카부시키카이샤 Soi substrate
DE10131249A1 (en) 2001-06-28 2002-05-23 Wacker Siltronic Halbleitermat Production of a film or a layer of semiconductor material comprises producing structures of repeating recesses on the surface of a semiconductor material
KR100920862B1 (en) * 2001-12-21 2009-10-09 엠이엠씨 일렉트로닉 머티리얼즈 인코포레이티드 Process for making ideal oxygen precipitating silicon wafers with nitrogen/carbon stabilized oxygen precipitate nucleation centers
US7153757B2 (en) 2002-08-29 2006-12-26 Analog Devices, Inc. Method for direct bonding two silicon wafers for minimising interfacial oxide and stresses at the bond interface, and an SOI structure
DE102004021113B4 (en) 2004-04-29 2006-04-20 Siltronic Ag SOI disk and process for its production
US7473614B2 (en) * 2004-11-12 2009-01-06 Intel Corporation Method for manufacturing a silicon-on-insulator (SOI) wafer with an etch stop layer
CN101228301A (en) 2005-05-19 2008-07-23 Memc电子材料有限公司 High resistivity silicon structure and a process for the preparation thereof
JP4631717B2 (en) 2006-01-19 2011-02-16 株式会社Sumco Silicon single crystal wafer for IGBT and method for manufacturing silicon single crystal wafer for IGBT
MY157902A (en) 2006-05-19 2016-08-15 Memc Electronic Materials Controlling agglomerated point defect and oxygen cluster formation induced by the lateral surface of a silicon single crystal during cz growth
JP2008263087A (en) * 2007-04-12 2008-10-30 Shin Etsu Chem Co Ltd Method of manufacturing soi substrate
US20100193900A1 (en) 2007-07-13 2010-08-05 National University Corporation Tohoku University Soi substrate and semiconductor device using an soi substrate
SG161151A1 (en) 2008-10-22 2010-05-27 Semiconductor Energy Lab Soi substrate and method for manufacturing the same
US8263484B2 (en) * 2009-03-03 2012-09-11 Sumco Corporation High resistivity silicon wafer and method for manufacturing the same
US8389319B2 (en) * 2009-07-31 2013-03-05 Sri International SOI-based CMOS imagers employing flash gate/chemisorption processing
WO2012125632A1 (en) * 2011-03-16 2012-09-20 Memc Electronic Materials, Inc. Silicon on insulator structures having high resistivity regions in the handle wafer and methods for producing such structures
FR2973159B1 (en) * 2011-03-22 2013-04-19 Soitec Silicon On Insulator METHOD FOR MANUFACTURING BASE SUBSTRATE
JP2013129564A (en) * 2011-12-21 2013-07-04 Siltronic Ag Silicon single crystal substrate and method of manufacturing the same
WO2015003022A1 (en) 2013-07-01 2015-01-08 Solexel, Inc. High-throughput thermal processing methods for producing high-efficiency crystalline silicon solar cells
US9768056B2 (en) * 2013-10-31 2017-09-19 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity SOI wafers with charge trapping layers based on terminated Si deposition
US9853133B2 (en) 2014-09-04 2017-12-26 Sunedison Semiconductor Limited (Uen201334164H) Method of manufacturing high resistivity silicon-on-insulator substrate
EP3221884B1 (en) * 2014-11-18 2022-06-01 GlobalWafers Co., Ltd. High resistivity semiconductor-on-insulator wafers with charge trapping layers and method of manufacturing thereof
US10483152B2 (en) * 2014-11-18 2019-11-19 Globalwafers Co., Ltd. High resistivity semiconductor-on-insulator wafer and a method of manufacturing
WO2016140850A1 (en) * 2015-03-03 2016-09-09 Sunedison Semiconductor Limited Method of depositing charge trapping polycrystalline silicon films on silicon substrates with controllable film stress
US9881832B2 (en) * 2015-03-17 2018-01-30 Sunedison Semiconductor Limited (Uen201334164H) Handle substrate for use in manufacture of semiconductor-on-insulator structure and method of manufacturing thereof
JP6533309B2 (en) 2015-06-01 2019-06-19 サンエディソン・セミコンダクター・リミテッドSunEdison Semiconductor Limited Method of manufacturing multilayer structure
FR3037438B1 (en) * 2015-06-09 2017-06-16 Soitec Silicon On Insulator METHOD OF MANUFACTURING A SEMICONDUCTOR ELEMENT COMPRISING A LOAD TRAPPING LAYER
JP6447439B2 (en) * 2015-09-28 2019-01-09 信越半導体株式会社 Manufacturing method of bonded SOI wafer
US9831115B2 (en) * 2016-02-19 2017-11-28 Sunedison Semiconductor Limited (Uen201334164H) Process flow for manufacturing semiconductor on insulator structures in parallel
CN116314384A (en) 2016-06-08 2023-06-23 环球晶圆股份有限公司 High resistivity single crystal silicon ingot and wafer with improved mechanical strength
US10269617B2 (en) * 2016-06-22 2019-04-23 Globalwafers Co., Ltd. High resistivity silicon-on-insulator substrate comprising an isolation region
CN110178211B (en) * 2016-10-26 2022-12-13 环球晶圆股份有限公司 High resistivity silicon-on-insulator substrate with enhanced charge trapping efficiency
US10468295B2 (en) 2016-12-05 2019-11-05 GlobalWafers Co. Ltd. High resistivity silicon-on-insulator structure and method of manufacture thereof
US9984949B1 (en) * 2017-01-12 2018-05-29 International Business Machines Corporation Surface passivation having reduced interface defect density
JP6696917B2 (en) 2017-01-18 2020-05-20 信越化学工業株式会社 Manufacturing method of composite substrate
US10943813B2 (en) * 2018-07-13 2021-03-09 Globalwafers Co., Ltd. Radio frequency silicon on insulator wafer platform with superior performance, stability, and manufacturability
EP3623801B1 (en) * 2018-09-14 2022-11-02 Commissariat à l'Energie Atomique et aux Energies Alternatives Method for determining the thermal donor concentration of a semiconductor sample

Similar Documents

Publication Publication Date Title
JP7248711B2 (en) Radio frequency silicon-on-insulator construction with excellent performance, stability and manufacturability
KR102587815B1 (en) High resistivity silicon-on-insulator structure and method of manufacture thereof
JP2017538297A (en) Method for manufacturing high resistivity semiconductor-on-insulator wafer with charge trapping layer
JPWO2020014441A5 (en)
JPWO2020014007A5 (en)
TW202413746A (en) Method of preparing a multilayer structure