JPWO2015060069A1 - Fine pattern forming method, semiconductor device manufacturing method, substrate processing apparatus, and recording medium - Google Patents

Fine pattern forming method, semiconductor device manufacturing method, substrate processing apparatus, and recording medium Download PDF

Info

Publication number
JPWO2015060069A1
JPWO2015060069A1 JP2015543767A JP2015543767A JPWO2015060069A1 JP WO2015060069 A1 JPWO2015060069 A1 JP WO2015060069A1 JP 2015543767 A JP2015543767 A JP 2015543767A JP 2015543767 A JP2015543767 A JP 2015543767A JP WO2015060069 A1 JPWO2015060069 A1 JP WO2015060069A1
Authority
JP
Japan
Prior art keywords
film
silicon
substrate
gas
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015543767A
Other languages
Japanese (ja)
Inventor
島本 聡
聡 島本
菊池 俊之
俊之 菊池
由上 二郎
二郎 由上
義朗 廣瀬
義朗 廣瀬
優一 和田
優一 和田
健司 金山
健司 金山
芦原 洋司
洋司 芦原
亀田 賢治
賢治 亀田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Publication of JPWO2015060069A1 publication Critical patent/JPWO2015060069A1/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、前記サイドウォール形成工程後に、エッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、を含む微細パターン形成方法を、前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去するように構成する。A core pattern forming step for forming a core pattern with a predetermined line width on the substrate surface side, a side wall forming step for forming a side wall with respect to the core pattern formed in the core pattern forming step, and after the side wall forming step, And a core pattern removing step of removing the core pattern using an etching gas while leaving the sidewalls, in the core pattern removing step, in parallel with the removal of the core pattern. The film deposited on the back side of the substrate in the core pattern forming step is removed.

Description

本発明は、エッチング工程を用いた微細パターンの形成技術、及びこの技術を用いる半導体装置の製造方法及び基板処理装置並びに記録媒体に関するものである。   The present invention relates to a technique for forming a fine pattern using an etching process, a method for manufacturing a semiconductor device using this technique, a substrate processing apparatus, and a recording medium.

半導体装置においては、更なる高集積化を図る為にパターンの微細化が進められている。微細パターンを実現するために、エッチング工程を用いた様々な手法(例えば特許文献1参照)が検討されている。これらの手法を活用することで、極細の溝や柱を有するパターンを形成することが可能となる。   In semiconductor devices, pattern miniaturization is being promoted in order to achieve higher integration. In order to realize a fine pattern, various methods using an etching process (for example, see Patent Document 1) have been studied. By utilizing these methods, it is possible to form a pattern having extremely fine grooves and columns.

近年、トランジスタのスケーリングと共にトランジスタの素子寸法は縮小し、従来用いられてきた平板型トランジスタ構造では、微細化限界によりトランジスタ性能向上が見込めなくなってきた。この限界を打開する方法として、例えばFin−FETsと呼ばれる3D構造のトランジスタが実用化されている。このFin−FETのSi−Finのパターンでは、22nm世代以降において、最先端の液浸ArFリソグラフィ技術での解像限界寸法未満の微細ピッチが要求されている。更に、ゲート電極のパターンピッチにおいても、14nm世代以降において、同様の解像限界寸法未満の微細ピッチが要求されている。   In recent years, transistor dimensions have been reduced along with transistor scaling, and it has become impossible to expect improvement in transistor performance due to the miniaturization limit in a conventionally used flat transistor structure. As a method for overcoming this limitation, for example, a 3D transistor called Fin-FETs has been put into practical use. In the Si-Fin pattern of the Fin-FET, after the 22 nm generation, a fine pitch less than the resolution limit dimension in the most advanced immersion ArF lithography technology is required. Further, with respect to the pattern pitch of the gate electrode, a fine pitch less than the same resolution limit dimension is required after the 14 nm generation.

このような微細パターンの形成技術として、リソグラフィ及びドライエッチングで形成したコアパターンの側壁にサイドウォールを形成し、このサイドウォールをマスクとして加工を行うことで解像限界未満の寸法を形成するセルフアラインダブルパターン技術(Self-Align Double Patterning:以下SADP法と略述する)が用いられている。   As a technique for forming such a fine pattern, a self-alignment is performed in which a sidewall is formed on the side wall of a core pattern formed by lithography and dry etching, and a dimension less than the resolution limit is formed by processing using the sidewall as a mask. A double pattern technique (Self-Align Double Patterning: hereinafter abbreviated as SADP method) is used.

一般的なSADP法として、以下のプロセスが用いられる。 例えば、Si(シリコン)基板上に、SiO膜(シリコン酸化膜)、Si膜(シリコン窒化膜)、アモルファスSi膜、カーボンハードマスク(CHM)、Si反射防止膜を、この順に積層し多層膜を形成する。そして、この多層膜上にフォトレジストを塗布した後、リソグラフィ技術で露光し、解像限界以上の線幅のレジストパターンを形成する。その後、ドライエッチングによりSi反射防止膜とCHM膜を、レジストパターンと同じ線幅に加工する。The following process is used as a general SADP method. For example, a SiO 2 film (silicon oxide film), a Si 3 N 4 film (silicon nitride film), an amorphous Si film, a carbon hard mask (CHM), and an Si antireflection film are laminated in this order on a Si (silicon) substrate. A multilayer film is formed. And after apply | coating a photoresist on this multilayer film, it exposes with a lithography technique and forms the resist pattern of the line width more than a resolution limit. Thereafter, the Si antireflection film and the CHM film are processed to the same line width as the resist pattern by dry etching.

次に、パターニングされたCHM膜をマスクとして下地の膜であるアモルファスSi膜をパターニングし、アモルファスSi膜で、レジストと同じ線幅のコアパターンを形成する。次に、このコアパターンに対し、SiO膜を成膜し、SiOで解像限界寸法未満の線幅のサイドウォールを形成する。そして、サイドウォールを形成した後に、Siコアパターンをエッチングにより除去する。Next, the amorphous Si film, which is a base film, is patterned using the patterned CHM film as a mask, and a core pattern having the same line width as the resist is formed using the amorphous Si film. Next, a SiO 2 film is formed on the core pattern, and a sidewall having a line width less than the resolution limit dimension is formed of SiO 2 . Then, after forming the sidewall, the Si core pattern is removed by etching.

Siコアパターンの除去処理は、サイドウォール材であるSiO膜や下地膜の例えばSi膜をエッチングしないように、高いエッチング選択性が要求される。これまでは、反応性イオンを用いたドライエッチングによるSiコアパターンの除去が一般的であったが、Siコアパターンのエッチングプロセスにおいて、SiO膜とSi膜の両方に対して選択性を確保するのは困難であった。The Si core pattern removal process requires high etching selectivity so as not to etch the SiO 2 film that is the sidewall material and, for example, the Si 3 N 4 film that is the base film. Until now, removal of the Si core pattern by dry etching using reactive ions has been common, but the selectivity for both the SiO 2 film and the Si 3 N 4 film in the etching process of the Si core pattern. It was difficult to ensure.

また、近年のLSI、DRAM(Dynamic Random Access Memory)やFlash Memoryに代表される半導体装置の最小加工寸法が、30nm幅より小さくなっている。このような半導体装置の製造工程の1工程であるウェットエッチングでは、例えば、ウェットエッチング時に用いられる液体の表面張力によるパターンの倒壊が有り、半導体装置の品質を保ったままの微細化や製造スループット向上の達成が困難になってきている。 Further, the minimum processing size of a semiconductor device represented by recent LSI, DRAM (Dynamic Random Access Memory) and Flash Memory is smaller than 30 nm width. In wet etching, which is one of the manufacturing processes of such a semiconductor device, for example, there is a collapse of a pattern due to the surface tension of a liquid used during wet etching, and miniaturization while maintaining the quality of the semiconductor device and an improvement in manufacturing throughput. The achievement of is becoming difficult.

このように、従来のドライエッチング技術(反応性イオンを用いたエッチング技術)及びウェットエッチング技術では、このような次世代の半導体デバイスにおける微細化に対応できなくなってきている。 As described above, the conventional dry etching technique (etching technique using reactive ions) and the wet etching technique cannot cope with the miniaturization of such next-generation semiconductor devices.

特開2011−44493号公報JP 2011-44493 A

本発明の目的は、次世代の半導体デバイスに適したエッチング技術を用いた微細パターンの形成技術、及びこの技術を用いる半導体装置の製造方法や基板処理装置を提供することにある。   An object of the present invention is to provide a fine pattern formation technique using an etching technique suitable for the next generation semiconductor device, and a semiconductor device manufacturing method and a substrate processing apparatus using the technique.

本発明の一実施態様によれば、 基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、 前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、 前記サイドウォール形成工程後に、エッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、 を含む微細パターン形成方法であって、 前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去する微細パターン形成方法が提供される。   According to one embodiment of the present invention, a core pattern forming step for forming a core pattern having a predetermined line width on the substrate front side, and a sidewall formation for forming a sidewall with respect to the core pattern formed in the core pattern forming step And a core pattern removing step of removing the core pattern using an etching gas after the sidewall forming step while leaving the sidewall, wherein the core pattern removal method comprises: In the process, there is provided a fine pattern forming method for removing the film deposited on the back side of the substrate in the core pattern forming step in parallel with the removal of the core pattern.

本発明の構成によれば、次世代の半導体デバイスに適したエッチング技術を提供できる。   According to the configuration of the present invention, an etching technique suitable for the next generation semiconductor device can be provided.

本発明の一実施形態に係る基板処理装置の概略横断面図である。1 is a schematic cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention. 本発明の一実施形態に係る基板処理装置の概略縦断面図である。It is a schematic longitudinal cross-sectional view of the substrate processing apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理装置が有する第1の処理ユニットの縦断面図である。It is a longitudinal cross-sectional view of the 1st processing unit which the substrate processing apparatus concerning one Embodiment of this invention has. 第1の処理ユニットが有するサセプタの縦断面図である。It is a longitudinal cross-sectional view of the susceptor which the 1st processing unit has. 本発明の一実施形態に係る基板処理装置が有する第2の処理ユニットの縦断面図である。It is a longitudinal cross-sectional view of the 2nd processing unit which the substrate processing apparatus which concerns on one Embodiment of this invention has. 本発明の一実施形態に係るコントローラの構造図である。It is a structural diagram of a controller according to an embodiment of the present invention. 本発明の一実施形態に係る基板処理方法の処理フローを示す図である。It is a figure which shows the processing flow of the substrate processing method which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第1段階における基板表側を示す図である。It is a figure which shows the board | substrate front side in the 1st step of the fine pattern formation process which concerns on one Embodiment of this invention. 上記第1段階における基板裏側を示す図である。It is a figure which shows the board | substrate back side in the said 1st step. 本発明の一実施形態に係る微細パターン形成処理の第2段階を示す図である。It is a figure which shows the 2nd step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第3段階を示す図である。It is a figure which shows the 3rd step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第4段階を示す図である。It is a figure which shows the 4th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第5段階を示す図である。It is a figure which shows the 5th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第6段階を示す図である。It is a figure which shows the 6th step of the fine pattern formation process which concerns on one Embodiment of this invention. 上記第6段階における基板裏側を示す図である。It is a figure which shows the board | substrate back side in the said 6th step. 本発明の一実施形態に係る微細パターン形成処理の第7段階を示す図である。It is a figure which shows the 7th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第8段階を示す図である。It is a figure which shows the 8th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第9段階を示す図である。It is a figure which shows the 9th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る微細パターン形成処理の第10段階を示す図である。It is a figure which shows the 10th step of the fine pattern formation process which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理装置における処理フローを示す図である。It is a figure which shows the processing flow in the substrate processing apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理装置における処理フローを示す図である。It is a figure which shows the processing flow in the substrate processing apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態に係る基板処理装置における処理フローを示す図である。It is a figure which shows the processing flow in the substrate processing apparatus which concerns on one Embodiment of this invention. 本発明の一実施形態における微細パターン形成処理を説明するための図である。It is a figure for demonstrating the fine pattern formation process in one Embodiment of this invention. 本発明の一実施形態における微細パターン形成処理を説明するための図である。It is a figure for demonstrating the fine pattern formation process in one Embodiment of this invention. 本発明の一実施形態における微細パターン形成処理を説明するための図である。It is a figure for demonstrating the fine pattern formation process in one Embodiment of this invention. 本発明の一実施形態における微細パターン形成処理を説明するための図である。It is a figure for demonstrating the fine pattern formation process in one Embodiment of this invention. 本発明の一実施形態における微細パターン形成処理を説明するための図である。It is a figure for demonstrating the fine pattern formation process in one Embodiment of this invention. 本発明の一実施形態において基板裏側のシリコン膜等を除去する前の状態を示す図である。It is a figure which shows the state before removing the silicon film etc. of a substrate back side in one Embodiment of this invention. 本発明の一実施形態において基板裏側のシリコン膜等を除去した後の状態を示す図である。It is a figure which shows the state after removing the silicon film etc. of a substrate back side in one Embodiment of this invention. 本発明の一実施形態のエッチング処理前における、トランジスタのチャネル長方向の断面図である。It is sectional drawing of the channel length direction of a transistor before the etching process of one Embodiment of this invention. 本発明の一実施形態におけるエッチング処理前における、Fin−FETトランジスタのチャネル幅方向の断面図である。It is sectional drawing of the channel width direction of a Fin-FET transistor before the etching process in one Embodiment of this invention. 本発明の一実施形態におけるエッチング処理前における、Fin−FETトランジスタの3次元概略図である。It is a three-dimensional schematic diagram of a Fin-FET transistor before the etching process in one embodiment of the present invention. 本発明の一実施形態のエッチング処理後における、Fin−FETトランジスタのチャネル長方向の断面図である。It is sectional drawing of the channel length direction of a Fin-FET transistor after the etching process of one Embodiment of this invention. 本発明の一実施形態のエッチング処理後における、Fin−FETトランジスタのチャネル幅方向の断面図である。It is sectional drawing of the channel width direction of a Fin-FET transistor after the etching process of one Embodiment of this invention. 本発明の一実施形態のエッチング処理後における、Fin−FETトランジスタの3次元概略図である。It is a three-dimensional schematic diagram of a Fin-FET transistor after the etching process of one embodiment of the present invention. 本発明の一実施形態において基板裏側のポリシリコン膜を除去する前の状態を示す図である。It is a figure which shows the state before removing the polysilicon film of a substrate back side in one Embodiment of this invention. 本発明の一実施形態において基板裏側のポリシリコン膜を除去した後の状態を示す図である。It is a figure which shows the state after removing the polysilicon film of a substrate back side in one Embodiment of this invention. 本発明の一実施形態のエッチング処理前における、Fin−FETトランジスタのチャネル幅方向の断面図である。It is sectional drawing of the channel width direction of a Fin-FET transistor before the etching process of one Embodiment of this invention. 本発明の一実施形態のエッチング処理前における、Fin−FETトランジスタの3次元概略図である。It is a three-dimensional schematic diagram of a Fin-FET transistor before the etching process of one embodiment of the present invention. 本発明の一実施形態におけるエッチング処理後における、Fin−FETトランジスタのチャネル幅方向の断面図である。It is sectional drawing of the channel width direction of a Fin-FET transistor after the etching process in one Embodiment of this invention. 本発明の一実施形態におけるエッチング処理後における、Fin−FETトランジスタの3次元概略図である。It is a three-dimensional schematic diagram of a Fin-FET transistor after the etching process in one embodiment of the present invention. 本発明の一実施形態において基板裏側のリン添加ポリシリコン膜を除去する前の状態を示す図である。It is a figure which shows the state before removing the phosphorus addition polysilicon film of a substrate back side in one Embodiment of this invention. 本発明の一実施形態において基板裏側のリン添加ポリシリコン膜を除去した後の状態を示す図である。It is a figure which shows the state after removing the phosphorus addition polysilicon film of the substrate back side in one Embodiment of this invention. 本発明の他の実施形態に係る基板処理装置の構成である。It is the structure of the substrate processing apparatus which concerns on other embodiment of this invention. 本発明の他の実施形態に係る処理前の基板の構成例である。It is a structural example of the board | substrate before the process which concerns on other embodiment of this invention. 本発明の他の実施形態に係るコントローラの構造例である。It is a structural example of the controller which concerns on other embodiment of this invention. 本発明の他の実施形態に係る基板処理工程のフロー例である。It is an example of a flow of a substrate processing process concerning other embodiments of the present invention. (a)は、レジストパターンの形成方法を説明するための概略縦断面図である。(a) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (b)は、レジストパターンの形成方法を説明するための概略縦断面図である。(B) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (c)は、レジストパターンの形成方法を説明するための概略縦断面図である。(C) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (d)は、レジストパターンの形成方法を説明するための概略縦断面図である。(D) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (e)は、レジストパターンの形成方法を説明するための概略縦断面図である。(E) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (f)は、レジストパターンの形成方法を説明するための概略縦断面図である。(F) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (g)は、レジストパターンの形成方法を説明するための概略縦断面図である。(G) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (h)は、レジストパターンの形成方法を説明するための概略縦断面図である。(H) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (i)は、レジストパターンの形成方法を説明するための概略縦断面図である。(I) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (j)は、レジストパターンの形成方法を説明するための概略縦断面図である。(J) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (k)は、レジストパターンの形成方法を説明するための概略縦断面図である。(K) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (l)は、レジストパターンの形成方法を説明するための概略縦断面図である。(L) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. (m)は、レジストパターンの形成方法を説明するための概略縦断面図である。(M) is a schematic longitudinal cross-sectional view for demonstrating the formation method of a resist pattern. 図41(a)本発明の一実施形態に係る基板処理の実施例である。FIG. 41 (a) is an example of substrate processing according to an embodiment of the present invention. 図41(b)本発明の一実施形態に係る基板処理の他の実施例である。FIG. 41B shows another example of substrate processing according to an embodiment of the present invention. 本発明の一実施形態に係るエッチングガスの蒸気圧特性を示す図である。It is a figure which shows the vapor pressure characteristic of the etching gas which concerns on one Embodiment of this invention.

発明者等は、上記課題を解決するために、後述のエッチングガスを用いたドライエッチングを行うことで、一定の温度領域おいて、少なくとも、酸化シリコン(SiO)、窒化シリコン(Si)、窒化チタン(TiN)、アモルファス・カーボン(a−C)等に対して、シリコン(Si)元素を主成分とするSi膜を選択的に除去することができることを見出した。また、後述のエッチングガスを用いることによって、エッチングガスをプラズマ化せずに、高い選択性を維持してSi元素を主成分とするSi膜を等方的に除去させることができることを見出した。In order to solve the above-described problems, the inventors have performed dry etching using an etching gas, which will be described later, so that at least silicon oxide (SiO 2 ) and silicon nitride (Si 3 N 4 ) in a certain temperature range. ), Titanium nitride (TiN), amorphous carbon (a-C), etc., it was found that the Si film mainly composed of silicon (Si) element can be removed selectively. Further, it has been found that by using an etching gas which will be described later, the Si film containing Si element as a main component can be removed isotropically while maintaining high selectivity without making the etching gas into plasma.

ここで、Si元素を主成分(シリコンを主成分)とするSi膜(とは、例えば、Si元素が90%以上含む膜である。なお、「高い選択性」とは、例えばシリコンを主成分とした第1の膜(例えばシリコン膜)のエッチングレートを、第1の膜よりもシリコン含有率が少ない膜(例えば、シリコン酸化膜やシリコン酸窒化膜やシリコン窒化膜等)である第2の膜よりも高くすることを言う。より良くは、第2の膜をエッチングせずに、第1の膜をエッチングすることを言う。 Here, a Si film containing Si element as a main component (silicon as a main component) (for example, a film containing 90% or more of Si element. Note that “high selectivity” means, for example, silicon as a main component. The etching rate of the first film (for example, silicon film) is a film having a lower silicon content than the first film (for example, a silicon oxide film, a silicon oxynitride film, a silicon nitride film, etc.). It means to make it higher than the film, and better means to etch the first film without etching the second film.

図42は、本実施の形態において用いられるエッチングガスの一つであるIF(若しくはIF)ガスの蒸気圧特性に関する図である。FIG. 42 is a diagram regarding the vapor pressure characteristics of IF 7 (or IF 5 ) gas, which is one of the etching gases used in the present embodiment.

図42に示すように、七フッ化ヨウ素(IF)(若しくは、五フッ化ヨウ素(IF))ガスは、後述する本実施の形態におけるエッチング処理条件Cにおいて、明らかに気体となる条件である。このような減圧で温度が30℃〜50℃(または、40℃〜50℃)である条件でIF(若しくはIF)ガスは、上述したように、Si膜に対して高い選択性を維持しつつ、Si膜に対して等方的にエッチングすることができる。As shown in FIG. 42, iodine heptafluoride (IF 7 ) (or iodine pentafluoride (IF 5 )) gas is a gas that is clearly a gas in the etching process condition C in the present embodiment to be described later. is there. IF 7 (or IF 5 ) gas maintains high selectivity to the Si film as described above under such conditions that the temperature is 30 ° C. to 50 ° C. (or 40 ° C. to 50 ° C.) under such reduced pressure. However, the Si film can be isotropically etched.

ここで、IFガスは、公知の製造過程から副生成物としてIFガスが生じることが考えられる。しかしながら、後述するエッチング処理条件Cのような減圧で温度が30℃〜50℃(または、40℃〜50℃)である条件では、IFガスによるエッチングと共に、上述した副生成物としてIFガスも気体であるため、基板上に付着することなく容易にパージできると考えられる。Here, IF 7 gas, it is considered that IF 5 gas is generated as a by-product from the known production process. However, under conditions where the temperature is 30 ° C. to 50 ° C. (or 40 ° C. to 50 ° C.) under reduced pressure as in etching processing condition C described later, IF 5 gas is used as a by-product as described above along with etching with IF 7 gas. Since it is also a gas, it can be easily purged without adhering to the substrate.

尚、確実にIFガスを除去するには、図42に示すように基板温度を100℃以上に加熱することが好ましい。従い、副生成物除去を考慮すると、エッチングガスを処理室から排除するパージ工程において、温度を上昇させることが好ましい。In order to reliably remove the IF 5 gas, it is preferable to heat the substrate temperature to 100 ° C. or higher as shown in FIG. Therefore, in consideration of removal of by-products, it is preferable to raise the temperature in the purge process in which the etching gas is removed from the processing chamber.

<第1実施形態> <First Embodiment>

(1)基板処理装置の構成 以下、図面を参照して、本発明の実施形態における基板処理装置を説明する。本実施形態において、基板処理装置は、一例として、半導体装置(IC:Integrated Circuit)の製造方法における処理工程を実施する半導体製造装置として構成されている。また、本実施形態の基板処理装置は、1つの処理室で1枚の基板にエッチング処理やアッシング処理を行うことが可能な枚葉装置として構成されている。図1は、本発明の実施形態に係る基板処理装置の概略横断面図である。図2は、本発明の実施形態に係る基板処理装置の概略縦断面図である。 (1) Configuration of Substrate Processing Apparatus Hereinafter, a substrate processing apparatus according to an embodiment of the present invention will be described with reference to the drawings. In the present embodiment, as an example, the substrate processing apparatus is configured as a semiconductor manufacturing apparatus that performs a processing step in a manufacturing method of a semiconductor device (IC: Integrated Circuit). In addition, the substrate processing apparatus of the present embodiment is configured as a single wafer apparatus capable of performing etching processing and ashing processing on one substrate in one processing chamber. FIG. 1 is a schematic cross-sectional view of a substrate processing apparatus according to an embodiment of the present invention. FIG. 2 is a schematic longitudinal sectional view of the substrate processing apparatus according to the embodiment of the present invention.

図1、図2に示されるように、基板処理装置20は、EFEM(Equipment Front End Module)100と、ロードロックチャンバ部200と、トランスファーモジュール部300と、エッチング処理がなされる処理室を含むプロセスチャンバ部400とを備えている。 なお、図1のx方向やy方向を横方向と称し、図2のz方向を縦方向と称す。そして、本実施形態においては、xy面は水平面と平行であり、z方向は鉛直方向である。   As shown in FIGS. 1 and 2, the substrate processing apparatus 20 includes a process including an EFEM (Equipment Front End Module) 100, a load lock chamber 200, a transfer module 300, and a processing chamber in which an etching process is performed. And a chamber portion 400. 1 is referred to as a horizontal direction, and the z direction in FIG. 2 is referred to as a vertical direction. In the present embodiment, the xy plane is parallel to the horizontal plane, and the z direction is the vertical direction.

EFEM100は、FOUP(Front Opening Unified Pod)110を載置するロードポート120と、ロードポート120上のFOUP110とロードロックチャンバ250,260との間で、基板としてのウエハ60を搬送する第1の搬送部である大気搬送ロボット130とを備える。   The EFEM 100 includes a load port 120 on which a FOUP (Front Opening Unified Pod) 110 is placed, and a first transfer that transfers a wafer 60 as a substrate between the FOUP 110 on the load port 120 and the load lock chambers 250 and 260. And an atmospheric transfer robot 130 as a unit.

基板収容器であるFOUP110には、ウエハ60が25枚まで収容可能である。未処理基板を収容したFOUP110は、装置外部の搬送手段により、ロードポート120上に載置され、また、処理済み基板を収容したFOUP110は、装置外部の搬送手段により、ロードポート120上から搬出される。   The FOUP 110, which is a substrate container, can accommodate up to 25 wafers 60. The FOUP 110 containing the unprocessed substrate is placed on the load port 120 by the transport means outside the apparatus, and the FOUP 110 containing the processed substrate is unloaded from the load port 120 by the transport means outside the apparatus. The

大気搬送ロボット130は、5枚のツィーザ131を有し、同時に5枚のウエハ60を搬送することができる。大気搬送ロボット130は、図1のxy面において矢印D1方向に水平回転可能であり、また、矢印D2方向(yy´方向)に水平移動可能である。そして、大気搬送ロボット130のツィーザ131は、図2の矢印D4方向(zz´方向)に昇降可能であり、また、図1の矢印D3方向(xx´方向)に進退可能である。   The atmospheric transfer robot 130 has five tweezers 131 and can transfer five wafers 60 at the same time. The atmospheric transfer robot 130 can horizontally rotate in the direction of the arrow D1 on the xy plane in FIG. 1, and can move horizontally in the direction of the arrow D2 (direction yy ′). The tweezer 131 of the atmospheric transfer robot 130 can move up and down in the direction of arrow D4 (zz ′ direction) in FIG. 2, and can move back and forth in the direction of arrow D3 (xx ′ direction) in FIG.

ロードロックチャンバ部200は、ロードロックチャンバ250,260を備えている。ロードロックチャンバ250は、FOUP110から搬送されたウエハ60を保持するバッファユニット210を備えている。バッファユニット210は、ボート211とその下部のインデックスアセンブリ212とを備えている。ボート211と、その下部のインデックスアセンブリ212は、図1の矢印D5方向に水平回転可能である。この回転により、ボート211の基板出し入れ口を、大気搬送ロボット130又は真空搬送ロボット320へ向けることができる。インデックスアセンブリ212は、ボート211を昇降させる昇降機構である。   The load lock chamber unit 200 includes load lock chambers 250 and 260. The load lock chamber 250 includes a buffer unit 210 that holds the wafer 60 transferred from the FOUP 110. The buffer unit 210 includes a boat 211 and an index assembly 212 below the boat 211. The boat 211 and the index assembly 212 below the boat 211 are horizontally rotatable in the direction of arrow D5 in FIG. By this rotation, the substrate entrance / exit of the boat 211 can be directed to the atmospheric transfer robot 130 or the vacuum transfer robot 320. The index assembly 212 is a lifting mechanism that lifts and lowers the boat 211.

ロードロックチャンバ260、及びロードロックチャンバ260内に備えられた、バッファユニット220、ボート221、インデックスアセンブリ222は、それぞれ、ロードロックチャンバ250、バッファユニット210、ボート211、インデックスアセンブリ212と同様の構造と機能を備えている。   The load lock chamber 260, the buffer unit 220, the boat 221, and the index assembly 222 provided in the load lock chamber 260 have the same structure as the load lock chamber 250, the buffer unit 210, the boat 211, and the index assembly 212, respectively. It has a function.

ボート211は、水平姿勢のウエハ60を5枚まで、互いに縦方向(z方向)に間隔を空けた状態で、積載可能である。 ロードロックチャンバ250は、真空排気装置(不図示)を備え、後述するコントローラ600からの指令に基づき、ロードロックチャンバ250内を、所定圧力の真空状態(つまり低圧状態)又は大気圧状態にすることが可能である。   The boat 211 can stack up to five wafers 60 in a horizontal posture in a state where they are spaced apart from each other in the vertical direction (z direction). The load lock chamber 250 includes an evacuation device (not shown), and makes the load lock chamber 250 in a vacuum state (that is, a low pressure state) or an atmospheric pressure state with a predetermined pressure based on a command from the controller 600 described later. Is possible.

トランスファーモジュール部300は、真空搬送室として用いられるトランスファーモジュール310を備えており、先述のロードロックチャンバ250(260)は、ゲートバルブ311(312)を介して、トランスファーモジュール310に取り付けられている。トランスファーモジュール310には、第2の搬送部として用いられる真空搬送ロボット320が設けられている。真空搬送ロボット320は、ロードロックチャンバ250,260と処理ユニット410,510との間でウエハ60を搬送する。トランスファーモジュール部300内は、常時、所定圧力の真空状態に維持される。   The transfer module unit 300 includes a transfer module 310 used as a vacuum transfer chamber, and the above-described load lock chamber 250 (260) is attached to the transfer module 310 via a gate valve 311 (312). The transfer module 310 is provided with a vacuum transfer robot 320 used as a second transfer unit. The vacuum transfer robot 320 transfers the wafer 60 between the load lock chambers 250 and 260 and the processing units 410 and 510. The inside of the transfer module unit 300 is always maintained in a vacuum state at a predetermined pressure.

プロセスチャンバ部400は、エッチング処理を行う処理ユニット410と、エッチング処理とアッシング処理を行うことが可能なプラズマ処理ユニット510とを備えている。処理ユニット410(510)は、ゲートバルブ313(314)を介してトランスファーモジュール310に取り付けられている。処理ユニット410,510内は、常時、所定圧力の真空状態に維持される。ここで、本実施形態において、エッチング処理とアッシング処理を第2処理ユニット510で兼用するように構成されているが、この実施形態に限定する必要はなく、むしろ、エッチング処理とアッシング処理は、別々の処理ユニットで実施されるよう構成するのが好ましい。尚、これら処理ユニット410及び処理ユニット510のそれぞれの構成については後述する。   The process chamber unit 400 includes a processing unit 410 that performs an etching process and a plasma processing unit 510 that can perform an etching process and an ashing process. The processing unit 410 (510) is attached to the transfer module 310 via a gate valve 313 (314). The processing units 410 and 510 are always maintained in a vacuum state at a predetermined pressure. Here, in the present embodiment, the etching process and the ashing process are configured to be shared by the second processing unit 510. However, the present invention is not limited to this embodiment. Rather, the etching process and the ashing process are separately performed. It is preferable that the processing unit is implemented. The configurations of the processing unit 410 and the processing unit 510 will be described later.

基板処理装置20は、更に、基板処理装置20の各構成に電気的に接続、つまり電気信号により接続されるコントローラ600を有する。コントローラ600は各構成の動作を制御する。コントローラ600の構成は、後述する。   The substrate processing apparatus 20 further includes a controller 600 that is electrically connected to each component of the substrate processing apparatus 20, that is, connected by an electrical signal. The controller 600 controls the operation of each component. The configuration of the controller 600 will be described later.

以上のように構成された基板処理装置20においては、ロードポート120上のFOUP110から、大気圧状態のロードロックチャンバ250(260)へ、ウエハ60が搬送される。この際、まず、図2に示されるように、大気搬送ロボット130が、FOUP110にツィーザ131を挿入し、同時に5枚のウエハをツィーザ131上へ載置する。このとき、取り出すウエハ60の高さ方向の位置に合わせて、大気搬送ロボット130のツィーザ131を上下させる。   In the substrate processing apparatus 20 configured as described above, the wafer 60 is transferred from the FOUP 110 on the load port 120 to the load lock chamber 250 (260) in the atmospheric pressure state. At this time, first, as shown in FIG. 2, the atmospheric transfer robot 130 inserts the tweezer 131 into the FOUP 110 and simultaneously places five wafers on the tweezer 131. At this time, the tweezer 131 of the atmospheric transfer robot 130 is moved up and down in accordance with the height position of the wafer 60 to be taken out.

ウエハ60をツィーザ131へ載置してFOUP110から取出した後、大気搬送ロボット130は、矢印D1方向に回転し、大気圧状態のバッファユニット210(220)内のボート211(221)にウエハ60を搭載する。このとき、ボート211(221)のZ方向の動作により、ボート211(221)は、大気搬送ロボット130から5枚のウエハ60を受け取る。5枚のウエハ60を受け取った後、ボート211(221)の最下層にあるウエハ60の位置が、トランスファーモジュール部300の高さ位置に合うよう、ボート211(221)をZ方向に昇降動作させる。   After placing the wafer 60 on the tweezer 131 and taking it out of the FOUP 110, the atmospheric transfer robot 130 rotates in the direction of the arrow D1, and puts the wafer 60 on the boat 211 (221) in the buffer unit 210 (220) in the atmospheric pressure state. Mount. At this time, the boat 211 (221) receives five wafers 60 from the atmospheric transfer robot 130 by the operation of the boat 211 (221) in the Z direction. After receiving the five wafers 60, the boat 211 (221) is moved up and down in the Z direction so that the position of the wafer 60 in the lowermost layer of the boat 211 (221) matches the height position of the transfer module unit 300. .

次に、ロードロックチャンバ250(260)内を所定圧力の真空状態にした後、ロードロックチャンバ250(260)内のボート211(221)に保持されているウエハ60のうち1枚のウエハ60を、真空搬送ロボット320が、処理ユニット410(510)内のサセプタテーブル411(511)上に移載する。このとき、真空搬送ロボット320は、ボート211(221)に保持されている1枚のウエハ60を、フィンガー321に搭載し、ボート211(221)から取出した後、矢印D7方向に回転し、さらに矢印D8方向にフィンガー321を延伸し、処理ユニット410(510)内のサセプタテーブル411(511)上に移載する。   Next, after the inside of the load lock chamber 250 (260) is evacuated to a predetermined pressure, one of the wafers 60 held by the boat 211 (221) in the load lock chamber 250 (260) is removed. The vacuum transfer robot 320 is transferred onto the susceptor table 411 (511) in the processing unit 410 (510). At this time, the vacuum transfer robot 320 mounts one wafer 60 held in the boat 211 (221) on the finger 321 and removes it from the boat 211 (221), and then rotates in the arrow D7 direction. The finger 321 is extended in the direction of arrow D8 and transferred onto the susceptor table 411 (511) in the processing unit 410 (510).

ウエハ60を、フィンガー321からサセプタテーブル411(511)へ移載する際は、フィンガー321とリフターピン413(513)との協働により、ウエハ60が、サセプタテーブル411(511)上に移載される。詳しくは、ウエハ60を載置したフィンガー321が、サセプタテーブル411(511)上の所定の位置に移動してくると、リフターピン413(513)が上昇し、フィンガー321に載置されたウエハ60を、フィンガー321から離間するように支持する。リフターピン413(513)がウエハ60を支持した後、フィンガー321は矢印D8方向に縮退する。その後、リフターピン413(513)が下降することにより、ウエハ60がサセプタテーブル411(511)上に載置される。   When the wafer 60 is transferred from the finger 321 to the susceptor table 411 (511), the wafer 60 is transferred onto the susceptor table 411 (511) by the cooperation of the finger 321 and the lifter pin 413 (513). The Specifically, when the finger 321 on which the wafer 60 is placed moves to a predetermined position on the susceptor table 411 (511), the lifter pins 413 (513) are raised, and the wafer 60 placed on the finger 321. Is supported apart from the finger 321. After the lifter pins 413 (513) support the wafer 60, the fingers 321 are retracted in the direction of the arrow D8. Thereafter, the lifter pins 413 (513) are lowered to place the wafer 60 on the susceptor table 411 (511).

処理が終了したウエハ60を、サセプタテーブル411(511)から、ロードロックチャンバ250(260)内のボート211(221)へ搬送する際は、真空搬送ロボット320とリフターピン413(513)は、上述したサセプタテーブル411(511)上にウエハ60を移載する動作と逆の動作を行う。   When the processed wafer 60 is transferred from the susceptor table 411 (511) to the boat 211 (221) in the load lock chamber 250 (260), the vacuum transfer robot 320 and the lifter pins 413 (513) are described above. An operation opposite to the operation of transferring the wafer 60 onto the susceptor table 411 (511) is performed.

以上説明したように、基板処理装置20では、ロードポート120上のFOUP110から、大気圧状態のロードロックチャンバ250(260)へウエハ60が搬送される。その後、ロードロックチャンバ250(260)内が真空引き(真空置換)され、ロードロックチャンバ250(260)から、トランスファーモジュール310を経てウエハ60が処理ユニット410(510)へ搬送される。   As described above, in the substrate processing apparatus 20, the wafer 60 is transferred from the FOUP 110 on the load port 120 to the load lock chamber 250 (260) in the atmospheric pressure state. Thereafter, the inside of the load lock chamber 250 (260) is evacuated (vacuum replacement), and the wafer 60 is transferred from the load lock chamber 250 (260) to the processing unit 410 (510) via the transfer module 310.

そして、処理ユニット410で第1のエッチング処理(プラズマを用いないノンプラズマ処理)がなされ、第1のエッチング処理がなされたウエハ60が、トランスファーモジュール310を経て、処理ユニット510へ搬送される。そして、処理ユニット510で第2のエッチング処理(プラズマ処理)がなされ、第2のエッチング処理がなされたウエハ60が、トランスファーモジュール310を経て、再び処理ユニット410へ搬送される。そして、処理ユニット410で第3のエッチング処理(ノンプラズマ処理)がなされ、第3のエッチング処理がなされたウエハ60が、トランスファーモジュール310を経て、ロードロックチャンバ250(260)へ搬送される。その後、ロードロックチャンバ250(260)内のウエハ60は、ロードポート120上のFOUP110へ戻される。このように、本実施の形態によれば、第1のエッチング処理と第3のエッチング処理は、共にノンプラズマでのエッチング処理である。   Then, the processing unit 410 performs a first etching process (non-plasma process without using plasma), and the wafer 60 subjected to the first etching process is transferred to the processing unit 510 via the transfer module 310. Then, the second etching process (plasma process) is performed in the processing unit 510, and the wafer 60 subjected to the second etching process is transferred to the processing unit 410 again through the transfer module 310. Then, a third etching process (non-plasma process) is performed in the processing unit 410, and the wafer 60 subjected to the third etching process is transferred to the load lock chamber 250 (260) through the transfer module 310. Thereafter, the wafer 60 in the load lock chamber 250 (260) is returned to the FOUP 110 on the load port 120. Thus, according to the present embodiment, the first etching process and the third etching process are both non-plasma etching processes.

あるいは、処理ユニット410で第1のエッチング処理がなされ、エッチング対象物の除去がなされたウエハ60が、トランスファーモジュール310を経て、ロードロックチャンバ250(260)へ搬送され、その後、ロードポート120上のFOUP110へ戻されることも可能である。   Alternatively, the wafer 60 that has been subjected to the first etching process in the processing unit 410 and from which the object to be etched has been removed is transferred to the load lock chamber 250 (260) through the transfer module 310, and then on the load port 120. It is also possible to return to the FOUP 110.

あるいは、ロックチャンバ250(260)から搬送されたウエハ60に対して、処理ユニット510で第2のエッチング処理(プラズマ処理)がなされ、第2のエッチング処理がなされたウエハ60が、トランスファーモジュール310を経て、再びロードロックチャンバ250(260)へ搬送され、その後、ロードポート120上のFOUP110へ戻されることも可能である。   Alternatively, the wafer 60 transferred from the lock chamber 250 (260) is subjected to the second etching process (plasma process) in the processing unit 510, and the wafer 60 subjected to the second etching process passes through the transfer module 310. Then, it can be transferred again to the load lock chamber 250 (260) and then returned to the FOUP 110 on the load port 120.

このように、基板処理装置20では、処理ユニット410のみによる単独処理や、処理ユニット510のみによる単独処理や、処理ユニット410と処理ユニット510を用いた連続処理が可能である。   As described above, the substrate processing apparatus 20 can perform single processing using only the processing unit 410, single processing using only the processing unit 510, and continuous processing using the processing unit 410 and the processing unit 510.

(第1の処理ユニット) 第1の処理ユニット410について、図3と図4を用いて説明する。図3は、本実施形態に係る基板処理装置が有する第1の処理ユニットの縦断面図である。図4は、第1の処理ユニットが有するサセプタの縦断面図である。 第1の処理ユニット410は、例えば、半導体基板にノンプラズマでエッチングを施す処理ユニットである。処理ユニット410は、図3に示すように、ガスバッファ室430と、半導体基板などのウエハ60を収容する処理室445とを備えている。処理ユニット410は、例えば、架台としての水平なベースプレート448の上方に、ガスバッファ室430を配置し、ベースプレート448の下方に処理室445を配置して構成される。また、処理容器431は、ガスバッファ室430と処理室445を少なくとも有する。 (First Processing Unit) The first processing unit 410 will be described with reference to FIGS. 3 and 4. FIG. 3 is a longitudinal sectional view of a first processing unit included in the substrate processing apparatus according to the present embodiment. FIG. 4 is a longitudinal sectional view of a susceptor included in the first processing unit. The first processing unit 410 is, for example, a processing unit that performs non-plasma etching on a semiconductor substrate. As shown in FIG. 3, the processing unit 410 includes a gas buffer chamber 430 and a processing chamber 445 that accommodates a wafer 60 such as a semiconductor substrate. The processing unit 410 is configured, for example, by disposing a gas buffer chamber 430 above a horizontal base plate 448 as a gantry and a processing chamber 445 below the base plate 448. The processing container 431 includes at least a gas buffer chamber 430 and a processing chamber 445.

ガスバッファ室430には、ガス導入口433から処理ガスが供給される。ガスバッファ室430内側の壁は、高純度の石英硝子やセラミックスにて筒状に形成されている。該壁は、その筒の軸線が垂直になるように配置される。処理容器431の上端には、トッププレート454が設けられている。トッププレート454は、内側の壁及び外側シールドの上端に支持されている。ガスバッファ室430の上端は、トッププレート454によって気密に封止される。   A processing gas is supplied to the gas buffer chamber 430 from the gas inlet 433. The inner wall of the gas buffer chamber 430 is formed in a cylindrical shape from high-purity quartz glass or ceramics. The walls are arranged so that the axis of the cylinder is vertical. A top plate 454 is provided at the upper end of the processing container 431. The top plate 454 is supported on the inner wall and the upper end of the outer shield. The upper end of the gas buffer chamber 430 is hermetically sealed by a top plate 454.

トッププレート454は、処理容器431の上端を塞ぐ蓋部454aと、蓋部454aを支持する支持部454bから構成される。蓋部454aのほぼ中央には、ガス導入口433が設けられている。処理容器431の先端のフランジ部分(処理容器431から外側に突出した部分)と、支持部454bとの間には、Oリング453が設けられ、ガスバッファ室430を気密にするよう構成している。   The top plate 454 includes a lid portion 454a that closes the upper end of the processing container 431, and a support portion 454b that supports the lid portion 454a. A gas inlet 433 is provided in the approximate center of the lid 454a. An O-ring 453 is provided between the flange portion at the tip of the processing container 431 (the part protruding outward from the processing container 431) and the support portion 454b, and the gas buffer chamber 430 is configured to be airtight. .

処理室445の側壁446は、高純度の石英硝子やセラミックスにて筒状に形成されている。側壁446は、その筒の軸線が垂直になるように配置される。側壁446の上端には、ガスバッファ室430の内側の壁が配置されている。側壁446の下端には、底板469が配置されている。側壁446は、処理室445内を気密に保つように、底板469の上側に気密に設けられる。   The side wall 446 of the processing chamber 445 is formed in a cylindrical shape with high-purity quartz glass or ceramics. The side wall 446 is disposed so that the axis of the cylinder is vertical. An inner wall of the gas buffer chamber 430 is disposed at the upper end of the side wall 446. A bottom plate 469 is disposed at the lower end of the side wall 446. The side wall 446 is airtightly provided above the bottom plate 469 so as to keep the inside of the processing chamber 445 airtight.

処理室445の下方には、複数(例えば4本)の支柱461によって支持される基板載置部としてのサセプタ459が設けられる。サセプタ459には、サセプタテーブル411と、サセプタ459の内部に設けられ、サセプタ459上のウエハ60を加熱する基板加熱部としてのヒータ463と、後述するサセプタ冷却剤流路464とが具備される。   Below the processing chamber 445, a susceptor 459 as a substrate mounting portion supported by a plurality of (for example, four) support columns 461 is provided. The susceptor 459 includes a susceptor table 411, a heater 463 that is provided inside the susceptor 459 and heats the wafer 60 on the susceptor 459, and a susceptor coolant channel 464 described later.

サセプタ459の下方には、排気板465が配設される。排気板465は、ガイドシャフト467を介して底板469に支持される。昇降板471は、ガイドシャフト467をガイドとして、昇降自在に動くように設けられる。昇降板471は、少なくとも3本のリフターピン413を支持している。   An exhaust plate 465 is disposed below the susceptor 459. The exhaust plate 465 is supported by the bottom plate 469 via the guide shaft 467. The elevating plate 471 is provided to move up and down with the guide shaft 467 as a guide. The lift plate 471 supports at least three lifter pins 413.

図3に示されるように、リフターピン413は、サセプタ459のサセプタテーブル411を貫通する。そして、リフターピン413の頂には、ウエハ60を支持する支持部414が設けられている。支持部414は、サセプタ459の中心方向に延出している。リフターピン413の昇降によって、ウエハ60をサセプタテーブル411に載置し、あるいはサセプタテーブル411から持ち上げることができる。   As shown in FIG. 3, the lifter pin 413 passes through the susceptor table 411 of the susceptor 459. A support portion 414 that supports the wafer 60 is provided on the top of the lifter pins 413. The support portion 414 extends in the center direction of the susceptor 459. The wafer 60 can be placed on the susceptor table 411 or lifted from the susceptor table 411 by raising and lowering the lifter pins 413.

昇降板471は、底板469を貫通する昇降シャフト472に連結されている。昇降シャフト472は、昇降駆動部473に連結されている。昇降駆動部473が昇降シャフト472を昇降させることで、昇降板471とリフターピン413を介して、支持部414が昇降する。   The lifting plate 471 is connected to a lifting shaft 472 that penetrates the bottom plate 469. The elevating shaft 472 is connected to the elevating drive unit 473. As the elevating drive unit 473 moves the elevating shaft 472 up and down, the support unit 414 moves up and down via the elevating plate 471 and the lifter pin 413.

サセプタ459と排気板465の間に、バッフルリング458が設けられる。バッフルリング458と、サセプタ459と、排気板465とで囲むように、第1排気室474が形成される。円筒状のバッフルリング458には、その側面に、通気孔(不図示)が多数均一に設けられている。したがって、第1排気室474は、バッフルリング458により処理室445と仕切られ、また通気孔によって、処理室445と連通している。   A baffle ring 458 is provided between the susceptor 459 and the exhaust plate 465. A first exhaust chamber 474 is formed so as to be surrounded by the baffle ring 458, the susceptor 459, and the exhaust plate 465. The cylindrical baffle ring 458 is provided with a large number of air holes (not shown) uniformly on its side surface. Therefore, the first exhaust chamber 474 is separated from the processing chamber 445 by the baffle ring 458 and communicates with the processing chamber 445 through the vent holes.

また、排気板465と凹型の底板469とで囲むように、第2排気室476が形成される。そして、排気板465の中心部に、排気連通孔475が設けられる。したがって、排気連通孔475によって、第1排気室474と第2排気室476が連通される。   A second exhaust chamber 476 is formed so as to be surrounded by the exhaust plate 465 and the concave bottom plate 469. An exhaust communication hole 475 is provided at the center of the exhaust plate 465. Accordingly, the first exhaust chamber 474 and the second exhaust chamber 476 are communicated with each other through the exhaust communication hole 475.

(第1の排気部) 底板469を貫通するように、重力方向、つまりz方向に延伸された排気管480が設けられている。第2排気室476は、排気管480と連通している。排気管480には、上流から順に、圧力調整バルブ479、排気ポンプ481が設けられている。 このように、サセプタ459の下方であって、更に重力方向に排気管480を設けることにより、処理室445に供給されたガスは、処理室445に留まることなく容易に排気される。したがって、保守員によるメンテナンス実施時において、ガスとの接触による危険度を低減することができる。 排気管480、圧力調整バルブ479を少なくとも有するように、処理室445内のガス(雰囲気)を排出する第1の排気部が構成される。なお、排気ポンプ481を第1の排気部に含めても良い。 (First Exhaust Portion) An exhaust pipe 480 extending in the gravity direction, that is, the z direction is provided so as to penetrate the bottom plate 469. The second exhaust chamber 476 communicates with the exhaust pipe 480. The exhaust pipe 480 is provided with a pressure adjustment valve 479 and an exhaust pump 481 in order from the upstream. Thus, by providing the exhaust pipe 480 below the susceptor 459 and in the direction of gravity, the gas supplied to the processing chamber 445 is easily exhausted without staying in the processing chamber 445. Therefore, it is possible to reduce the degree of danger due to contact with gas when maintenance is performed by maintenance personnel. A first exhaust unit that exhausts the gas (atmosphere) in the processing chamber 445 is configured to include at least the exhaust pipe 480 and the pressure adjustment valve 479. Note that the exhaust pump 481 may be included in the first exhaust part.

(第1及び第2のガス供給部) 処理容器431上側のトッププレート454には、第1のガス供給ユニット482(第1のガス供給部)と第2のガス供給ユニット483(第2のガス供給部)とが接続されている。第1のガス供給ユニット482は、ガス導入口433に接続されるガス供給管482aと、ガス供給管482aに接続される不活性ガス供給管482eとを有する。ガス供給管482aには、上流から順に、第1のガスのガス源である第1ガス源482b、マスフローコントローラ482c、開閉弁482dが設けられている。不活性ガス供給管482eには、上流から順に、不活性ガスのガス源である不活性ガス源482f、マスフローコントローラ482g、開閉弁482hが設けられている。 (First and Second Gas Supply Units) The top plate 454 above the processing container 431 has a first gas supply unit 482 (first gas supply unit) and a second gas supply unit 483 (second gas). Supply section). The first gas supply unit 482 includes a gas supply pipe 482a connected to the gas introduction port 433 and an inert gas supply pipe 482e connected to the gas supply pipe 482a. In the gas supply pipe 482a, a first gas source 482b that is a gas source of the first gas, a mass flow controller 482c, and an on-off valve 482d are provided in order from the upstream. The inert gas supply pipe 482e is provided with an inert gas source 482f, a mass flow controller 482g, and an on-off valve 482h, which are inert gas sources, in order from the upstream.

マスフローコントローラ482cと開閉弁482dとを制御することで、第1のガスの流量を制御することができる。また、マスフローコントローラ482gと開閉弁482hとを制御することで、不活性ガスの流量を制御することができる。不活性ガスは、ガス供給管482a内の残ガスをパージ(除去)するパージガスとして、更には、ガス供給管482aに供給される第1のガスのキャリアガスとして用いられる。   By controlling the mass flow controller 482c and the on-off valve 482d, the flow rate of the first gas can be controlled. Further, the flow rate of the inert gas can be controlled by controlling the mass flow controller 482g and the on-off valve 482h. The inert gas is used as a purge gas for purging (removing) the residual gas in the gas supply pipe 482a, and further as a carrier gas for the first gas supplied to the gas supply pipe 482a.

第1のガス供給ユニット482は、ガス供給管482aと、マスフローコントローラ482cと、開閉弁482dとを、少なくとも有するように構成される。なお、第1のガス供給ユニット482に、パージガス供給管482e、マスフローコントローラ482g、開閉弁482hを含めても良い。更には、第1ガス源482b、不活性ガス源482fを含めても良い。   The first gas supply unit 482 is configured to have at least a gas supply pipe 482a, a mass flow controller 482c, and an on-off valve 482d. Note that the first gas supply unit 482 may include a purge gas supply pipe 482e, a mass flow controller 482g, and an on-off valve 482h. Further, a first gas source 482b and an inert gas source 482f may be included.

第1のガスとして、本実施形態では、七フッ化ヨウ素(IF)ガスが用いられる。なお、第1のガスとして例えば、三フッ化塩素(ClF)、二フッ化キセノン(XeF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、五フッ化ヨウ素(IF)の内、いずれかのガスを用いることもできる。不活性ガス源482fから供給される不活性ガスとして、例えば窒素(N)ガス等が用いられる。In the present embodiment, iodine heptafluoride (IF 7 ) gas is used as the first gas. As the first gas, for example, chlorine trifluoride (ClF 3 ), xenon difluoride (XeF 2 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5 ), iodine pentafluoride ( Any gas among IF 5 ) can also be used. As an inert gas supplied from the inert gas source 482f, for example, nitrogen (N 2 ) gas or the like is used.

第2のガス供給ユニット483は、処理容器431上側のトッププレート454において、ガス供給ユニット482と隣接するように設けられている。第2のガス供給ユニット483は、ガス導入口433に接続されるガス供給管483aを有する。ガス供給管483aには、上流から順に、第2のガスのガス源である第2ガス源483bと、マスフローコントローラ483cと、開閉弁483dとが設けられている。   The second gas supply unit 483 is provided adjacent to the gas supply unit 482 in the top plate 454 on the upper side of the processing container 431. The second gas supply unit 483 has a gas supply pipe 483 a connected to the gas inlet 433. In the gas supply pipe 483a, a second gas source 483b that is a gas source of the second gas, a mass flow controller 483c, and an on-off valve 483d are provided in order from the upstream.

マスフローコントローラ483cと開閉弁483dとを制御することで、第2のガスの流量を制御することができる。第2のガス供給ユニット483は、ガス供給管483aと、マスフローコントローラ483cと、開閉弁483dとを少なくとも有するように構成される。なお、第2のガス供給ユニット483に、第2ガス源483bを含めても良い。   By controlling the mass flow controller 483c and the on-off valve 483d, the flow rate of the second gas can be controlled. The second gas supply unit 483 is configured to include at least a gas supply pipe 483a, a mass flow controller 483c, and an on-off valve 483d. Note that the second gas supply unit 483 may include the second gas source 483b.

第2のガスとして、例えば窒素(N)等の不活性ガスが用いられる。この不活性ガスは、第1のガスの希釈ガスとして、もしくは処理室445内の残ガスのパージガスとして用いられる。For example, an inert gas such as nitrogen (N 2 ) is used as the second gas. This inert gas is used as a dilution gas for the first gas or as a purge gas for the residual gas in the processing chamber 445.

なお、本実施形態においては、第1のガス供給ユニット482と第2のガス供給ユニット483からのガス導入口を、共通のガス導入口433としたが、それに限られるものではなく、第1のガス供給ユニット482と第2のガス供給ユニット483のそれぞれに対応したガス導入口を設けても良い。   In the present embodiment, the gas introduction port from the first gas supply unit 482 and the second gas supply unit 483 is the common gas introduction port 433. However, the present invention is not limited to this. A gas inlet corresponding to each of the gas supply unit 482 and the second gas supply unit 483 may be provided.

マスフローコントローラ482c,483c等や、圧力調整バルブ479を制御し、ガスの供給量や処理室445からのガス排気量を調整することで、処理室445内の圧力や導入されるガスの分圧が調整される。   By controlling the mass flow controllers 482c, 483c, etc. and the pressure adjustment valve 479 to adjust the gas supply amount and the gas exhaust amount from the processing chamber 445, the pressure in the processing chamber 445 and the partial pressure of the introduced gas can be reduced. Adjusted.

ガスバッファ室430内には、多孔性のシャワープレート484が設けられている。シャワープレート484は、板部484aと、その板部484aに複数設けられた孔部484bとを有する。ガス導入口433から導入されたガスは、シャワープレート484の板部484aにぶつかり、孔部484bを通過して、ウエハ60の表面に供給される。このように、ガスバッファ室430内に導入されたガスは、シャワープレート484によって均一に分散され、ウエハ60上に供給される。   A porous shower plate 484 is provided in the gas buffer chamber 430. The shower plate 484 has a plate portion 484a and a plurality of holes 484b provided in the plate portion 484a. The gas introduced from the gas introduction port 433 collides with the plate portion 484 a of the shower plate 484, passes through the hole portion 484 b, and is supplied to the surface of the wafer 60. Thus, the gas introduced into the gas buffer chamber 430 is uniformly dispersed by the shower plate 484 and supplied onto the wafer 60.

(第1の温度制御部) 図4は、第1の処理ユニット410が有するサセプタ459の縦断面図である。サセプタテーブル411には、ヒータ463及びサセプタ冷却剤流路464が内包されている。ヒータ463及びサセプタ冷却剤流路464は、サセプタテーブル411内に設けられ、サセプタ459上に載置されるウエハ60の温度を制御する。 (First Temperature Control Unit) FIG. 4 is a longitudinal sectional view of a susceptor 459 included in the first processing unit 410. The susceptor table 411 includes a heater 463 and a susceptor coolant channel 464. The heater 463 and the susceptor coolant channel 464 are provided in the susceptor table 411 and control the temperature of the wafer 60 placed on the susceptor 459.

ヒータ463は、ヒータ電力供給線487を介して、ヒータ温度制御部485に接続される。ヒータ463の近傍には、サセプタ459やサセプタ459上に載置されるウエハ60の温度を検出するための温度検出部488が設けられている。温度検出部488は、コントローラ600に電気的に接続されている。温度検出部488で検出された温度データは、コントローラ600に入力される。コントローラ600は、検出された温度データに基づき、ヒータ463へ供給する電力量を制御するよう、ヒータ温度制御部485に指示し、ウエハ60が所望の温度となるようヒータ463を制御する。   The heater 463 is connected to the heater temperature control unit 485 via the heater power supply line 487. In the vicinity of the heater 463, a susceptor 459 and a temperature detection unit 488 for detecting the temperature of the wafer 60 placed on the susceptor 459 are provided. The temperature detection unit 488 is electrically connected to the controller 600. The temperature data detected by the temperature detection unit 488 is input to the controller 600. The controller 600 instructs the heater temperature control unit 485 to control the amount of power supplied to the heater 463 based on the detected temperature data, and controls the heater 463 so that the wafer 60 reaches a desired temperature.

サセプタ冷却剤流路464は、外部サセプタ冷却剤流路489に接続されている。詳しくは、サセプタ冷却剤流路464の冷却剤導入口は、外部サセプタ冷却剤流路489aに接続され、サセプタ冷却剤流路464の冷却剤排出口は、外部サセプタ冷却剤流路489bに接続されている。サセプタ冷却剤流路464や外部サセプタ冷却剤流路489では、矢印D10方向に冷却剤が流れる。外部サセプタ冷却剤流路489には、冷却剤供給ユニット491が接続されている。冷却剤供給ユニット491は、冷却剤流量制御部486からの指示に基づき、外部サセプタ冷却剤流路489aを流れる冷却剤の温度を所定値範囲に保つとともに、その流量を制御する。   The susceptor coolant channel 464 is connected to the external susceptor coolant channel 489. Specifically, the coolant introduction port of the susceptor coolant channel 464 is connected to the external susceptor coolant channel 489a, and the coolant discharge port of the susceptor coolant channel 464 is connected to the external susceptor coolant channel 489b. ing. In the susceptor coolant channel 464 and the external susceptor coolant channel 489, the coolant flows in the direction of arrow D10. A coolant supply unit 491 is connected to the external susceptor coolant channel 489. The coolant supply unit 491 keeps the temperature of the coolant flowing through the external susceptor coolant channel 489a within a predetermined value range and controls the flow rate based on an instruction from the coolant flow rate control unit 486.

冷却剤供給ユニット491の上流の外部サセプタ冷却剤流路489bには、サセプタ冷却剤流路464を流れた冷却剤の温度を検出する冷却剤温度検出部492が設けられる。冷却剤温度検出部492と冷却剤流量制御部486は、コントローラ600と電気的に接続されている。冷却剤温度検出部492で検出された温度データは、コントローラ600に入力される。コントローラ600は、検出された温度データに基づき、ウエハ60が所望の温度となるよう、冷却剤流量制御部486に対し、外部サセプタ冷却剤流路489aを流れる冷却剤流量を制御するよう指示する。冷却剤流量制御部486は、コントローラ600からの指示に基づき、冷却剤供給ユニット491に対し、外部サセプタ冷却剤流路489を流れる冷却剤の流量を制御する。   In the external susceptor coolant channel 489b upstream of the coolant supply unit 491, a coolant temperature detection unit 492 that detects the temperature of the coolant that has flowed through the susceptor coolant channel 464 is provided. The coolant temperature detection unit 492 and the coolant flow rate control unit 486 are electrically connected to the controller 600. The temperature data detected by the coolant temperature detection unit 492 is input to the controller 600. Based on the detected temperature data, the controller 600 instructs the coolant flow rate control unit 486 to control the coolant flow rate that flows through the external susceptor coolant channel 489a so that the wafer 60 has a desired temperature. The coolant flow rate control unit 486 controls the flow rate of the coolant flowing through the external susceptor coolant channel 489 with respect to the coolant supply unit 491 based on an instruction from the controller 600.

第1の温度制御部は、ヒータ温度制御部485と、冷却剤流量制御部486とを、少なくとも有するように構成される。なお、第1の温度制御部に、ヒータ463、サセプタ冷却剤流路464を含めても良い。更には、冷却剤供給ユニット491、外部サセプタ冷却剤流路489、冷却剤温度検出部492、ヒータ電力供給線487を含めても良い。また、ヒータ463、サセプタ冷却剤流路464を、まとめて第1の温度調整機構と呼ぶ。   The first temperature control unit is configured to have at least a heater temperature control unit 485 and a coolant flow rate control unit 486. Note that a heater 463 and a susceptor coolant channel 464 may be included in the first temperature control unit. Furthermore, a coolant supply unit 491, an external susceptor coolant flow path 489, a coolant temperature detection unit 492, and a heater power supply line 487 may be included. The heater 463 and the susceptor coolant channel 464 are collectively referred to as a first temperature adjustment mechanism.

(第2の処理ユニット) 第2の処理ユニット510について、図5を用いて説明する。図5は、本実施形態に係る基板処理装置が有する第2の処理ユニットの縦断面図である。第2の処理ユニット510は、半導体基板上に形成された膜に対し乾式処理でエッチング処理やアッシング処理を施すことが可能な高周波無電極放電型のプラズマ処理ユニットである。処理ユニット510は、図5に示すように、プラズマを生成するためのプラズマ生成室530と、半導体基板などのウエハ60を収容する処理室545と、共振コイル521等のプラズマソースに高周波電力を供給する高周波電源525と、高周波電源525の発振周波数を制御する周波数整合器526等を備えている。 (Second Processing Unit) The second processing unit 510 will be described with reference to FIG. FIG. 5 is a longitudinal sectional view of a second processing unit included in the substrate processing apparatus according to the present embodiment. The second processing unit 510 is a high-frequency electrodeless discharge type plasma processing unit that can perform an etching process or an ashing process on a film formed on a semiconductor substrate by a dry process. As shown in FIG. 5, the processing unit 510 supplies high-frequency power to a plasma generation chamber 530 for generating plasma, a processing chamber 545 for accommodating a wafer 60 such as a semiconductor substrate, and a plasma source such as a resonance coil 521. A high frequency power source 525 for controlling the oscillation frequency of the high frequency power source 525, and the like.

反応容器531は、高純度の石英硝子やセラミックスにて円筒状に形成される。反応容器531の下方には、処理室545が設けられる。処理室545の下方には、複数(例えば4本)の支柱561によって支持されるサセプタ559が設けられる。サセプタ559には、サセプタテーブル511と、サセプタ559の内部に設けられ、サセプタ559上のウエハ60を加熱する基板加熱部としてのヒータ563とが具備される。なお、本実施形態では、サセプタ559内にサセプタ冷却剤流路を設けていないが、サセプタ559内に、図4で示した第1の処理ユニットのサセプタ冷却剤流路464と同様のサセプタ冷却剤流路を設け、第1の処理ユニットと同様に、冷却剤流量制御を行うように構成してもよい。   The reaction vessel 531 is formed in a cylindrical shape with high-purity quartz glass or ceramics. A processing chamber 545 is provided below the reaction vessel 531. Below the processing chamber 545, a susceptor 559 supported by a plurality of (for example, four) support columns 561 is provided. The susceptor 559 includes a susceptor table 511 and a heater 563 provided inside the susceptor 559 and serving as a substrate heating unit that heats the wafer 60 on the susceptor 559. In this embodiment, the susceptor coolant channel is not provided in the susceptor 559, but the susceptor coolant similar to the susceptor coolant channel 464 of the first processing unit shown in FIG. A flow path may be provided and the coolant flow rate control may be performed similarly to the first processing unit.

(第2の温度制御部) 第2の温度制御部は、第2の処理ユニットのサセプタ559上の基板温度を制御するものであり、第1の温度制御部と同様に構成される。すなわち、ヒータ563は、第2のヒータ電力供給線を介して、第2の温度制御部に接続される。ヒータ563の近傍には、サセプタ559やサセプタ559上に載置されるウエハ60の温度を検出するための第2の温度検出部が設けられている。第2の温度検出部は、コントローラ600に電気的に接続されている。第2の温度検出部で検出された温度データは、コントローラ600に入力される。コントローラ600は、検出された温度データに基づき、ヒータ563へ供給する電力量を制御するよう、第2の温度制御部に指示し、ウエハ60が所望の温度となるようヒータ563を制御する。なお、第2の温度制御部に、ヒータ563を含めても良い。 (Second Temperature Control Unit) The second temperature control unit controls the substrate temperature on the susceptor 559 of the second processing unit, and is configured similarly to the first temperature control unit. That is, the heater 563 is connected to the second temperature control unit via the second heater power supply line. In the vicinity of the heater 563, a susceptor 559 and a second temperature detection unit for detecting the temperature of the wafer 60 placed on the susceptor 559 are provided. The second temperature detection unit is electrically connected to the controller 600. The temperature data detected by the second temperature detection unit is input to the controller 600. The controller 600 instructs the second temperature control unit to control the amount of power supplied to the heater 563 based on the detected temperature data, and controls the heater 563 so that the wafer 60 reaches a desired temperature. Note that a heater 563 may be included in the second temperature control unit.

サセプタ559の下方に、排気板565が配設される。排気板565は、ガイドシャフト567を介して底板569に支持される。排気板565の下方に、昇降板571がガイドシャフト567をガイドとして昇降自在に動くように設けられる。昇降板571は、少なくとも3本のリフターピン513を支持している。リフターピン513は、サセプタ559を貫通する。リフターピン513の頂には、ウエハ60を支持する支持部514が設けられている。リフターピン513の昇降によって、ウエハ60をサセプタ559に載置し、あるいはサセプタ559から持ち上げることができる。底板569を貫通して、昇降駆動部573の昇降シャフト572が、昇降板571に連結されている。昇降駆動部573が昇降シャフト572を昇降させることで、昇降板571とリフターピン513を介して、支持部514が昇降する。   An exhaust plate 565 is disposed below the susceptor 559. The exhaust plate 565 is supported by the bottom plate 569 via a guide shaft 567. Below the exhaust plate 565, an elevating plate 571 is provided to move up and down with a guide shaft 567 as a guide. The lifting plate 571 supports at least three lifter pins 513. The lifter pin 513 passes through the susceptor 559. A support portion 514 that supports the wafer 60 is provided on the top of the lifter pins 513. The wafer 60 can be placed on the susceptor 559 or lifted from the susceptor 559 by raising and lowering the lifter pins 513. The lifting shaft 572 of the lifting drive unit 573 is connected to the lifting plate 571 through the bottom plate 569. As the elevating drive unit 573 moves the elevating shaft 572 up and down, the support unit 514 moves up and down via the elevating plate 571 and the lifter pin 513.

(第2の排気部) サセプタ559と排気板565の間に、バッフルリング558が設けられる。円筒状のバッフルリング558は、通気孔が多数均一に設けられており、通気孔によって、処理室545と第1排気室574が連通している。 排気板565に、排気連通孔575が設けられる。排気連通孔575によって、第1排気室574と第2排気室576が連通される。第2排気室576には、排気管580が連通されており、排気管580には、圧力調整バルブ579と排気ポンプ581が設けられている。排気管580、圧力調整バルブ579を少なくとも有するように、処理室545内のガス(雰囲気)を排出する第2の排気部が構成される。なお、排気ポンプ581を第2の排気部に含めても良い。 (Second exhaust part) A baffle ring 558 is provided between the susceptor 559 and the exhaust plate 565. The cylindrical baffle ring 558 has a large number of air holes uniformly, and the processing chamber 545 and the first exhaust chamber 574 communicate with each other through the air holes. An exhaust communication hole 575 is provided in the exhaust plate 565. The first exhaust chamber 574 and the second exhaust chamber 576 communicate with each other through the exhaust communication hole 575. An exhaust pipe 580 is communicated with the second exhaust chamber 576, and a pressure adjustment valve 579 and an exhaust pump 581 are provided in the exhaust pipe 580. A second exhaust unit that exhausts the gas (atmosphere) in the processing chamber 545 is configured to include at least the exhaust pipe 580 and the pressure adjustment valve 579. Note that the exhaust pump 581 may be included in the second exhaust part.

(第3のガス供給部) 反応容器531上側のトッププレート554には、第3のガス供給ユニット(第3のガス供給部)582が接続されている。第3のガス供給ユニット582は、ガス導入口533に接続されるガス供給管582aと、ガス供給管582aに接続される不活性ガス供給管582eとを有する。ガス供給管582aには、上流から順に、第3のガス(本実施形態ではOガス)のガス源である第3ガス源582b、マスフローコントローラ582c、開閉弁582dが設けられている。不活性ガス供給管582eには、上流から順に、不活性ガス(本実施形態ではNガス)のガス源である不活性ガス源582f、マスフローコントローラ582g、開閉弁582hが設けられている。(Third Gas Supply Unit) A third gas supply unit (third gas supply unit) 582 is connected to the top plate 554 above the reaction vessel 531. The third gas supply unit 582 includes a gas supply pipe 582a connected to the gas inlet 533 and an inert gas supply pipe 582e connected to the gas supply pipe 582a. The gas supply pipe 582a is provided with a third gas source 582b, a mass flow controller 582c, and an on-off valve 582d, which are gas sources of a third gas (O 2 gas in the present embodiment) in order from the upstream. The inert gas supply pipe 582e is provided with an inert gas source 582f, a mass flow controller 582g, and an on-off valve 582h, which are gas sources of an inert gas (N 2 gas in the present embodiment) in order from the upstream.

マスフローコントローラ582cと開閉弁582dとを制御することで、第3のガスの流量を制御することができる。また、マスフローコントローラ582gと開閉弁582hとを制御することで、不活性ガスの流量を制御することができる。不活性ガスは、ガス供給管582a内の残ガスをパージ(除去)するパージガス、又は処理室545内の雰囲気を排出するパージガスとして、更には、ガス供給管582aに供給される第3のガスの希釈ガスとして用いられる。   By controlling the mass flow controller 582c and the on-off valve 582d, the flow rate of the third gas can be controlled. Further, the flow rate of the inert gas can be controlled by controlling the mass flow controller 582g and the on-off valve 582h. The inert gas is a purge gas for purging (removing) the residual gas in the gas supply pipe 582a, or a purge gas for discharging the atmosphere in the processing chamber 545, and further, a third gas supplied to the gas supply pipe 582a. Used as a dilution gas.

第3のガス供給ユニット582は、ガス供給管582aと、マスフローコントローラ582cと、開閉弁582dとを、少なくとも有するように構成される。なお、第3のガス供給ユニット582に、パージガス供給管582e、マスフローコントローラ582g、開閉弁582hを含めても良い。更には、第3ガス源582b、不活性ガス源582fを含めても良い。   The third gas supply unit 582 is configured to have at least a gas supply pipe 582a, a mass flow controller 582c, and an on-off valve 582d. The third gas supply unit 582 may include a purge gas supply pipe 582e, a mass flow controller 582g, and an on-off valve 582h. Further, a third gas source 582b and an inert gas source 582f may be included.

また、反応容器531内には、処理ガスを反応容器531の内壁に沿って流れるようにするための略円板形で、石英からなるバッフル板584が設けられている。なお、マスフローコントローラ582cや圧力調整バルブ579等によって、ガスの供給量、排気量を調整することにより、処理室545の圧力が調整される。   Further, a baffle plate 584 made of quartz is provided in the reaction vessel 531 in a substantially disc shape for allowing the processing gas to flow along the inner wall of the reaction vessel 531. Note that the pressure in the processing chamber 545 is adjusted by adjusting the gas supply amount and the exhaust amount by the mass flow controller 582c, the pressure adjustment valve 579, and the like.

共振コイル521の両端は電気的に接地されており、共振コイル521の少なくとも一端は、可動タップ522を介して接地される。図5中の符号523は,他方の固定グランドを示す。更に、共振コイル521のインピーダンスを微調整するため、共振コイル521の接地された両端の間には、可動タップ524によって給電部が構成される。   Both ends of the resonance coil 521 is electrically grounded, at least one end of the resonance coil 521 is grounded through a movable tap 522. Reference numeral 523 in FIG. 5 indicates the other fixed ground. Further, for finely adjusting the impedance of the resonance coil 521, between the grounded ends of the resonant coil 521, the feeding unit is constituted by a movable tap 524.

外側シールド532は、共振コイル521の外側への電磁波の漏れを遮蔽するもので、アルミニウム合金、銅又は銅合金などの導電性材料を使用して円筒状に形成される。高周波電源525の出力側にはRFセンサ527が設置され、進行波、反射波等をモニタしている。RFセンサ527によってモニタされた反射波電力は、周波数整合器526に入力される。周波数整合器526は、反射波が最小となるよう周波数を制御する。   The outer shield 532 shields the leakage of electromagnetic waves to the outside of the resonance coil 521, and is formed in a cylindrical shape using a conductive material such as aluminum alloy, copper, or copper alloy. An RF sensor 527 is installed on the output side of the high-frequency power source 525 and monitors traveling waves, reflected waves, and the like. The reflected wave power monitored by the RF sensor 527 is input to the frequency matching unit 526. The frequency matching unit 526 controls the frequency so that the reflected wave is minimized.

例えば室温に保持されたウエハ60がサセプタテーブル511上に載置された後、例えばエッチングガスである処理ガスが、ガス供給管582aからプラズマ生成室530に供給される。本実施形態では、処理ガスには、酸素(O)ガスが用いられる。また、前記処理ガスとしては、水素、水、アンモニア、四フッ化炭素(CF)等を用いることができる。処理ガス供給後、高周波電源525から、共振コイル521に電力が供給され、共振コイル521内部に励起される誘導磁界によって自由電子を加速し、ガス分子と衝突させることでガス分子を励起してプラズマを生成する。このようにして、プラズマにより活性化されたガスにより、エッチング処理やアッシング処理等のプラズマ処理を行う。For example, after the wafer 60 held at room temperature is placed on the susceptor table 511, a processing gas, for example, an etching gas is supplied to the plasma generation chamber 530 from the gas supply pipe 582a. In the present embodiment, oxygen (O 2 ) gas is used as the processing gas. Moreover, hydrogen, water, ammonia, carbon tetrafluoride (CF 4 ), or the like can be used as the processing gas. After supplying the processing gas, power is supplied to the resonance coil 521 from the high-frequency power source 525, free electrons are accelerated by an induced magnetic field excited inside the resonance coil 521, and the gas molecules are excited to collide with the gas molecules to excite the plasma. Is generated. In this way, plasma processing such as etching processing or ashing processing is performed with the gas activated by the plasma.

第1の温度制御部と第2の温度制御部とをまとめて、温度制御部と呼ぶ。第1のガス供給部と第2のガス供給部と第3のガス供給部とをまとめて、ガス供給部と呼ぶ。第1の排気部と第2の排気部とをまとめて、排気部と呼ぶ。したがって、温度制御部とは、第1の温度制御部、又は第2の温度制御部、あるいは第1及び第2の温度制御部の両者を意味する。ガス供給部と排気部の意味も、温度制御部と同様である。   The first temperature control unit and the second temperature control unit are collectively referred to as a temperature control unit. Together with the first gas supply unit and the second gas supply unit and a third gas supply unit, referred to as a gas supply unit. The first exhaust part and the second exhaust part are collectively referred to as an exhaust part. Therefore, the temperature control unit, means first temperature control unit, or the second temperature control unit, or both the first and second temperature control unit. The meanings of the gas supply unit and the exhaust unit are the same as those of the temperature control unit.

なお、本実施形態においては、第1の温度制御部や第2の温度制御部をコントローラ600と別構成として説明したが、それに限られるものではなく、コントローラ600が、第1の温度制御部や第2の温度制御部を兼ねても良い。   In the present embodiment, the first temperature control unit and the second temperature control unit have been described as separate components from the controller 600. However, the present invention is not limited to this, and the controller 600 includes the first temperature control unit, It may also serve as the second temperature control unit.

(コントローラ:制御部) 次に、コントローラ600の構成について説明する。図6は、本実施形態に係るコントローラの構造図である。図6に示すように、制御部(制御手段)であるコントローラ600は、CPU(Central Processing Unit)600a、RAM(Random Access Memory)600b、記憶装置600c、I/Oポート600dを備えたコンピュータとして構成されている。RAM600b、記憶装置600c、I/Oポート600dは、内部バス600eを介して、CPU600aとデータ交換可能な様に構成されている。コントローラ600には、例えばタッチパネル等で構成される入出力装置601が接続されている。 (Controller: Control Unit) Next, the configuration of the controller 600 will be described. FIG. 6 is a structural diagram of the controller according to the present embodiment. As shown in FIG. 6, a controller 600 as a control unit (control means) is configured as a computer including a CPU (Central Processing Unit) 600a, a RAM (Random Access Memory) 600b, a storage device 600c, and an I / O port 600d. Has been. The RAM 600b, the storage device 600c, and the I / O port 600d are configured to exchange data with the CPU 600a via the internal bus 600e. For example, an input / output device 601 configured with a touch panel or the like is connected to the controller 600.

記憶装置600cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置600c内には、基板処理装置20の動作を制御する制御プログラムや、後述する基板処理装置20における基板処理の手順や条件などが記載されたプロセスレシピ等が、読み出し可能に格納されている。更には、処理ガスであるエッチングガスやアッシングガスの種類毎に処理条件が記憶されている。ここで、処理条件とは、基板やサセプタの温度帯、処理室の圧力、ガスの分圧、ガス供給量、冷却剤流量、処理時間など、基板を処理する際の条件を言う。   The storage device 600c is configured by, for example, a flash memory, an HDD (Hard Disk Drive), or the like. In the memory device 600c, a control program for controlling the operation of the substrate processing apparatus 20, process recipe, etc. such as procedures and conditions of the substrate processing is described in the substrate processing apparatus 20 to be described later, it is stored readably . Furthermore, processing conditions are stored for each type of etching gas or ashing gas that is a processing gas. Here, the processing conditions refer to conditions for processing the substrate, such as the temperature zone of the substrate and the susceptor, the pressure in the processing chamber, the partial pressure of gas, the gas supply amount, the coolant flow rate, and the processing time.

なお、プロセスレシピは、後述する基板処理装置20の基板処理工程における手順をコントローラ600に実行させ、所定の結果を得ることが出来る様に組み合わされたものであり、プログラムとして機能する。以下、このプロセスレシピや制御プログラム等を総称して、単にプログラムともいう。なお、本明細書においてプログラムという言葉を用いた場合は、プロセスレシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、その両方を含む場合がある。 RAM600bは、CPU600aによって読み出されたプログラムやデータ等が一時的に保持される作業用メモリ領域(ワークエリア)として構成されている。   The process recipe is a combination of the controller 600 so that a predetermined result can be obtained by causing the controller 600 to execute a procedure in a substrate processing step of the substrate processing apparatus 20 to be described later, and functions as a program. Hereinafter, the process recipe, the control program, and the like are collectively referred to as simply a program. When the term “program” is used in this specification, it may include only a process recipe alone, may include only a control program alone, or may include both. The RAM 600b is configured as a working memory area (work area) in which programs, data, and the like read by the CPU 600a are temporarily stored.

I/Oポート600dは、上述の昇降駆動部473,573、ヒータ温度制御部485、温度検出部488、圧力調整バルブ479,579、マスフローコントローラ482c,482g,483c,582c,582g、開閉弁482d,482h,483d,582d,582h、排気ポンプ481,581、大気搬送ロボット130、ゲートバルブ311〜314、真空搬送ロボット320、冷却剤流量制御部486等に接続されている。   The I / O port 600d includes the above-described lift drive units 473, 573, heater temperature control unit 485, temperature detection unit 488, pressure adjustment valves 479, 579, mass flow controllers 482c, 482g, 483c, 582c, 582g, open / close valves 482d, 482h, 483d, 582d, 582h, exhaust pump 481,581, the atmospheric transfer robot 130, a gate valve 311 to 314, the vacuum transfer robot 320, and is connected to the coolant flow rate control unit 486 and the like.

CPU600aは、記憶装置600cから制御プログラムを読み出して実行すると共に、入出力装置501からの操作コマンドの入力等に応じて記憶装置600cからプロセスレシピを読み出す様に構成されている。そして、CPU600aは、読み出したプロセスレシピの内容に沿う様に、昇降駆動部(473等)によるリフターピン(413等)の上下動作、ヒータ温度制御部(485等)によるウエハ60の加熱動作、圧力調整バルブ(479等)による圧力調整動作、マスフローコントローラ(482c等)と開閉弁(482d等)による処理ガスの流量調整動作、等を制御する様に構成されている。   CPU600a, along with reads and executes the control program from the storage device 600c, and is configured so as reads the process recipe from the memory device 600c according to an input of the operation command from the input-output device 501. Then, the CPU 600a moves the lifter pins (413, etc.) up and down by the elevation drive unit (473, etc.), the heating operation of the wafer 60 by the heater temperature control unit (485, etc.), and the pressure so as to follow the contents of the read process recipe. It is configured to control the pressure adjustment operation by the adjustment valve (479 etc.) and the flow rate adjustment operation of the processing gas by the mass flow controller (482c etc.) and the on-off valve (482d etc.).

なお、コントローラ600は、専用のコンピュータとして構成されている場合に限らず、汎用のコンピュータとして構成されていてもよい。例えば、上述のプログラムを格納した外部記憶装置602を用意し、係る外部記憶装置602を用いて汎用のコンピュータにプログラムをインストールすること等により、本実施形態に係るコントローラ600を構成することができる。外部記憶装置602は、例えば、磁気テープ、フレキシブルディスクやハードディスク等の磁気ディスク、CDやDVD等の光ディスク、MO等の光磁気ディスク、USBメモリ(USB Flash Drive)やメモリカード等の半導体メモリで構成される。なお、コンピュータにプログラムを供給するための手段は、外部記憶装置602を介して供給する場合に限られない。例えば、インターネットや専用回線等の通信手段を用い、外部記憶装置602を介さずにプログラムを供給する様にしてもよい。   The controller 600 is not limited to being configured as a dedicated computer, and may be configured as a general-purpose computer. For example, the controller 600 according to the present embodiment can be configured by preparing an external storage device 602 storing the above-described program and installing the program in a general-purpose computer using the external storage device 602. The external storage device 602 includes, for example, a magnetic tape, a magnetic disk such as a flexible disk and a hard disk, an optical disk such as a CD and a DVD, a magneto-optical disk such as an MO, and a semiconductor memory such as a USB memory (USB Flash Drive) and a memory card. Is done. The means for supplying the program to the computer is not limited to the case of supplying via the external storage device 602. For example, the program may be supplied without using the external storage device 602 using communication means such as the Internet or a dedicated line.

以上のように、記憶装置600cや外部記憶装置602は、コンピュータ読み取り可能な記録媒体として構成される。以下、これらを総称して、単に記録媒体ともいう。なお、本明細書において記録媒体という言葉を用いた場合は、記憶装置600c単体のみを含む場合、外部記憶装置602単体のみを含む場合、または、その両方を含む場合がある。   As described above, the storage device 600c and the external storage device 602 are configured as computer-readable recording media. Hereinafter, these are collectively referred to simply as a recording medium. Note that in this specification, the term recording medium may include only the storage device 600c alone, may include only the external storage device 602 alone, or may include both.

(2)基板処理方法 次に、本実施形態に係る基板処理方法が実施される基板処理工程の一例について、図7〜図19を用いて以下に説明する。この基板処理工程は、微細パターンの形成工程や、半導体装置の製造方法の一工程として行われる。図7は、本発明の実施形態に係る基板処理方法の処理フローを示す図である。図8、図10〜図14、図16〜図19は、それぞれ、本発明の実施形態に係る微細パターン形成処理の第1〜10段階を示す図である。また、図9と図15は、それぞれ、図8と図14の段階における基板裏側を示す図である。 (2) Substrate Processing Method Next, an example of a substrate processing step in which the substrate processing method according to this embodiment is performed will be described below with reference to FIGS. This substrate processing step is performed as a step of forming a fine pattern and a step of manufacturing a semiconductor device. FIG. 7 is a diagram showing a processing flow of the substrate processing method according to the embodiment of the present invention. 8, FIG. 10 to FIG. 14, and FIG. 16 to FIG. 19 are views showing the first to tenth stages of the fine pattern forming process according to the embodiment of the present invention, respectively. FIGS. 9 and 15 are diagrams showing the back side of the substrate at the stage of FIGS. 8 and 14, respectively.

なお、本実施形態の基板処理装置20においては、少なくとも図7のステップS6(コアパターン除去工程)が実施される。   In the substrate processing apparatus 20 of the present embodiment, at least step S6 (core pattern removal process) in FIG. 7 is performed.

まず、図7のステップS1に示すように、所望の線幅のレジストパターン1が、Si膜2の上に形成される。この線幅は、露光装置の解像限界以上の線幅である。詳しくは、図8に示すように、Si基板9の表側に、SiO膜8、Si膜7、SiO膜6、Si膜5、アモルファスSi膜4、カーボンを含むハードマスクであるカーボンハードマスク(CHM)膜3、反射防止膜であるSi膜2を、この順に積層し多層膜を形成する。そして、この多層膜上にフォトレジストを塗布した後、リソグラフィ技術で露光し、その後、現像してレジストパターン1を形成する。こうして、多層レジスト膜を形成する。First, as shown in step S <b> 1 of FIG. 7, a resist pattern 1 having a desired line width is formed on the Si film 2. This line width is a line width greater than the resolution limit of the exposure apparatus. Specifically, as shown in FIG. 8, on the front side of the Si substrate 9, a SiO 2 film 8, a Si 3 N 4 film 7, a SiO 2 film 6, a Si 3 N 4 film 5, an amorphous Si film 4, and a hard containing carbon. A carbon hard mask (CHM) film 3 as a mask and an Si film 2 as an antireflection film are laminated in this order to form a multilayer film. And after apply | coating a photoresist on this multilayer film, it exposes with a lithography technique, Then, it develops and the resist pattern 1 is formed. Thus, a multilayer resist film is formed.

SiO膜8は、膜厚が3〜10nm程度であり、例えば、酸化温度600〜1100℃で、Si基板9の上に形成された熱酸化膜である。SiO膜8は、Si膜7を熱リン酸で除去する工程においてSi基板9の表面保護を目的としている。Si膜7は、膜厚が30〜50nm程度であり、温度500〜900℃でCVD(Chemical Vapor Deposition)法により、SiO膜8の上に成膜される。Si膜7は、図19に示すSi基板9の溝21の形成後に、溝21に埋めたSiO膜を平坦化するCMP工程時のストッパー膜である。The SiO 2 film 8 is a thermal oxide film having a film thickness of about 3 to 10 nm and formed on the Si substrate 9 at an oxidation temperature of 600 to 1100 ° C., for example. The SiO 2 film 8 is intended to protect the surface of the Si substrate 9 in the process of removing the Si 3 N 4 film 7 with hot phosphoric acid. The Si 3 N 4 film 7 has a film thickness of about 30 to 50 nm and is formed on the SiO 2 film 8 at a temperature of 500 to 900 ° C. by a CVD (Chemical Vapor Deposition) method. The Si 3 N 4 film 7 is a stopper film at the time of the CMP process for flattening the SiO 2 film buried in the groove 21 after the formation of the groove 21 of the Si substrate 9 shown in FIG.

SiO膜6は、膜厚が30〜50nm程度であり、温度500〜900℃でCVD法により、Si膜7の上に成膜される。SiO膜6は、下地のSi膜7と、SiO膜8と、その下のSi基板9とを、ドライエッチングすることによって、図19に示すSi基板9の溝21を形成する際のハードマスクになる。The SiO 2 film 6 has a film thickness of about 30 to 50 nm and is formed on the Si 3 N 4 film 7 by a CVD method at a temperature of 500 to 900 ° C. The SiO 2 film 6 forms a groove 21 of the Si substrate 9 shown in FIG. 19 by dry etching the underlying Si 3 N 4 film 7, the SiO 2 film 8, and the underlying Si substrate 9. It becomes a hard mask.

Si膜5は、膜厚が5〜20nm程度であり、温度500〜900℃でCVD法により、SiO膜6の上に成膜される。Si膜5は、SADPプロセスで用いるサイドウォールのSiO膜パターンを、転写するための膜である。低温で形成したサイドウォールのSiO膜は、ドライエッチング耐性が小さいので、サイドウォールのSiO膜をマスクとして、厚いSi膜7の加工を行なうのは難しい。そのため、サイドウォールのSiO膜パターンを、薄いSi膜5に、一旦転写するプロセス(後述のステップS7)を用いる。The Si 3 N 4 film 5 has a thickness of about 5 to 20 nm and is formed on the SiO 2 film 6 by a CVD method at a temperature of 500 to 900 ° C. The Si 3 N 4 film 5 is a film for transferring the SiO 2 film pattern of the sidewall used in the SADP process. The sidewall SiO 2 film formed at a low temperature has low dry etching resistance, and it is difficult to process the thick Si 3 N 4 film 7 using the sidewall SiO 2 film as a mask. Therefore, a process (step S7 described later) for temporarily transferring the SiO 2 film pattern on the sidewall to the thin Si 3 N 4 film 5 is used.

アモルファスSi膜4は、膜厚が40〜60nm程度であり、温度400〜550℃でCVD法により、Si膜5の上に成膜される。CHM膜3は、アモルファスのカーボン膜で、膜厚が100〜500nm程度であり、温度200〜550℃でCVD法により、アモルファスSi膜4の上に成膜される。アモルファスSi膜2は、膜厚が2〜10nm程度であり、温度400〜550℃でCVD法により、CHM膜3の上に成膜される。アモルファスSi膜2は、リソグラフィ技術による露光時に、反射防止膜として機能する。The amorphous Si film 4 has a thickness of about 40 to 60 nm and is formed on the Si 3 N 4 film 5 by a CVD method at a temperature of 400 to 550 ° C. The CHM film 3 is an amorphous carbon film having a thickness of about 100 to 500 nm, and is formed on the amorphous Si film 4 by a CVD method at a temperature of 200 to 550 ° C. The amorphous Si film 2 has a thickness of about 2 to 10 nm and is formed on the CHM film 3 by a CVD method at a temperature of 400 to 550 ° C. The amorphous Si film 2 functions as an antireflection film during exposure by lithography.

Si基板9の表側に膜8〜2を積層するとき、図9に示すように、Si基板9の裏側には、膜8〜2が、この順に積層される。Si基板9の裏側を平坦なサセプタにより支持する場合においても、少なくともSi基板9の裏側の周辺部には、上記の積層膜8〜2が形成される。このうち積層膜4〜2は、比較的剥がれ易い脆弱な膜であるので、本基板処理工程の後に行われる工程において、剥がれ落ちてパーティクルの原因となる恐れがあり、好ましくない。そこで、後述する図7のステップS6において、積層膜4〜2を除去する。   When the films 8-2 are stacked on the front side of the Si substrate 9, the films 8-2 are stacked in this order on the back side of the Si substrate 9, as shown in FIG. Even when the back side of the Si substrate 9 is supported by a flat susceptor, the laminated films 8 to 2 are formed at least on the periphery of the back side of the Si substrate 9. Of these, the laminated films 4 and 2 are fragile films that are relatively easily peeled off. Therefore, they may be peeled off and cause particles in a process performed after the substrate processing process, which is not preferable. Therefore, the laminated films 4 and 2 are removed in step S6 of FIG.

次に、図7のステップS2に示すように、レジストパターン1と同じ線幅で、CHMパターンを形成する。詳しくは、図10に示すように、パターニングされたレジスト1をマスクにして、下地のアモルファスSi膜2を、例えばClガスを用いた公知のドライエッチング処理により加工し、続いて、CHM膜3を、例えばOガスを用いた公知のドライエッチング処理により加工する。その後、例えばOガスを用いた公知のアッシング処理によりレジスト1を除去する。 なお、Si膜2のエッチングは、Si基板9の表面に対して垂直方向に行われる異方性エッチングであるので、Si基板9の裏側のアモルファスSi膜2は除去されることはない。Next, as shown in step S <b> 2 of FIG. 7, a CHM pattern is formed with the same line width as the resist pattern 1. Specifically, as shown in FIG. 10, the underlying amorphous Si film 2 is processed by a known dry etching process using, for example, Cl 2 gas, using the patterned resist 1 as a mask, and then the CHM film 3 Is processed by a known dry etching process using, for example, O 2 gas. Thereafter, the resist 1 is removed by a known ashing process using, for example, O 2 gas. Note that the etching of the Si film 2 is anisotropic etching performed in a direction perpendicular to the surface of the Si substrate 9, and therefore the amorphous Si film 2 on the back side of the Si substrate 9 is not removed.

次に、図7のステップS3に示すように、CHM膜3と同じ線幅で、コアパターン4を形成する。詳しくは、図11に示すように、CHM膜3をマスクとして、その下地の膜であるアモルファスSi膜4を、例えばClガスやCFClガスを用いた公知のドライエッチング処理により加工し、このアモルファスSi膜4で、レジストパターン1と同じ線幅のコアパターン4を形成する。その後、例えばOガスを用いた公知のドライエッチング処理によりCHM膜3を除去する。このとき、Si基板9の裏側のCHM膜3は、Si基板9の裏側のSi膜2が除去されていないので、除去されることはない。Next, as shown in step S <b> 3 of FIG. 7, the core pattern 4 is formed with the same line width as the CHM film 3. Specifically, as shown in FIG. 11, with the CHM film 3 as a mask, the underlying amorphous Si film 4 is processed by a known dry etching process using, for example, Cl 2 gas or CF 2 Cl 2 gas. The core pattern 4 having the same line width as the resist pattern 1 is formed from the amorphous Si film 4. Thereafter, the CHM film 3 is removed by a known dry etching process using, for example, O 2 gas. At this time, the CHM film 3 on the back side of the Si substrate 9 is not removed because the Si film 2 on the back side of the Si substrate 9 is not removed.

以上説明したように、コアパターン形成工程は、露光現像工程とドライエッチング工程とを含む。そして、露光現像工程では、所定の線幅のパターンを形成するようにレジスト膜を露光した後に現像して、レジストパターン1を形成する。ドライエッチング工程では、レジストパターン1をマスクにして、反射防止膜(Si膜2)とハードマスク膜(CHM膜3)とをエッチングして、コアパターン4を形成する。   As described above, the core pattern forming process includes an exposure development process and a dry etching process. Then, in the exposure and development process, by developing the resist film to form a pattern having a predetermined line width after exposure to form a resist pattern 1. In the dry etching process, the core pattern 4 is formed by etching the antireflection film (Si film 2) and the hard mask film (CHM film 3) using the resist pattern 1 as a mask.

そして、コアパターン4の形成が終了した段階において、Si基板9の裏側には、Si基板9の表側のコアパターン4と同一材質の膜である裏側コア材質膜と、裏側コア材質膜の上に形成された裏側ハードマスク膜と、裏側ハードマスク膜の上に形成された裏側反射防止膜とが存在する。裏側コア材質膜は、Si基板9の表側のコアパターン4と同時に形成され、裏側ハードマスク膜は、Si基板9の表側のCHM膜3と同時に形成され、裏側反射防止膜は、Si基板9の表側の反射防止Si膜2と同時に形成されたものである。   Then, at the stage where the formation of the core pattern 4 is completed, on the back side of the Si substrate 9, on the back side core material film, which is the same material film as the core pattern 4 on the front side of the Si substrate 9, and on the back side core material film There is a back side hard mask film formed and a back side antireflection film formed on the back side hard mask film. The back side core material film is formed simultaneously with the core pattern 4 on the front side of the Si substrate 9, the back side hard mask film is formed simultaneously with the CHM film 3 on the front side of the Si substrate 9, and the back side antireflection film is formed on the Si substrate 9. It is formed simultaneously with the antireflection Si film 2 on the front side.

次に、図7のステップS4に示すように、コアパターン4やSi膜5の上から、SiO膜10を成膜する。詳しくは、図12に示すように、低温、例えば0〜400℃、好ましくは0〜100℃、かつ低圧(例えば、399Pa)雰囲気中で、アミノシランガス或いはクロロシランガスとHOガスを交互供給することより、SiO膜10を堆積し成膜する。アミノシランガスとHOガスの供給時には、それぞれに触媒としてピリジンを同時供給する。また、交互供給の間に処理空間のNパージを挿入する、つまり、交互供給するガスを切り換える際に、Nパージを行う。Next, as shown in step S < b > 4 of FIG. 7, the SiO 2 film 10 is formed on the core pattern 4 and the Si 3 N 4 film 5. Specifically, as shown in FIG. 12, aminosilane gas or chlorosilane gas and H 2 O gas are alternately supplied in a low temperature, for example, 0 to 400 ° C., preferably 0 to 100 ° C., and in a low pressure (for example, 399 Pa) atmosphere. Thus, the SiO 2 film 10 is deposited and formed. When supplying aminosilane gas and H 2 O gas, pyridine is simultaneously supplied as a catalyst to each. Also, inserting a N 2 purge of the processing space between the alternate supply, that is, when switching the alternating supply gas, performs N 2 purge.

なお、SADPプロセスにおいて、SiO膜10を100℃以下あるいは400℃以下の低温で成膜する理由は、膜ストレスの小さなサイドウォール膜を得るためである。サイドウォール膜の膜ストレスが大きいと、コアパターン4を除去した後に残ったサイドウォールが傾いたり倒れたりする原因になる。In the SADP process, the reason why the SiO 2 film 10 is formed at a low temperature of 100 ° C. or lower or 400 ° C. or lower is to obtain a sidewall film with a small film stress. When the film stress of the side wall film is large, the side wall remaining after the core pattern 4 is removed may be tilted or tilted.

そして、Si基板9の表側にSiO膜10を成膜するとき、Si基板9の周辺部の裏側にも、SiO膜10が堆積し形成される。但し、Si基板9の周辺部の裏側のSiO膜10は、後述する図7のステップS5において除去される。Then, when forming the SiO 2 film 10 on the front side of the Si substrate 9, also the rear side of the peripheral portion of the Si substrate 9, SiO 2 film 10 is deposited to form. However, the SiO 2 film 10 on the back side of the peripheral portion of the Si substrate 9 is removed in step S5 of FIG.

次に、図7のステップS5に示すように、SiO膜10を加工しサイドウォールを形成する。詳しくは、図13に示すように、例えばCFガスとHガスの混合ガスを用いた公知のドライエッチング処理により、SiO膜10を加工する。このとき、サイドウォール10の線幅は、レジストパターン1よりも小さい線幅、つまり解像限界未満の線幅となる。こうして、基板表側に残ったサイドウォール膜10は、リソグラフィの解像限界寸法未満の線幅で形成された状態になる。このとき、Si基板9の裏側を平坦なサセプタにより支持する場合においても、少なくともSi基板9の裏側の周辺部には、前記混合ガスが到達するので、Si基板9の周辺部の裏側に堆積したSiO膜10が除去される。Next, as shown in step S5 of FIG. 7, the SiO 2 film 10 is processed to form sidewalls. Specifically, as shown in FIG. 13, the SiO 2 film 10 is processed by a known dry etching process using, for example, a mixed gas of CF 4 gas and H 2 gas. At this time, the line width of the sidewall 10 is smaller than that of the resist pattern 1, that is, a line width less than the resolution limit. Thus, the sidewall film 10 remaining on the front side of the substrate is in a state of being formed with a line width less than the resolution limit dimension of lithography. At this time, even when the back side of the Si substrate 9 is supported by a flat susceptor, the mixed gas reaches at least the peripheral part on the back side of the Si substrate 9, so that it is deposited on the back side of the peripheral part of the Si substrate 9. The SiO 2 film 10 is removed.

次に、図7のステップS6に示すように、Siのコアパターン4を除去する処理を行う。詳しくは、図14に示すように、サイドウォール10を除去することなく、つまり、サイドウォール10を残した状態で、サイドウォール10の間に挟まれたSi膜4を、ドライエッチング処理により除去する。このコアパターン除去処理が、本発明の特徴である。   Next, as shown in step S6 of FIG. 7, a process of removing the Si core pattern 4 is performed. Specifically, as shown in FIG. 14, the Si film 4 sandwiched between the sidewalls 10 is removed by dry etching without removing the sidewalls 10, that is, with the sidewalls 10 left. . This core pattern removal process is a feature of the present invention.

本実施形態では、図3に示す処理ユニット410において、コアパターン除去処理のエッチングガスとしてIF7ガスを用い、以下の処理条件C1でエッチング処理を行う。処理条件C1は、基板温度が室温(ここでは30℃)から50℃の範囲、好ましくは室温から40℃の範囲、処理室445内の圧力が100Pa〜1000Pa、好ましくは200〜500Paの範囲、IF7ガスの流量が0.5slm〜4slm、好ましくは0.5slm〜1slmの範囲、キャリアガスであるNガスの流量が0slm〜1slmの範囲とする。また、IFガスを供給する時間は、Si膜4の除去を行える時間であればよい。仮に、エッチング時間を多少多くなってもIFガス特有の選択性があるためオーバーエッチングの心配がないため、また、エッチング対象膜4の膜厚にもよるためここでは限定しない。In the present embodiment, in the processing unit 410 shown in FIG. 3, IF7 gas is used as the etching gas for the core pattern removal processing, and the etching processing is performed under the following processing conditions C1. The processing condition C1 is that the substrate temperature is in the range of room temperature (here 30 ° C.) to 50 ° C., preferably in the range of room temperature to 40 ° C., the pressure in the processing chamber 445 is in the range of 100 Pa to 1000 Pa, preferably in the range of 200 to 500 Pa, IF7 The gas flow rate is in the range of 0.5 slm to 4 slm, preferably in the range of 0.5 slm to 1 slm, and the flow rate of the N 2 gas as the carrier gas is in the range of 0 slm to 1 slm. Further, the time for supplying the IF 7 gas may be a time when the Si film 4 can be removed. Even if the etching time is somewhat increased, there is no concern about over-etching because of the selectivity specific to the IF 7 gas, and because it depends on the film thickness of the etching target film 4, it is not limited here.

IFガスを使用する場合、50℃以下で行なうことでSiのエッチングレートが向上し、下地であるSi膜5との高選択比を確保することが可能となる。また、40℃以下の場合、更に高選択比を確保できる。また、圧力が100Pa〜1000Paの場合、高選択比を確保でき、200〜500Paの場合、更に高選択比を確保できる。また、IFガスの流量が0.5slm〜4slmの場合、高選択比を確保でき、0.5slm〜1slmの場合、更に高選択比を確保できる。更に、高選択比を確保するには、キャリアガスなどのエッチングガス以外の他のガスを一切供給しないで、IFガスを単独で供給するのが好ましい。When IF 7 gas is used, the etching rate of Si is improved by carrying out at 50 ° C. or lower, and it becomes possible to secure a high selection ratio with the Si 3 N 4 film 5 that is the base. Moreover, when it is 40 degrees C or less, a still higher selection ratio is securable. Moreover, when the pressure is 100 Pa to 1000 Pa, a high selection ratio can be secured, and when the pressure is 200 to 500 Pa, a further high selection ratio can be secured. Further, when the flow rate of the IF 7 gas is 0.5 slm to 4 slm, a high selection ratio can be secured, and when it is 0.5 slm to 1 slm, a further high selection ratio can be secured. Furthermore, in order to ensure a high selection ratio, it is preferable to supply the IF 7 gas alone without supplying any gas other than the etching gas such as the carrier gas.

こうして、サイドウォール膜であるSiO膜10に対して高選択的にSiのコアパターン4をエッチングすることができ、かつ、下地のSi膜5に対しても高選択的にSiをエッチングできる。つまり、SiO膜10やSi膜5のエッチングを抑制しつつ、コアパターン4のSiを高選択的にエッチングして除去することを可能とする。そしてこのとき、50℃以下の温度でエッチングを実施することにより、100℃以下あるいは400℃以下の低温で成膜したSiO膜10が、温度により変化することを防ぐことができる。In this way, the Si core pattern 4 can be etched with high selectivity with respect to the SiO 2 film 10 as the sidewall film, and Si with high selectivity with respect to the underlying Si 3 N 4 film 5 as well. Can be etched. That is, Si of the core pattern 4 can be removed by highly selective etching while suppressing the etching of the SiO 2 film 10 and the Si 3 N 4 film 5. At this time, by performing etching at a temperature of 50 ° C. or lower, it is possible to prevent the SiO 2 film 10 formed at a low temperature of 100 ° C. or lower or 400 ° C. or lower from changing with temperature.

好ましくは、コアパターン除去処理において、(a)IFガスによるプラズマを用いない第1のエッチング処理、(b)例えばOガスによるプラズマを用いる第2のエッチング処理、(c)IFガスによるプラズマを用いない第3のエッチング処理を、この順に実施する。本実施形態では、図3に示す処理ユニット410を用いて(a)IFガスによる第1のエッチング処理を行い、その後、処理ユニット510を用いて(b)Oガスによる第2のエッチング処理を行い、その後、処理ユニット410を用いて(c)IFガスによる第3のエッチング処理を行う。(a)と(c)の処理条件は、上述した処理条件C1と同じである。Preferably, in the core pattern removal process, (a) a first etching process that does not use plasma by IF 7 gas, (b) a second etching process that uses plasma by, for example, O 2 gas, and (c) by IF 7 gas A third etching process without using plasma is performed in this order. In the present embodiment, (a) a first etching process using IF 7 gas is performed using the processing unit 410 shown in FIG. 3, and then (b) a second etching process using O 2 gas is performed using the processing unit 510. Then, (c) a third etching process using IF 7 gas is performed using the processing unit 410. The processing conditions (a) and (c) are the same as the processing condition C1 described above.

(a)IFガスによる第1のエッチング処理で、Si基板9表面のSiコアパターン4と裏面のSi膜2を除去し、Si基板9表面はサイドウォールのSiO膜10と下地のSi膜5のみになる。次に、(b)Oガスによるプラズマ処理(第2のエッチング処理)で裏面のCHM膜3を除去する。このOプラズマ処理は、下地のSi膜5表面を酸化する程の酸化力が無い条件で行われる。この酸化力が無い条件は、例えば低圧かつOガス流量を低くすることにより実現できる。よって、Si基板9表面上で、反応が起こらないでSi基板9裏面のCHM膜3が除去される。そして(c)IFガスによる第3のエッチング処理では、Si基板9裏面のCHM膜3の下にあったSi膜4が除去される。上述のように、IFガスは、高選択的にSiO膜10やSi膜5のエッチングを抑制しつつ、Si基板9裏面のSi膜4を除去することができる。一方、(b)Oガスによるプラズマ処理では、Si基板9表面のサイドウォールのSiO膜10の硬化(ハーデニング)が行われる場合がある。このような場合、Si基板9表面のサイドウォールのSiO膜10に対して、Oプラズマ処理による改質が行われ、ドライエッチング耐性が向上する。(A) In the first etching process using IF 7 gas, the Si core pattern 4 on the surface of the Si substrate 9 and the Si film 2 on the back surface are removed. The surface of the Si substrate 9 is composed of the SiO 2 film 10 on the side wall and the underlying Si 3 film. Only the N 4 film 5 is provided. Next, (b) the CHM film 3 on the back surface is removed by plasma treatment (second etching treatment) using O 2 gas. This O 2 plasma treatment is performed under the condition that there is no oxidizing power enough to oxidize the surface of the underlying Si 3 N 4 film 5. This condition of no oxidizing power can be realized by, for example, reducing the low pressure and the O 2 gas flow rate. Therefore, the CHM film 3 on the back surface of the Si substrate 9 is removed without causing a reaction on the surface of the Si substrate 9. (C) In the third etching process using the IF 7 gas, the Si film 4 under the CHM film 3 on the back surface of the Si substrate 9 is removed. As described above, the IF 7 gas can remove the Si film 4 on the back surface of the Si substrate 9 while suppressing the etching of the SiO 2 film 10 and the Si 3 N 4 film 5 with high selectivity. On the other hand, in (b) plasma treatment with O 2 gas, the SiO 2 film 10 on the side wall of the Si substrate 9 may be cured (hardened). In such a case, the SiO 2 film 10 on the side wall of the Si substrate 9 is modified by O 2 plasma treatment, and the dry etching resistance is improved.

このようにすると、図15に示すように、基板表側におけるSiコアパターン4の除去と並行して、基板裏側において、Si膜2とCHM膜3とSi膜4との積層膜を除去することができる。少なくとも、基板表側のSiコアパターン4の形成時に基板裏側に堆積したSi膜4を、除去することができる。詳しくは、処理(a)により、基板裏側のSi膜2(裏側反射防止膜)を除去し、処理(b)により、基板裏側のCHM膜3(裏側ハードマスク膜)を除去し、処理(c)により、基板表側のSiコアパターン4と同一材質の膜(裏側コア材質膜)を除去することができる。こうして、Siコアパターン4の除去工程において、裏側反射防止膜と裏側ハードマスク膜とコア材質膜とが除去される。   In this way, as shown in FIG. 15, in parallel with the removal of the Si core pattern 4 on the front side of the substrate, the laminated film of the Si film 2, the CHM film 3 and the Si film 4 can be removed on the back side of the substrate. it can. At least, the Si film 4 deposited on the substrate rear side at the time of forming the substrate front side of the Si core pattern 4 can be removed. Specifically, the process (a), removing the substrate back side of the Si film 2 (the back side antireflective film), by treatment (b), removing the CHM film 3 of the substrate rear side (back side hard mask layer), the process (c ), The film made of the same material as the Si core pattern 4 on the front side of the substrate (back side core material film) can be removed. Thus, in the process of removing the Si core pattern 4, and the back reflection preventing film and the back hard mask layer and the core material film is removed.

なお、前述したように、特にアモルファスカーボン膜であるCHM膜3は、本基板処理工程の後の後工程で行われる高温アニールプロセス、酸化プロセス、Oアッシャープロセスに弱いので、後工程において基板裏側の膜剥がれに起因するパーティクルを抑制するため、除去する必要がある。Si膜2やSi膜4は、導電膜であるため、パーティクルになると問題になる場合があるので、除去する必要がある。また、基板裏側は、Siが露出した状態では、汚染物質がSi基板中へ混入するなどの問題を生じるので、絶縁膜で覆うことが好ましい。そこで、基板裏側の膜5〜8を残した状態にしている。As described above, the CHM film 3 that is an amorphous carbon film is particularly vulnerable to a high temperature annealing process, an oxidation process, and an O 2 asher process performed in a subsequent process after the substrate processing process. In order to suppress particles caused by film peeling, it is necessary to remove the particles. Since the Si film 2 or the Si film 4 is a conductive film, it may cause a problem when it becomes particles, and thus needs to be removed. In addition, the back side of the substrate is preferably covered with an insulating film because contamination occurs in the Si substrate when Si is exposed. Therefore, the films 5 to 8 on the back side of the substrate are left.

次に、図7のステップS7に示すように、サイドウォールであるSiO膜10をマスクにして、下層のSi膜5を加工する。詳しくは、図16に示すように、例えばClガスを用いた公知のドライエッチング処理により、Si膜5を加工し、Si膜5のパターンをサイドウォールと同じ線幅で形成する。Next, as shown in step S7 of FIG. 7, the underlying Si 3 N 4 film 5 is processed using the SiO 2 film 10 as a side wall as a mask. Specifically, as shown in FIG. 16, for example, the Si 3 N 4 film 5 is processed by a known dry etching process using Cl 2 gas, and the pattern of the Si 3 N 4 film 5 is formed with the same line width as that of the sidewall. Form.

次に、図7のステップS8に示すように、パターニングされたSi膜5をマスクにして、下地のSiO膜6を加工する。詳しくは、図17に示すように、例えばCFガスとHガスの混合ガスを用いた公知のドライエッチング処理により、SiO膜6を加工し、SiO膜6のパターンをSi膜5と同じ線幅で形成する。Next, as shown in step S8 of FIG. 7, the underlying SiO 2 film 6 is processed using the patterned Si 3 N 4 film 5 as a mask. Specifically, as shown in FIG. 17, for example, the SiO 2 film 6 is processed by a known dry etching process using a mixed gas of CF 4 gas and H 2 gas, and the pattern of the SiO 2 film 6 is changed to Si 3 N 4. It is formed with the same line width as the film 5.

次に、図7のステップS9に示すように、パターニングされたSiO膜6をマスクにして、下層のSi膜7を加工する。詳しくは、図18に示すように、例えばClガスを用いた公知のドライエッチング処理により、Si膜7を加工し、Si膜7のパターンをSiO膜6と同じ線幅で形成する。Next, as shown in step S9 in FIG. 7, the underlying Si 3 N 4 film 7 is processed using the patterned SiO 2 film 6 as a mask. Specifically, as shown in FIG. 18, the Si 3 N 4 film 7 is processed by a known dry etching process using, for example, Cl 2 gas, and the pattern of the Si 3 N 4 film 7 is the same line as the SiO 2 film 6. Form with width.

次に、図7のステップS10に示すように、パターニングされたSiO膜6をマスクにして、下層のSiO膜8とSi基板9を加工する。詳しくは、図19に示すように、Clガス、又はClガスとCFHガスとの混合ガスを用いた公知のドライエッチング処理により、SiO膜8とSi基板9を加工し、SiO膜8とSi基板9のパターンをSiO膜6と同じ線幅で形成する。その結果、リソグラフィ解像限界寸法未満の線幅を持つSiのラインパターン又はスペースパターンが形成される。Next, as shown in step S10 in FIG. 7, the lower SiO 2 film 8 and the Si substrate 9 are processed using the patterned SiO 2 film 6 as a mask. Specifically, as shown in FIG. 19, by a known dry etching process using a mixed gas of Cl 2 gas, or Cl 2 gas and CFH 3 gas, processing the SiO 2 film 8 and the Si substrate 9, SiO 2 The pattern of the film 8 and the Si substrate 9 is formed with the same line width as that of the SiO 2 film 6. As a result, a Si line pattern or space pattern having a line width less than the lithography resolution limit dimension is formed.

本例では、図19に示すように、溝21が形成されたSi基板9のパターン上に、パターニングされたSiO膜6とSi膜7とSiO膜8とが残っている。SiO膜6は、Siとのエッチング選択比を最も確保できるので、Si基板9の溝21の加工時にマスクとして表面に残るようにしている。Si膜7は、前述したように、本実施形態の基板処理後の後工程において、Si基板9の溝21を酸化膜系の膜で埋め込んだ後、表面を平坦化するCMP工程でのストッパー膜となる。SiO膜8は、前述したように、Si膜7を熱リン酸で除去する際のSi基板9の表面保護を目的とするものである。In this example, as shown in FIG. 19, the patterned SiO 2 film 6, Si 3 N 4 film 7, and SiO 2 film 8 remain on the pattern of the Si substrate 9 in which the groove 21 is formed. Since the SiO 2 film 6 can secure the highest etching selectivity with Si, it remains on the surface as a mask when the groove 21 of the Si substrate 9 is processed. As described above, the Si 3 N 4 film 7 is a CMP process in which the groove 21 of the Si substrate 9 is filled with an oxide film and then the surface is flattened in the post process after the substrate processing of the present embodiment. Stopper film. As described above, the SiO 2 film 8 is intended to protect the surface of the Si substrate 9 when the Si 3 N 4 film 7 is removed with hot phosphoric acid.

(3)本実施形態の基板処理装置における基板処理方法 次に、本実施形態の基板処理装置20における基板処理工程の一例について以下に説明する。この基板処理工程では、上述したコアパターン除去工程S6を行うものであり、コアパターン除去工程S6が、第1の処理ユニット410と第2の処理ユニット510を用いて実施される。この基板処理工程は、例えば基板上に半導体装置を製造する半導体製造工程の一工程として実施される。この基板処理工程においては、基板処理装置20の各構成部の動作は、コントローラ600によって制御される。以下に説明するS21からS80までを、本実施形態の基板処理装置20における基板処理工程と呼ぶ。 (3) Substrate processing method in substrate processing apparatus of this embodiment Next, an example of the substrate processing process in the substrate processing apparatus 20 of this embodiment is demonstrated below. In this substrate processing step, the above-described core pattern removal step S6 is performed, and the core pattern removal step S6 is performed using the first processing unit 410 and the second processing unit 510. This substrate processing step is performed as one step of a semiconductor manufacturing step for manufacturing a semiconductor device on a substrate, for example. In this substrate processing step, the operation of each component of the substrate processing apparatus 20 is controlled by the controller 600. S21 to S80 described below are referred to as substrate processing steps in the substrate processing apparatus 20 of the present embodiment.

(初期冷却剤流量制御工程 S21) 第1の処理ユニット410において、冷却剤流量制御部486は、冷却剤供給ユニット491を制御し、予め設定された流量と温度に調整された冷却剤を、外部サセプタ冷却剤流路489a、サセプタ冷却剤流路464、外部サセプタ冷却剤流路489bにおいて、矢印489cの方向に循環させる。 In (initial coolant flow rate control step S21) the first processing unit 410, the coolant flow rate control unit 486 controls the coolant supply unit 491, the coolant is adjusted to a preset flow rate and temperature, external The susceptor coolant channel 489a, the susceptor coolant channel 464, and the external susceptor coolant channel 489b are circulated in the direction of the arrow 489c.

(初期ヒータ温度調整工程 S22) 第1の処理ユニット410において、ヒータ温度制御部485は、予め設定された初期電力をヒータ463に供給し、サセプタテーブル411が所望の温度となるようヒータ463を発熱させる。第2の処理ユニット510においても、第2の処理ユニット510のヒータ温度制御部は、第1の処理ユニット410のヒータ温度制御部485と同様の制御を行う。 (Initial Heater Temperature Adjustment Step S22) In the first processing unit 410, the heater temperature control unit 485 supplies the preset initial power to the heater 463, and the heater 463 generates heat so that the susceptor table 411 has a desired temperature. Let Also in the second processing unit 510, the heater temperature control unit of the second processing unit 510 performs the same control as the heater temperature control unit 485 of the first processing unit 410.

(サセプタ温度検出工程 S23) 初期冷却剤流量制御工程S21及び初期ヒータ温度調整工程S22の後、第1の処理ユニット410の温度検出部488は、サセプタ459の温度を検出する。第2の処理ユニット510においても、第2の処理ユニット510の温度検出部は、サセプタ559の温度を検出する。検出されたサセプタ温度の情報は、コントローラ600に入力される。 (Susceptor Temperature Detection Step S23) After the initial coolant flow rate control step S21 and the initial heater temperature adjustment step S22, the temperature detection unit 488 of the first processing unit 410 detects the temperature of the susceptor 459. Also in the second processing unit 510, the temperature detection unit of the second processing unit 510 detects the temperature of the susceptor 559. Information on the detected susceptor temperature is input to the controller 600.

(サセプタ温度判定工程 S24) コントローラ600は、検出された温度データ(サセプタ459とサセプタ559の温度)が予め定められた温度範囲であると判定した場合、即ち「Yes」の場合、次の基板載置工程S31に移行する。 (Susceptor temperature determination step S24) the controller 600, if the detected temperature data (temperature of the susceptor 459 and the susceptor 559) is determined to be the temperature at a predetermined range, i.e., when "Yes", the next substrate mounting to migrate to the location process S31.

検出された温度データが、予め定められた温度範囲と異なる情報である場合、即ち「No」である場合、予め定められた温度になるまで、初期冷却剤流量制御工程S21及び初期ヒータ温度調整工程S22と、その後のサセプタ温度検出工程S23を繰り返す。   When the detected temperature data is information different from the predetermined temperature range, that is, when it is “No”, the initial coolant flow rate control step S21 and the initial heater temperature adjustment step until the temperature reaches a predetermined temperature. S22 and the subsequent susceptor temperature detection step S23 are repeated.

S21からS24は、ウエハを処理する前の準備段階であり、ここではS21からS24を初期工程と呼ぶ。   S21 to S24 are preparatory steps before the wafer is processed. Here, S21 to S24 are called initial steps.

(第1の処理工程) 次に、以下のS31〜S40で構成され、第1のエッチング処理工程S32を含む第1の処理工程を実施する。 (First process step) Next, it consists of the following S31 to S40, performing a first process step comprising a first etching step S32.

(ウエハ載置工程 S31) サセプタ温度が予め定められた温度範囲となった後、真空搬送ロボット320が、処理室445へウエハ60を搬送する。具体的には、ウエハ60を搭載した真空搬送ロボット320のフィンガー321が、処理室445に進入し、フィンガー321が、上昇されたリフターピン413にウエハ60を載置する。ウエハ60が載置されたリフターピン413が下降することにより、サセプタテーブル411上にウエハ60が載置される。このウエハ60には、前述したステップS1〜S5が施されている。ウエハ60の表側には、図13に示すように、コアパターンとサイドウォールが形成されている。ウエハ60の裏側には、図9に示すように、SiO膜8、Si膜7、SiO膜6、Si膜5、アモルファスSi膜4、CHM膜3、Si膜2が成膜されている。また、後述する第2実施形態においても、このウエハ60の表側には、図26に示すように、多層ハードマスク25の上にシリコンハードマスク4が形成されている。ウエハ60の裏側には、多層ハードマスク25の上にシリコンハードマスク4が形成され、シリコンハードマスク4の上にカーボン膜3が形成され、カーボン膜3の上にシリコン反射防止膜2が形成されている。(Wafer Placement Step S31) After the susceptor temperature falls within a predetermined temperature range, the vacuum transfer robot 320 transfers the wafer 60 to the processing chamber 445. Specifically, the finger 321 of the vacuum transfer robot 320 on which the wafer 60 is mounted enters the processing chamber 445, and the finger 321 places the wafer 60 on the lifter pin 413 that has been raised. When the lifter pins 413 on which the wafer 60 is placed are lowered, the wafer 60 is placed on the susceptor table 411. The wafer 60 is subjected to the above-described steps S1 to S5. On the front side of the wafer 60, a core pattern and sidewalls are formed as shown in FIG. On the back side of the wafer 60, as shown in FIG. 9, SiO 2 film 8, Si 3 N 4 film 7, SiO 2 film 6, Si 3 N 4 film 5, amorphous Si film 4, CHM film 3, Si film 2 Is formed. Also in the second embodiment described later, the silicon hard mask 4 is formed on the multilayer hard mask 25 on the front side of the wafer 60 as shown in FIG. On the back side of the wafer 60, the silicon hard mask 4 is formed on the multilayer hard mask 25, the carbon film 3 is formed on the silicon hard mask 4, and the silicon antireflection film 2 is formed on the carbon film 3. ing.

(第1のエッチング処理工程 S32) ステップS1〜S5が施されたウエハ60がサセプタテーブル411上に載置されると、ウエハ60は、温度制御部によって後述する所定の温度範囲に加熱され、維持される。ここで、所定の温度範囲とは、エッチングガスが外部からの強力なエネルギー(例えば高周波電力)を得ずとも、高い選択性を維持できる温度範囲を言う。例えば、七フッ化ヨウ素の場合は、30℃以上であって50℃以下、好ましくは30℃以上であって40℃以下である。このとき、温度の下限は、例えば、温度の制御性やガスが液化しない温度を考慮して決定する。 (First Etching Process Step S32) When the wafer 60 subjected to steps S1 to S5 is placed on the susceptor table 411, the wafer 60 is heated and maintained in a predetermined temperature range described later by the temperature control unit. Is done. Here, the predetermined temperature range refers to a temperature range in which high selectivity can be maintained without the etching gas obtaining strong external energy (for example, high frequency power). For example, in the case of iodine heptafluoride, it is 30 ° C. or higher and 50 ° C. or lower, preferably 30 ° C. or higher and 40 ° C. or lower. At this time, the lower limit of the temperature is determined in consideration of, for example, temperature controllability and the temperature at which the gas does not liquefy.

なお、「高い選択性」とは、例えばシリコンを主成分とした第1の膜(例えばシリコン膜)のエッチングレートを、第1の膜よりもシリコン含有率が少ない膜(例えばシリコン酸化膜やシリコン酸窒化膜やシリコン窒化膜)である第2の膜よりも高くすることを言う。より良くは、第2の膜をエッチングせずに、第1の膜をエッチングすることを言う。このようにすることで、サイドウォールのエッチングを抑制しつつ、コアパターン4のエッチングが可能となる。   Note that “high selectivity” means, for example, that the etching rate of a first film (for example, a silicon film) containing silicon as a main component is smaller than that of the first film (for example, a silicon oxide film or silicon). It means higher than the second film which is an oxynitride film or a silicon nitride film. Even better, it refers to etching the first film without etching the second film. By doing so, the core pattern 4 can be etched while suppressing the etching of the sidewalls.

次に、第2のガス供給ユニット483を制御して、希釈ガスとしての窒素ガスを処理室445内に供給する。それと併行して、第1のガス供給ユニット482を制御して、ガス導入口433から処理室445内にエッチングガス(IFガス)を供給する。供給されたエッチングガスは、シャワープレート484の板部484aにぶつかり、孔部484bを介して、拡散された状態でウエハ60に供給される。拡散することで、均一にウエハ60上にガスが供給されるため、ウエハ面内を均一にエッチングすることが可能となる。Next, the second gas supply unit 483 is controlled to supply nitrogen gas as a dilution gas into the processing chamber 445. At the same time, the first gas supply unit 482 is controlled to supply the etching gas (IF 7 gas) from the gas inlet 433 into the processing chamber 445. The supplied etching gas collides with the plate portion 484a of the shower plate 484, and is supplied to the wafer 60 in a diffused state through the hole portion 484b. By diffusing, the gas is uniformly supplied onto the wafer 60, so that the inside of the wafer can be uniformly etched.

このとき、第1のガス供給ユニット482において、第1ガス源482bからのIFガスの流量は、0.5slm〜4slmのうち、所定のガス流量、好ましくは1slmに設定される。不活性ガス源482fからのNガス(キャリアガス)の流量は、0slm〜1slmのうち、所定のガス流量に設定される。第2のガス供給ユニット483からのNガス(希釈ガス)の流量は、0.1slm〜3slmのうち、所定のガス流量、好ましくは0.5slmに設定される。処理室445内の圧力は、例えば100Pa〜1000Paのうち、所定の圧力、好ましくは200Pa〜500Paに設定される。At this time, in the first gas supply unit 482, the flow rate of the IF 7 gas from the first gas source 482b is set to a predetermined gas flow rate of 0.5 slm to 4 slm, preferably 1 slm. The flow rate of N 2 gas (carrier gas) from the inert gas source 482f is set to a predetermined gas flow rate from 0 slm to 1 slm. The flow rate of N 2 gas (dilution gas) from the second gas supply unit 483 is set to a predetermined gas flow rate, preferably 0.5 slm, of 0.1 slm to 3 slm. The pressure in the processing chamber 445 is set to a predetermined pressure, preferably 200 Pa to 500 Pa, for example, from 100 Pa to 1000 Pa.

ところで、上記エッチングガスは、シリコン膜と接触し反応すると発熱する性質を有する。発生した反応熱は、熱伝導により金属膜や基板に伝導し、その結果金属膜の特性劣化や基板の反りが発生することが考えられる。更には、ウエハ60の温度が所定の温度範囲から外れ、エッチングガスが高い選択性を失うことが考えられる。   By the way, the etching gas has a property of generating heat when it reacts with the silicon film. The generated reaction heat is conducted to the metal film or the substrate by heat conduction, and as a result, the characteristic deterioration of the metal film or the warpage of the substrate may occur. Furthermore, it is conceivable that the temperature of the wafer 60 deviates from a predetermined temperature range and the etching gas loses high selectivity.

エッチングガスの濃度とエッチングレートは比例関係にあり、更にはエッチングレートと反応熱量は比例関係にあるため、エッチングガスの濃度を高くしてエッチングレートを上昇させる場合、上記の現象がより顕著となる。   Since the etching gas concentration and the etching rate are in a proportional relationship, and the etching rate and the reaction heat amount are in a proportional relationship, the above phenomenon becomes more prominent when the etching rate is increased by increasing the etching gas concentration. .

そこで、エッチングガスと共に希釈ガスを処理室445に供給することで、エッチングガスの濃度を薄め、反応熱による過度な温度上昇を抑制する。希釈ガスの供給量は、例えばエッチングガスの供給量よりも多くするのが好ましい。   Therefore, by supplying a dilution gas together with the etching gas to the processing chamber 445, the concentration of the etching gas is reduced, and an excessive temperature rise due to reaction heat is suppressed. The supply amount of the dilution gas is preferably larger than the supply amount of the etching gas, for example.

なお、ここでは希釈ガスとエッチングガスの供給をほぼ同時に開始したが、それに限られるものではなく、より良くは、希釈ガスを供給した後にエッチングガスを供給するのが良い。この場合、エッチングガスとしては例えばハロゲンのような、希釈ガスよりも重い物質を含み、更には外部からの強力なエネルギーを得ずにエッチング可能なガスが好ましい。仮にハロゲンを含むガスと希釈ガスとを同時に供給した場合、希釈ガスよりも先に、ハロゲンを含むガスが基板上に到達する。即ち、濃度の高いエッチングガスが希釈ガスよりも先に基板上に到達してしまう。この場合、急激にエッチングされるため、急激に温度が上昇し、高いエッチング選択性を失うことが考えられる。それを防ぐために、希釈ガスを供給した後にエッチングガスを供給するのが望ましい。   Although the supply of the dilution gas and the etching gas is started almost simultaneously here, the present invention is not limited to this, and it is better to supply the etching gas after supplying the dilution gas. In this case, the etching gas is preferably a gas that contains a material heavier than the dilution gas, such as halogen, and can be etched without obtaining strong energy from the outside. If a halogen-containing gas and a dilution gas are supplied simultaneously, the halogen-containing gas reaches the substrate before the dilution gas. That is, the etching gas having a high concentration reaches the substrate before the dilution gas. In this case, since the etching is performed rapidly, it is conceivable that the temperature is rapidly increased and high etching selectivity is lost. In order to prevent this, it is desirable to supply the etching gas after supplying the dilution gas.

より良くは、希釈ガス雰囲気で処理室が満たされた状態で、処理室の圧力が安定してからエッチングガスを供給する。これは、希釈ガス量がエッチングガス量に対して十分に多い場合であって、例えばエッチングの深さを制御するプロセス等に有効である。圧力が安定した状態でエッチングを行うので、エッチングレートを安定させることができる。その結果、エッチングの深さを制御し易くなる。   More preferably, the etching gas is supplied after the pressure in the processing chamber is stabilized in a state where the processing chamber is filled with the diluted gas atmosphere. This is a case where the amount of dilution gas is sufficiently larger than the amount of etching gas, and is effective, for example, in a process for controlling the etching depth. Since etching is performed in a state where the pressure is stable, the etching rate can be stabilized. As a result, the etching depth can be easily controlled.

更に本実施形態においては、エッチングガスがウエハと接触する間、ウエハ60を所望の温度範囲に維持することで、高いエッチングレートの維持、基板を構成する膜の特性劣化の防止、基板の反りの防止、高いエッチング選択性の維持のいずれか、もしくはそれらのいずれかの組み合わせを同時に達成する。   Furthermore, in the present embodiment, while the etching gas is in contact with the wafer, the wafer 60 is maintained in a desired temperature range, thereby maintaining a high etching rate, preventing deterioration of the characteristics of the film constituting the substrate, and warping of the substrate. Either preventing, maintaining high etch selectivity, or any combination thereof is achieved simultaneously.

(ウエハ温度検出工程 S33) 前述のように、エッチングガスがウエハ60と接触する間、反応熱によってウエハ60が加熱される。ここでは、反応熱によって加熱されたウエハ60の温度を温度検出部488が検出する。 (Wafer Temperature Detection Step S33) As described above, while the etching gas is in contact with the wafer 60, the wafer 60 is heated by the reaction heat. Here, the temperature detector 488 detects the temperature of the wafer 60 heated by the reaction heat.

(ウエハ温度判定工程 S34) ウエハ温度検出工程S33で検出された温度データは、コントローラ600に入力される。コントローラ600は、温度データが所定の温度の範囲か否かを判定する。所定の温度範囲である場合、即ち「Yes」の場合、S37のヒータ・冷却剤制御維持工程に移行する。検出された温度データが所望の温度の範囲ではない場合、即ち「No」の場合、ウエハ温度が所望の温度となるよう温度制御部を調整する工程(S35、S36)へ移行する。 (Wafer Temperature Determination Step S34) The temperature data detected in the wafer temperature detection step S33 is input to the controller 600. The controller 600 determines whether the temperature data is within a predetermined temperature range. If the temperature is within the predetermined temperature range, that is, if “Yes”, the process proceeds to the heater / coolant control maintaining step of S37. If the detected temperature data is not within the desired temperature range, that is, “No”, the process proceeds to a step (S35, S36) of adjusting the temperature control unit so that the wafer temperature becomes the desired temperature.

(ヒータ温度調整工程 S35) ウエハ温度判定工程S34にて、ウエハ温度が所定の温度範囲ではないと判定されたら、ヒータ温度制御部485は、ヒータ463への電力供給量を制御する。本実施形態の場合、反応熱によりウエハ60の温度が所定の温度範囲の上限値よりも高い温度に上昇するため、所定の温度に維持するためにヒータ463の温度を下降させる。 (Heater Temperature Adjustment Step S35) If it is determined in the wafer temperature determination step S34 that the wafer temperature is not within the predetermined temperature range, the heater temperature control unit 485 controls the amount of power supplied to the heater 463. In the case of this embodiment, the temperature of the wafer 60 rises to a temperature higher than the upper limit value of the predetermined temperature range due to the reaction heat, so that the temperature of the heater 463 is lowered to maintain the predetermined temperature.

(冷却剤流量調整工程 S36) ウエハ温度が所定の温度範囲ではないと判定されたら、冷却剤流量制御部486は、冷却剤の流量や温度を制御する。本実施形態の場合、反応熱によりウエハ60の温度が所定の温度範囲の上限値よりも高い温度に上昇するため、所定の温度に維持するために、冷却剤の流量を増加又は冷却剤の温度を低下させる。このようにすることで、ウエハ60の冷却効率を高める。 (Coolant Flow Rate Adjustment Step S36) If it is determined that the wafer temperature is not within the predetermined temperature range, the coolant flow rate control unit 486 controls the flow rate and temperature of the coolant. In the case of this embodiment, the temperature of the wafer 60 rises to a temperature higher than the upper limit value of the predetermined temperature range due to the reaction heat, so that the coolant flow rate is increased or the coolant temperature is maintained in order to maintain the predetermined temperature Reduce. By doing so, the cooling efficiency of the wafer 60 is increased.

ヒータ温度調整工程S35や冷却剤流量調整工程S36のようにヒータ463と冷却剤流量を制御することで、ウエハ60が所定の温度範囲となるよう調整する。調整後、ウエハ温度検出工程S33に移行する。こうして、ウエハ60が所定の温度範囲になるまで、S33〜S36を繰り返す。   By controlling the heater 463 and the coolant flow rate as in the heater temperature adjustment step S35 and the coolant flow rate adjustment step S36, the wafer 60 is adjusted to be in a predetermined temperature range. After the adjustment, the process proceeds to the wafer temperature detection step S33. Thus, S33 to S36 are repeated until the wafer 60 reaches a predetermined temperature range.

なお、本実施形態では、ヒータ温度調整工程S35の後に冷却剤流量調整工程S36を実施しているが、それに限られるものではない。例えば、ウエハ温度判定工程S34の後に、冷却剤流量調整工程S36を行い、その後ヒータ温度調整工程S35を実施しても良い。もしくは、ウエハ温度判定工程S34の後に、冷却剤流量調整工程S36とヒータ温度調整工程S35を並行して実施しても良い。   In the present embodiment, the coolant flow rate adjustment step S36 is performed after the heater temperature adjustment step S35, but is not limited thereto. For example, the coolant flow rate adjustment step S36 may be performed after the wafer temperature determination step S34, and then the heater temperature adjustment step S35 may be performed. Alternatively, the coolant flow rate adjustment step S36 and the heater temperature adjustment step S35 may be performed in parallel after the wafer temperature determination step S34.

また、本実施形態においては、ウエハ60の温度を下降させるために、ヒータ463の温度を下降させ、冷却剤の流量を増加させるように制御したが、それに限られるものではなく、ヒータ463の制御と冷却剤流量の制御の協働により、結果的にウエハ60の温度が低下し所定の温度範囲になるよう制御すれば良い。   In the present embodiment, in order to lower the temperature of the wafer 60, lowers the temperature of the heater 463 has been controlled to increase the flow rate of the coolant is not limited to, control of the heater 463 and by cooperation of the control of the coolant flow rate, resulting in a temperature of the wafer 60 may be controlled to be within a predetermined temperature range decreases.

また、ウエハ60の温度が、所定の温度範囲の下限値よりも低くなってしまった場合、ヒータ463の制御と冷却剤流量の制御の協働により、結果的にウエハ60の温度が上昇するよう制御すれば良い。   Further, when the temperature of the wafer 60 becomes lower than the lower limit value of the predetermined temperature range, the temperature of the wafer 60 is increased as a result by cooperation of the control of the heater 463 and the control of the coolant flow rate. Just control.

(ヒータ・冷却剤制御維持工程 S37) ウエハ温度判定工程S34にて、ウエハ温度が所定の温度範囲と判定されたら、それを維持するために、ヒータの制御と冷却剤流量の制御を維持し、ウエハ60の温度を維持する。 (Heater / coolant control maintaining step S37) If the wafer temperature is determined to be within the predetermined temperature range in the wafer temperature determining step S34, the heater control and the coolant flow rate control are maintained in order to maintain the wafer temperature. to maintain the temperature of the wafer 60.

(処理時間判定工程 S38) S32のエッチング処理時間が所定の時間経過したか否か、つまり、ウエハ60に対する第1のエッチング処理が終了したか否かを判定する。所定の時間を経過していると判定された場合、即ち「Yes」の場合、S39に移行する。所定の時間を経過していないと判定された場合、即ち「No」の場合、S32へ戻りエッチング処理を引き続き行う。 (Processing Time Determination Step S38) It is determined whether or not the etching processing time in S32 has elapsed, that is, whether or not the first etching processing for the wafer 60 has been completed. If it is determined that the predetermined time has passed, that is, if “Yes”, the process proceeds to S39. If it is determined that the predetermined time has not elapsed, that is, if “No”, the process returns to S32 to continue the etching process.

第1のエッチング処理が終了した段階において、ウエハ60の表側においては、コアパターンのSi膜4の全部が除去され、ウエハ60の裏側においては、Si膜2が第1のエッチング処理により除去される。なお、第1のエッチング処理においてコアパターンのSi膜4の少なくとも一部を除去し、後述する第2のエッチング処理においてコアパターンのSi膜4の残りを除去するようにすることも可能である。また、後述する第2実施形態においても、ウエハ60の表側においては、シリコンハードマスク4の全部が除去され、ウエハ60の裏側においては、シリコン反射防止膜2が第1のエッチング処理により除去される。なお、第1のエッチング処理においてシリコンハードマスク4の少なくとも一部を除去し、後述する第3のエッチング処理においてシリコンハードマスク4の残りを除去するようにすることも可能である。   At the stage where the first etching process is completed, the entire Si film 4 of the core pattern is removed on the front side of the wafer 60, and the Si film 2 is removed on the back side of the wafer 60 by the first etching process. . It is also possible to remove at least a part of the core pattern Si film 4 in the first etching process and remove the remainder of the core pattern Si film 4 in the second etching process described later. Also in the second embodiment to be described later, all of the silicon hard mask 4 is removed on the front side of the wafer 60, and the silicon antireflection film 2 is removed on the back side of the wafer 60 by the first etching process. . It is also possible to remove at least a part of the silicon hard mask 4 in the first etching process and remove the remainder of the silicon hard mask 4 in the third etching process described later.

(ガス供給停止工程 S39) 処理時間判定工程S38で所定の時間経過したと判定されたら、第1のガス供給ユニット482を制御して、エッチングガスの供給を停止する。エッチングガスの供給を停止した後、エッチングガスが処理室445内に残らないよう、第1のガス供給ユニット482のパージガス供給系を制御してガス供給管482aの残ガスを排出すると共に、第2のガス供給ユニット483を制御して不活性ガスを処理室445内に供給し、処理室445内の雰囲気を排出する。こうして、処理室445内の雰囲気を不活性ガスに置換する。 (Gas Supply Stopping Step S39) When it is determined in the processing time determining step S38 that a predetermined time has elapsed, the first gas supply unit 482 is controlled to stop the supply of the etching gas. After stopping the supply of the etching gas, the purge gas supply system of the first gas supply unit 482 is controlled to discharge the residual gas from the gas supply pipe 482a so that the etching gas does not remain in the processing chamber 445. The gas supply unit 483 is controlled to supply an inert gas into the processing chamber 445, and the atmosphere in the processing chamber 445 is discharged. Thus, the atmosphere in the processing chamber 445 is replaced with an inert gas.

(ウエハ搬出工程 S40) 処理室445内の雰囲気を不活性ガスに置換した後、ウエハ60を載置したのと逆の手順で、真空搬送ロボット320が、ウエハ60を、処理室445からトランスファーモジュール310へ搬出する。 (Wafer Unloading Step S40) After the atmosphere in the processing chamber 445 is replaced with an inert gas, the vacuum transfer robot 320 transfers the wafer 60 from the processing chamber 445 to the transfer module in the reverse procedure of placing the wafer 60. Carry out to 310.

(第2の処理工程) 次に、以下のS51〜S59で構成され、第2のエッチング処理工程S52を含む第2の処理工程を実施する。 (Second process step) Next, it consists of the following S51~S59, executing the second processing step including the second etching step S52.

(ウエハ載置工程 S51) ウエハ搬出工程S40に続いて、真空搬送ロボット320は、第2の処理ユニット510の処理室545へウエハ60を搬送する。具体的には、ウエハ60を搭載したフィンガー321が、処理室545に進入し、フィンガー321が、上昇されたリフターピン513にウエハ60を載置する。ウエハ60が載置されたリフターピン513が下降することにより、サセプタテーブル511上にウエハ60が載置される。 (Wafer Placement Step S51) Following the wafer unloading step S40, the vacuum transfer robot 320 transfers the wafer 60 to the processing chamber 545 of the second processing unit 510. Specifically, the finger 321 loaded with the wafer 60 enters the processing chamber 545, and the finger 321 places the wafer 60 on the lifter pins 513 raised. By lifter pins 513 wafer 60 is placed is lowered, the wafer 60 is placed on the susceptor table 511.

(第2のエッチング処理工程 S52) ウエハ60がサセプタテーブル511上に載置されると、ウエハ60は、温度制御部によって所定の温度範囲に加熱され、維持される。ここで、所定の温度範囲とは、0℃以上であって200℃以下である。 (Second Etching Process Step S52) When the wafer 60 is placed on the susceptor table 511, the wafer 60 is heated and maintained in a predetermined temperature range by the temperature controller. Here, the predetermined temperature range is 0 ° C. or higher and 200 ° C. or lower.

次に、第3のガス供給ユニット582を制御して、ガス導入口533から処理室545内に、処理ガスであるOガスを供給する。供給されたOガスは、バッフル板584を介して、拡散された状態でプラズマ生成室530に導入される。そして、プラズマ生成室530で活性化されたOガスは、サセプタテーブル511上のウエハ60に供給される。第3のガス供給ユニット582からのOガス流量は、例えば0.25slmに設定される。処理室545内の圧力は、例えば200Paに設定される。Next, the third gas supply unit 582 is controlled to supply O 2 gas, which is a processing gas, from the gas inlet 533 into the processing chamber 545. The supplied O 2 gas is introduced into the plasma generation chamber 530 through the baffle plate 584 in a diffused state. Then, the O 2 gas activated in the plasma generation chamber 530 is supplied to the wafer 60 on the susceptor table 511. The O 2 gas flow rate from the third gas supply unit 582 is set to 0.25 slm, for example. The pressure in the processing chamber 545 is set to 200 Pa, for example.

(ウエハ温度検出工程 S53) ウエハ60の温度を、サセプタ599内に配置した温度検出部が検出する。 (Wafer Temperature Detection Step S53) The temperature detection unit disposed in the susceptor 599 detects the temperature of the wafer 60.

(ウエハ温度判定工程 S54) ウエハ温度検出工程S53で検出された温度データは、コントローラ600に入力される。コントローラ600は、温度データが所定の温度の範囲か否かを判定する。所定の温度範囲である場合、即ち「Yes」の場合、S56のヒータ制御維持工程に移行する。検出された温度データが所望の温度の範囲ではない場合、即ち「No」の場合、ウエハ温度が所望の温度となるよう、ヒータ温度調整工程S55へ移行する。 (Wafer Temperature Determination Step S54) The temperature data detected in the wafer temperature detection step S53 is input to the controller 600. The controller 600 determines whether the temperature data is within a predetermined temperature range. If the temperature is within the predetermined temperature range, that is, if “Yes”, the process proceeds to the heater control maintaining step of S56. If the detected temperature data is not within the desired temperature range, that is, if “No”, the process proceeds to the heater temperature adjustment step S55 so that the wafer temperature becomes the desired temperature.

(ヒータ温度調整工程 S55) ヒータ温度調整工程S55において、コントローラ600は、ウエハ60が所定の温度範囲となるよう、ヒータ563への電力供給量を制御する。こうして、ウエハ60が所定の温度範囲になるまで、S53〜S55を繰り返す。 (Heater Temperature Adjustment Step S55) In the heater temperature adjustment step S55, the controller 600 controls the amount of power supplied to the heater 563 so that the wafer 60 is in a predetermined temperature range. Thus, S53 to S55 are repeated until the wafer 60 reaches a predetermined temperature range.

(ヒータ制御維持工程 S56) ウエハ温度判定工程S54にて、ウエハ温度が所定の温度範囲と判定されたら、それを維持するために、ヒータ563への温度制御を維持し、ウエハ60の温度を維持する。 (Heater Control Maintenance Step S56) If the wafer temperature is determined to be within a predetermined temperature range in the wafer temperature determination step S54, the temperature control to the heater 563 is maintained to maintain the temperature, and the temperature of the wafer 60 is maintained. To do.

(処理時間判定工程 S57) S52のエッチング処理時間が所定の時間経過したか否か、つまり、ウエハ60のエッチング処理が終了したか否かを判定する。所定の時間を経過していると判定された場合、即ち「Yes」の場合、S58に移行する。所定の時間を経過していないと判定された場合、即ち「No」の場合、S52へ戻りエッチング処理を引き続き行う。S52のエッチング処理が終了した段階において、ウエハ60の裏側においては、CHM膜3がエッチング処理により除去されている。一方、S52で供給されたOガスは、ウエハ60の表側の下地のSi膜5表面を酸化しない処理条件で供給されており、Si膜5表面での反応は生じない。但し、サイドウォールとしてのSi膜10については、この活性化されたOガスにより硬化(ハーデニング)される場合もある。また、後述する第2実施形態においても、S52のエッチング処理が終了した段階において、ウエハ60の裏側においては、カーボン膜3がエッチング処理により除去されている。一方、S52で供給されたOガスは、ウエハ60の表側の下地の多層ハードマスク5表面を酸化しない処理条件で供給されており、多層ハードマスク5表面での反応は生じない。(Processing Time Determination Step S57) It is determined whether or not the etching processing time of S52 has elapsed, that is, whether or not the etching processing of the wafer 60 has been completed. If it is determined that the predetermined time has elapsed, that is, if “Yes”, the process proceeds to S58. If it is determined that the predetermined time has not elapsed, that is, if “No”, the process returns to S52 to continue the etching process. At the stage where the etching process of S52 is completed, the CHM film 3 is removed by the etching process on the back side of the wafer 60. On the other hand, the O 2 gas supplied in S52 is supplied under processing conditions that do not oxidize the surface of the Si 3 N 4 film 5 on the front side of the wafer 60, and no reaction occurs on the surface of the Si 3 N 4 film 5. . However, the Si film 10 as the sidewall may be hardened (hardened) by the activated O 2 gas. Also in the second embodiment to be described later, the carbon film 3 is removed by the etching process on the back side of the wafer 60 when the etching process of S52 is completed. On the other hand, the O 2 gas supplied in S52 is supplied under processing conditions that do not oxidize the surface of the underlying multilayer hard mask 5 on the front side of the wafer 60, and no reaction occurs on the surface of the multilayer hard mask 5.

(ガス供給停止工程 S58) 処理時間判定工程S57で所定の時間経過したと判定されたら、第3のガス供給ユニット582を制御して、処理ガスの供給を停止するとともに、高周波電源525から共振コイル521への電力供給を停止する。処理ガスの供給を停止した後、処理ガスが処理室545内に残らないよう、第3のガス供給ユニット582を制御して、不活性ガス源582fから不活性ガスを処理室545内に供給し、処理室545内の雰囲気を排出する。こうして、処理室545内の雰囲気を不活性ガスに置換する。 (Gas Supply Stopping Step S58) If it is determined in the processing time determining step S57 that the predetermined time has elapsed, the third gas supply unit 582 is controlled to stop the supply of the processing gas, and from the high frequency power source 525 to the resonance coil. to stop the power supply to the 521. After the supply of the processing gas is stopped, the third gas supply unit 582 is controlled so that the processing gas does not remain in the processing chamber 545, and the inert gas is supplied from the inert gas source 582f into the processing chamber 545. Then, the atmosphere in the processing chamber 545 is discharged. Thus, the atmosphere in the processing chamber 545 is replaced with an inert gas.

(ウエハ搬出工程 S59) 処理室545内の雰囲気を不活性ガスに置換した後、ウエハ60を載置したのと逆の手順で、真空搬送ロボット320が、ウエハ60を、処理室545からトランスファーモジュール310へ搬出する。 (Wafer Unloading Step S59) After the atmosphere in the processing chamber 545 is replaced with an inert gas, the vacuum transfer robot 320 transfers the wafer 60 from the processing chamber 545 to the transfer module in the reverse procedure of placing the wafer 60. It is carried out to the 310.

(第3の処理工程) 次に、以下のS71〜S80で構成され、第3のエッチング処理工程S72を含む第3の処理工程を実施する。 (Third process step) Next, it consists of the following S71~S80, implementing the third processing step including the third etching step S72.

(ウエハ載置工程 S71) ウエハ搬出工程S59に続いて、真空搬送ロボット320は、処理室445へウエハ60を搬送する。そして、第1の処理工程と同様にして、サセプタテーブル411上にウエハ60が載置される。 (Wafer Placement Step S71) Following the wafer unloading step S59, the vacuum transfer robot 320 transfers the wafer 60 to the processing chamber 445. Then, the wafer 60 is placed on the susceptor table 411 in the same manner as in the first processing step.

(第3のエッチング処理工程 S72) ウエハ60がサセプタテーブル411上に載置されると、ウエハ60は、温度制御部によって所定の温度範囲に加熱され、維持される。ここで、所定の温度範囲とは、前述した第1のエッチング処理時の温度範囲と同様である。 (Third Etching Process Step S72) When the wafer 60 is placed on the susceptor table 411, the wafer 60 is heated and maintained in a predetermined temperature range by the temperature control unit. Here, the predetermined temperature range is the same as the temperature range during the first etching process described above.

次に、第2のガス供給ユニット483を制御して、希釈ガスとしての窒素ガスを処理室445内に供給する。それと併行して、第1のガス供給ユニット482を制御して、ガス導入口433から処理室445内に、エッチングガスであるIFガスを供給する。供給されたエッチングガスは、シャワープレート484を介して、拡散された状態でウエハ60に供給される。Next, the second gas supply unit 483 is controlled to supply nitrogen gas as a dilution gas into the processing chamber 445. At the same time, the first gas supply unit 482 is controlled to supply IF 7 gas as an etching gas into the processing chamber 445 from the gas inlet 433. The supplied etching gas is supplied to the wafer 60 in a diffused state via the shower plate 484.

第1のガス供給ユニット482における第1ガス源482bからのIFガスの流量、不活性ガス源482fからのNガス(キャリアガス)の流量、第2のガス供給ユニット483からのNガス(希釈ガス)の流量、処理室445内の圧力は、前述した第1のエッチング処理工程S32と同様に設定される。IF 7 gas flow rate from the first gas source 482b in the first gas supply unit 482, the flow rate of N 2 gas from the inert gas source 482f (carrier gas), the N 2 gas from the second gas supply unit 483 The flow rate of the (dilution gas) and the pressure in the processing chamber 445 are set in the same manner as in the first etching processing step S32 described above.

(ウエハ温度検出工程 S73) ウエハ60の温度を温度検出部488が検出する。 (Wafer Temperature Detection Step S73) The temperature detection unit 488 detects the temperature of the wafer 60.

(ウエハ温度判定工程 S74) ウエハ温度検出工程S33で検出された温度データは、コントローラ600に入力される。コントローラ600は、温度データが所定の温度の範囲か否かを判定する。所定の温度範囲である場合、即ち「Yes」の場合、S77のヒータ・冷却剤制御維持工程に移行する。検出された温度データが所望の温度の範囲ではない場合、即ち「No」の場合、ウエハ温度が所望の温度となるよう温度制御部を調整する工程(S75、S76)へ移行する。 (Wafer Temperature Determination Step S74) The temperature data detected in the wafer temperature detection step S33 is input to the controller 600. The controller 600 determines whether the temperature data is within a predetermined temperature range. If it is within the predetermined temperature range, that is, if “Yes”, the routine proceeds to the heater / coolant control maintaining step of S77. If the detected temperature data is not in the desired temperature range, that is, if “No”, the process proceeds to steps (S75, S76) for adjusting the temperature control unit so that the wafer temperature becomes the desired temperature.

(ヒータ温度調整工程 S75) ウエハ温度判定工程S74にて、ウエハ温度が所定の温度範囲ではないと判定されたら、ヒータ温度制御部485は、前述した第1の処理時と同様に、ヒータ463への電力供給量を制御する。 At (heater temperature adjusting step S75) wafer temperature determining step S74, When the wafer temperature is determined not to be the predetermined temperature range, the heater temperature control unit 485, as in the case of the first process described above, the heater 463 Control the amount of power supply.

(冷却剤流量調整工程 S76) ウエハ温度が所定の温度範囲ではないと判定されたら、冷却剤流量制御部486は、前述した第1の処理時と同様に、冷却剤の流量や温度を制御する。 (Coolant Flow Rate Adjusting Step S76) If it is determined that the wafer temperature is not within the predetermined temperature range, the coolant flow rate control unit 486 controls the flow rate and temperature of the coolant as in the first process described above. .

ヒータ温度調整工程S75や冷却剤流量調整工程S76のようにヒータ463と冷却剤流量を制御することで、ウエハ60が所定の温度範囲となるよう調整する。調整後、ウエハ温度検出工程S73に移行する。こうして、ウエハ60が所定の温度範囲になるまで、S73〜S76を繰り返す。   By controlling the heater 463 and the coolant flow rate as in the heater temperature adjustment step S75 and the coolant flow rate adjustment step S76, the wafer 60 is adjusted to be in a predetermined temperature range. After the adjustment, the process proceeds to the wafer temperature detection step S73. Thus, S73 to S76 are repeated until the wafer 60 reaches a predetermined temperature range.

(ヒータ・冷却剤制御維持工程 S77) ウエハ温度判定工程S74にて、ウエハ温度が所定の温度範囲と判定されたら、それを維持するために、ヒータの制御と冷却剤流量の制御を維持し、ウエハ60の温度を維持する。 (Heater / coolant control maintaining step S77) If the wafer temperature is determined to be within the predetermined temperature range in the wafer temperature determining step S74, the heater control and the coolant flow rate control are maintained in order to maintain the wafer temperature. The temperature of the wafer 60 is maintained.

(処理時間判定工程 S78) S72の第3のエッチング処理時間が所定の時間経過したか否かを判定する。所定の時間を経過していると判定された場合、即ち「Yes」の場合、S79に移行する。所定の時間を経過していないと判定された場合、即ち「No」の場合、S72へ戻りエッチング処理を引き続き行う。 第3のエッチング処理が終了した段階において、ウエハ60の表側においては、コアパターンのSi膜4が除去されており、ウエハ60の裏側においては、アモルファスSi膜4が第3のエッチング処理により除去されている。 (Processing Time Determination Step S78) It is determined whether or not the third etching processing time in S72 has passed a predetermined time. If it is determined that the predetermined time has passed, that is, if “Yes”, the process proceeds to S79. If it is determined that the predetermined time has not elapsed, that is, if “No”, the process returns to S72 to continue the etching process. At the stage where the third etching process is completed, the Si film 4 of the core pattern is removed on the front side of the wafer 60, and the amorphous Si film 4 is removed on the back side of the wafer 60 by the third etching process. ing.

(ガス供給停止工程 S79) 処理時間判定工程S78で所定の時間経過したと判定されたら、ウエハ60のエッチング処理が終了したと判定し、第1のガス供給ユニット482を制御して、エッチングガスの供給を停止する。エッチングガスの供給を停止した後、エッチングガスが処理室445内に残らないよう、第1のガス供給ユニット482のパージガス供給系を制御してガス供給管482aの残ガスを排出すると共に、第2のガス供給ユニット483を制御して不活性ガスを処理室445内に供給し、処理室445内の雰囲気を排出する。こうして、処理室445内の雰囲気を不活性ガスに置換する。 (Gas Supply Stopping Step S79) If it is determined in the processing time determination step S78 that the predetermined time has elapsed, it is determined that the etching process of the wafer 60 has ended, and the first gas supply unit 482 is controlled to control the etching gas. Stop supplying. After stopping the supply of the etching gas, the purge gas supply system of the first gas supply unit 482 is controlled to discharge the residual gas from the gas supply pipe 482a so that the etching gas does not remain in the processing chamber 445. The gas supply unit 483 is controlled to supply an inert gas into the processing chamber 445, and the atmosphere in the processing chamber 445 is discharged. Thus, the atmosphere in the processing chamber 445 is replaced with an inert gas.

(ウエハ搬出工程 S80) 処理室445内の雰囲気を不活性ガスに置換した後、ウエハ60を載置したのと逆の手順で、真空搬送ロボット320が、処理室445内のウエハ60を、トランスファーモジュール310内へ搬出する。続いて、真空搬送ロボット320が、トランスファーモジュール310内のウエハ60を、ロードロックチャンバ部200のバッファユニット210へ搬送し、次に、大気搬送ロボット130が、バッファユニット210内のウエハ60を、ロードポート120上のFOUP110へ搬送する。 (Wafer Unloading Step S80) After the atmosphere in the processing chamber 445 is replaced with an inert gas, the vacuum transfer robot 320 transfers the wafer 60 in the processing chamber 445 in the reverse order of the placement of the wafer 60. Carry out into module 310. Subsequently, the vacuum transfer robot 320 transfers the wafer 60 in the transfer module 310 to the buffer unit 210 of the load lock chamber unit 200, and then the atmospheric transfer robot 130 loads the wafer 60 in the buffer unit 210. Transport to FOUP 110 on port 120.

(本実施形態の効果) 以上説明した実施形態により得られる効果は、以下(1)〜(4)に記載した効果のうち少なくとも一つ以上の効果である。 (1)コアパターン除去工程において、Siコアパターンを、Siコアパターン以外の膜であるシリコン酸化膜(SiO膜)とシリコン窒化膜(Si膜)に対して、高選択比でエッチングすることができる。具体的には、サイドウォール膜であるSiO膜10と下地のSi膜5とに対して、高選択的にSiのコアパターン4をエッチングすることができる。 (2)コアパターン除去工程において、プラズマを用いない第1のエッチング処理、プラズマを用いた第2のエッチング処理、プラズマを用いない第3のエッチング処理の順に処理を行うことにより、基板裏側に成膜されたSiコアパターン膜やCHM膜やSi反射防止膜を除去することができる。これにより、基板裏側に成膜されたSiコアパターン膜やCHM膜やSi反射防止膜に起因するパーティクルの発生を抑制できる。 (3)第1及び第3エッチング処理の基板温度を、30〜50℃にしたので、高選択的にSiのコアパターン4をエッチングできる。また、好ましくは40〜50℃にしたので、さらに高選択的にSiのコアパターン4をエッチングできる。 (4)プラズマを用いた第2のエッチング処理では、シリコン窒化膜(Si膜)に対して、酸化しない処理条件としたため、基板裏面のCHM膜3のみを除去することができる。好ましくはシリコン酸化膜(SiO膜)に対して、硬化(ハーデニング)する条件が好ましい。この場合、サイドウォール膜であるSiO膜10がプラズマにより改質されることにより、ドライエッチング耐性が向上する。(Effect of this embodiment) The effect acquired by embodiment described above is an effect of at least 1 or more among the effects described in (1)-(4) below. (1) In the core pattern removal step, the Si core pattern is etched with a high selectivity with respect to the silicon oxide film (SiO 2 film) and the silicon nitride film (Si 3 N 4 film) which are films other than the Si core pattern. can do. Specifically, the Si core pattern 4 can be etched with high selectivity with respect to the SiO 2 film 10 as the sidewall film and the underlying Si 3 N 4 film 5. (2) In the core pattern removal step, the first etching process without using the plasma, the second etching process using the plasma, and the third etching process without using the plasma are performed in this order, so that the substrate backside is formed. The formed Si core pattern film, CHM film, and Si antireflection film can be removed. Thereby, generation | occurrence | production of the particle resulting from Si core pattern film | membrane, CHM film | membrane, and Si antireflection film | membrane formed into a film by the back side of a board | substrate can be suppressed. (3) Since the substrate temperature of the first and third etching processes is set to 30 to 50 ° C., the Si core pattern 4 can be etched with high selectivity. Further, since the temperature is preferably set to 40 to 50 ° C., the Si core pattern 4 can be etched more selectively. (4) In the second etching process using plasma, since the silicon nitride film (Si 3 N 4 film) is not oxidized, only the CHM film 3 on the back surface of the substrate can be removed. Preferably, conditions for curing (hardening) the silicon oxide film (SiO 2 film) are preferable. In this case, the dry etching resistance is improved by modifying the SiO 2 film 10 which is a sidewall film by plasma.

(第2実施形態) 次に、本実施形態(第2実施形態)に係る基板処理方法が実施される基板処理工程の例について、図23〜図29を用いて以下に説明する。この基板処理工程は、微細パターンの形成工程や、半導体装置の製造方法の一工程として行われる。図23〜図27は、本発明の第2実施例における微細パターン形成処理を説明するための図であり、例えば、液浸ArFリソグラフィとドライエッチングとを用いて、微細パターンを作製する工程を示す図である。そして、図27の工程(シリコンハードマスク除去工程)は、本発明の特徴部分であり、シリコンに対して高い選択性、つまり高いエッチングレートを持つガスエッチングを実施する工程の一例を示している。なお、本実施形態の基板処理装置20においては、少なくとも、図27の工程が実施される。本実施形態に係る基板処理方法は、第1実施例と同様である。よって、第2実施例に関して、図9A〜図9Cを用いて説明するのは省略する。 (2nd Embodiment) Next, the example of the substrate processing process in which the substrate processing method which concerns on this embodiment (2nd Embodiment) is implemented is demonstrated below using FIGS. This substrate processing step is performed as a step of forming a fine pattern and a step of manufacturing a semiconductor device. FIG. 23 to FIG. 27 are diagrams for explaining the fine pattern forming process in the second embodiment of the present invention, and show, for example, a process of producing a fine pattern using immersion ArF lithography and dry etching. FIG. The process of FIG. 27 (silicon hard mask removal process) is a characteristic part of the present invention, and shows an example of a process of performing gas etching with high selectivity to silicon, that is, a high etching rate. In the substrate processing apparatus 20 of the present embodiment, at least the process of FIG. 27 is performed. The substrate processing method according to this embodiment is the same as that of the first example. Therefore, the description of the second embodiment with reference to FIGS. 9A to 9C is omitted.

図23は、基板表側において、シリコンハードマスク4の上にカーボン膜3を形成し、カーボン膜3の上にシリコン反射防止膜2を形成し、シリコン反射防止膜2の上にレジスト1を塗布して、液浸ArFリソグラフィとドライエッチングとを用いて、レジスト1をパターニングした後にスリミング処理をした図である。詳しくは、図23に示すように、シリコン基板の表側に、被パターニング層26、多層ハードマスク25、エッチング対象膜としてのシリコンハードマスク4、カーボン膜3、シリコン反射防止膜2を、この順に積層して成膜する。そして、この上にフォトレジストを塗布した後、リソグラフィ技術で露光し、その後、現像して、所定の線幅に加工したレジスト1のパターンを形成する。   In FIG. 23, on the front side of the substrate, a carbon film 3 is formed on the silicon hard mask 4, a silicon antireflection film 2 is formed on the carbon film 3, and a resist 1 is applied on the silicon antireflection film 2. FIG. 6 is a diagram showing a slimming process after patterning a resist 1 using immersion ArF lithography and dry etching. Specifically, as shown in FIG. 23, a patterned layer 26, a multilayer hard mask 25, a silicon hard mask 4 as an etching target film, a carbon film 3, and a silicon antireflection film 2 are laminated in this order on the front side of the silicon substrate. To form a film. And after apply | coating a photoresist on this, it exposes by lithography technique and develops after that, The pattern of the resist 1 processed into the predetermined line width is formed.

シリコン基板の表側にシリコンハードマスク4、カーボン膜3、シリコン反射防止膜2を積層するとき、シリコン基板の裏側にも、シリコンハードマスク4、カーボン膜3、シリコン反射防止膜2が、この順に積層される。シリコン基板の裏側を平坦なサセプタにより支持する場合においても、少なくともシリコン基板の裏側の周辺部には、上記の積層膜4〜2が形成される。この積層膜4〜2は、比較的剥がれ易い脆弱な膜であるので、本基板処理工程の後に行われる工程において、剥がれ落ちてパーティクルの原因となる恐れがあり、好ましくない。そこで、積層膜4〜2を除去することが必要である。   When the silicon hard mask 4, the carbon film 3, and the silicon antireflection film 2 are laminated on the front side of the silicon substrate, the silicon hard mask 4, the carbon film 3, and the silicon antireflection film 2 are laminated in this order also on the back side of the silicon substrate. Is done. Even when the back side of the silicon substrate is supported by a flat susceptor, the laminated films 4 to 2 are formed at least on the periphery of the back side of the silicon substrate. Since these laminated films 4 and 2 are fragile films that are relatively easily peeled off, they may peel off and cause particles in a process performed after the substrate processing process, which is not preferable. Therefore, it is necessary to remove the laminated films 4 and 2.

シリコンハードマスク4は、膜厚が40〜60nm程度であり、例えば、温度400〜550℃でCVD法により、多層ハードマスク25の上に成膜される。 カーボン膜3は、例えば、CVDカーボン膜(CVD法で成膜したカーボン含有膜)又はスピンオンカーボン膜で、膜厚が100〜500nm程度であり、例えば、温度200〜550℃でCVD法により、シリコンハードマスク4の上に成膜される。 シリコン反射防止膜2は、膜厚が2〜10nm程度であり、例えば、温度400〜550℃でCVD法により、カーボン膜3の上に成膜される。シリコン反射防止膜2は、リソグラフィ技術による露光時に、反射防止膜として機能する。   The silicon hard mask 4 has a film thickness of about 40 to 60 nm and is formed on the multilayer hard mask 25 by a CVD method at a temperature of 400 to 550 ° C., for example. The carbon film 3 is, for example, a CVD carbon film (carbon-containing film formed by a CVD method) or a spin-on carbon film, and has a film thickness of about 100 to 500 nm. For example, the carbon film 3 is formed by a CVD method at a temperature of 200 to 550 ° C. It is deposited on top of the hard mask 4. Silicon antireflection film 2, a thickness of approximately 2 to 10 nm, for example, by a CVD method at a temperature 400 to 550 ° C., is deposited on the carbon film 3. The silicon antireflection film 2 functions as an antireflection film at the time of exposure by lithography.

この例では、被パターニング膜26を加工するために、例えば、シリコンのハードマスク4を用いる。そのため、シリコンハードマスク4を、カーボン膜3の下に形成している。シリコンハードマスク4の下には、複数層のハードマスクから成る多層ハードマスク25が形成されている。多層ハードマスク25としては、例えば、SiO膜、Si膜、TiN膜等が用いられる。シリコンハードマスク4やシリコン反射防止膜2のシリコン含有率は、カーボン膜3や多層ハードマスク25のシリコン含有率よりも大きい。In this example, in order to process the film to be patterned 26, for example, a silicon hard mask 4 is used. Therefore, the silicon hard mask 4 is formed under the carbon film 3. Under the silicon hard mask 4, a multilayer hard mask 25 composed of a plurality of hard masks is formed. As the multilayer hard mask 25, for example, a SiO 2 film, a Si 3 N 4 film, a TiN film, or the like is used. The silicon content of the silicon hard mask 4 and the silicon antireflection film 2 is larger than the silicon content of the carbon film 3 and the multilayer hard mask 25.

なお、シリコン反射防止膜2の膜厚を、シリコンハードマスク4の膜厚と同じにしてもよい(例えば40nm程度)。このようにすると、レジスト1をパターニングするときに、オーバーエッチングを抑えることができる。また、カーボン膜3の膜厚を、多層ハードマスク25の膜厚と同じにしてもよい(例えば500nm程度)。このようにすると、シリコンハードマスク4をパターニングするときに、オーバーエッチングを抑えることができる。   The film thickness of the silicon antireflection film 2 may be the same as the film thickness of the silicon hard mask 4 (for example, about 40 nm). In this way, over-etching can be suppressed when the resist 1 is patterned. The film thickness of the carbon film 3 may be the same as the film thickness of the multilayer hard mask 25 (for example, about 500 nm). In this way, over-etching can be suppressed when the silicon hard mask 4 is patterned.

図24は、パターニングされたレジスト1をマスクに用いて、シリコン反射防止膜2とカーボン膜3をドライエッチングした後の状態を示している。このとき、パターニングされたレジスト1をマスクにして、下地のシリコン反射防止膜2を、例えばClガスを用いた公知のドライエッチング処理により加工し、続いて、カーボン膜3を、例えばOガスを用いた公知のドライエッチング処理により加工する。その後、例えばOガスを用いた公知のアッシング処理によりレジスト1を除去する。FIG. 24 shows a state after the silicon antireflection film 2 and the carbon film 3 are dry-etched using the patterned resist 1 as a mask. At this time, using the patterned resist 1 as a mask, the underlying silicon antireflection film 2 is processed by a known dry etching process using, for example, Cl 2 gas, and then the carbon film 3 is processed, for example, by O 2 gas. It processes by the well-known dry etching process using this. Thereafter, the resist 1 is removed by a known ashing process using, for example, O 2 gas.

なお、シリコン基板の表側のシリコン反射防止膜2のエッチングは、シリコン基板の表面に対して垂直方向に行われる異方性エッチングであるので、シリコン基板の裏側のシリコン反射防止膜2は除去されることはない。   Note that the etching of the silicon antireflection film 2 on the front side of the silicon substrate is anisotropic etching performed in a direction perpendicular to the surface of the silicon substrate, so that the silicon antireflection film 2 on the back side of the silicon substrate is removed. it is not.

次に、図25に示すように、ハードマスクであるシリコン膜4をパターニングする。詳しくは、カーボン膜3のパターンをマスクに用いて、その下地の膜であるシリコンハードマスク4を、例えばClガスやCFClガスを用いた公知のドライエッチング処理により加工する。その後、例えばOガスを用いた公知のドライエッチング処理によりカーボン膜3を除去する。このとき、シリコン基板の裏側のシリコン反射防止膜2が除去されていないので、シリコン基板の裏側のカーボン膜3が除去されることはない。Next, as shown in FIG. 25, the silicon film 4 which is a hard mask is patterned. Specifically, the pattern of the carbon film 3 is used as a mask, and the silicon hard mask 4 as the underlying film is processed by a known dry etching process using, for example, Cl 2 gas or CF 2 Cl 2 gas. Thereafter, the carbon film 3 is removed by a known dry etching process using, for example, O 2 gas. At this time, since the silicon antireflection film 2 on the back side of the silicon substrate is not removed, the carbon film 3 on the back side of the silicon substrate is not removed.

次に、図26に示すように、シリコンハードマスク4のパターンをマスクに用いて、その下地の多層ハードマスク25を、例えばClガスやCFClガスを用いた公知のドライエッチング処理により加工しパターニングする。Next, as shown in FIG. 26, using the pattern of the silicon hard mask 4 as a mask, the underlying multilayer hard mask 25 is subjected to, for example, a known dry etching process using Cl 2 gas or CF 2 Cl 2 gas. Process and pattern.

次に、図27に示すように、シリコンハードマスク4を、ドライエッチングにより除去する。このシリコンハードマスク4の除去工程では、多層ハードマスク25を削ることなく、シリコン膜4のみを完全に除去することが要求される。その理由は、最終的な被パターニング層26の加工において、多層ハードマスク25の形状が影響するためである。この工程において、シリコン(つまり、シリコンハードマスク4)に対して高いエッチングレートを有するガスを用いたガスエッチングを行い、シリコン以外の膜(つまり、多層ハードマスク25)をエッチングすることなく、シリコン膜(つまり、シリコンハードマスク4)のみを除去する。   Next, as shown in FIG. 27, the silicon hard mask 4 is removed by dry etching. In the removal process of the silicon hard mask 4, it is required to completely remove only the silicon film 4 without removing the multilayer hard mask 25. This is because the shape of the multilayer hard mask 25 affects the final processing of the layer to be patterned 26. In this step, gas etching using a gas having a high etching rate is performed on silicon (that is, silicon hard mask 4), and a silicon film is etched without etching a film other than silicon (that is, multilayer hard mask 25). Only the silicon hard mask 4 is removed.

本実施例では、図3に示す処理ユニット410において、シリコンハードマスク4の除去処理のエッチングガスとしてIFガスを用いる。尚、実施例1と異なる処理条件は、IFガスを供給する時間のみであり、その他の処理条件である、基板温度、処理室内圧力、IFガス流量、キャリアガスの流量はそれぞれ同じ条件であるため省略する。また、IFガスを供給する時間は、シリコンハードマスク4の除去を行える時間であればよく、仮に、エッチング時間を多くなってもIFガス特有の選択性があるためオーバーエッチングの心配がないため、エッチング対象膜であるシリコンハードマスク4の膜厚に応じて適宜設定される。In the present embodiment, IF 7 gas is used as an etching gas for the removal process of the silicon hard mask 4 in the processing unit 410 shown in FIG. The processing conditions different from those in Example 1 are only the time for supplying the IF 7 gas, and the other processing conditions such as the substrate temperature, the processing chamber pressure, the IF 7 gas flow rate, and the carrier gas flow rate are the same. I will omit it. The time for supplying the IF 7 gas may be a time for removing the silicon hard mask 4. Even if the etching time is increased, there is no concern about over-etching because there is selectivity specific to the IF 7 gas. Therefore, it is set appropriately according to the film thickness of the silicon hard mask 4 that is the etching target film.

IFガスを使用する場合、50℃以下で行なうことでシリコンのエッチングレートが向上し、下地である多層ハードマスク25との高選択比を確保することが可能となる。また、40℃以下の場合、更に高選択比を確保できる。また、圧力が100Pa〜1000Paの場合、高選択比を確保でき、200〜500Paの場合、更に高選択比を確保できる。また、流量が0.5slm〜4slmの場合、高選択比を確保でき、0.5slm〜1slmの場合、更に高選択比を確保できる。When IF 7 gas is used, the etching rate of silicon is improved by performing it at 50 ° C. or less, and it becomes possible to secure a high selection ratio with the multilayer hard mask 25 which is the base. Moreover, when it is 40 degrees C or less, a still higher selection ratio is securable. Moreover, when the pressure is 100 Pa to 1000 Pa, a high selection ratio can be secured, and when the pressure is 200 to 500 Pa, a further high selection ratio can be secured. Further, when the flow rate is 0.5 slm to 4 slm, a high selection ratio can be ensured, and when the flow rate is 0.5 slm to 1 slm, a further high selection ratio can be ensured.

こうして、SiO膜、Si膜、TiN膜等で構成される多層ハードマスク25、つまり、シリコン膜よりもシリコン含有率の低い多層ハードマスク25に対して、高選択的に、基板表側のシリコンハードマスク4をエッチングすることにより、図27状態を得ることができる。つまり、多層ハードマスク25のエッチングを抑制しつつ、基板表側のシリコンハードマスク4を高選択的にエッチングして除去する。そしてこのとき、50℃以下の温度でエッチングを実施することにより、100℃以下あるいは400℃以下の低温で成膜したSiO膜等で構成される多層ハードマスク25が、温度により変化することを防ぐことができる。In this way, the substrate front side is highly selectively applied to the multilayer hard mask 25 composed of SiO 2 film, Si 3 N 4 film, TiN film, etc., that is, the multilayer hard mask 25 having a silicon content lower than that of the silicon film. The state shown in FIG. 27 can be obtained by etching the silicon hard mask 4. That is, the silicon hard mask 4 on the front side of the substrate is removed by highly selective etching while suppressing the etching of the multilayer hard mask 25. At this time, by performing etching at a temperature of 50 ° C. or lower, the multilayer hard mask 25 formed of a SiO 2 film or the like formed at a low temperature of 100 ° C. or lower or 400 ° C. or lower changes depending on the temperature. Can be prevented.

好ましくは、基板表側におけるシリコンハードマスク4の除去処理において、(a)IFガスによるプラズマを用いない第1のエッチング処理、(b)例えばOガスによるプラズマを用いる第2のエッチング処理、(c)IFガスによるプラズマを用いない第3のエッチング処理を、この順に実施例1と同様に実施する。Preferably, in the removal process of the silicon hard mask 4 on the front side of the substrate, (a) a first etching process that does not use plasma by IF 7 gas, (b) a second etching process that uses plasma by, for example, O 2 gas, c) A third etching process using no plasma with IF 7 gas is performed in this order in the same manner as in the first embodiment.

本実施例でも、図3に示す処理ユニット410を用いて(a)IFガスによる第1のエッチング処理を行い、シリコン基板の表側のシリコンハードマスク4と裏側のシリコン反射防止膜2を除去する。次に、処理ユニット510を用いて(b)Oガスによる第2のエッチング処理を行い、シリコン基板の裏側のカーボン膜3を除去する。その後、処理ユニット410を用いて(c)IFガスによる第3のエッチング処理を行い、シリコン基板の表側と裏側のシリコンハードマスク4を除去する。つまり、実施例1及び実施例2において、シリコン基板の裏側に堆積した膜は、シリコン反射防止膜2とカーボン膜3とシリコンハードマスク4で同じの為、(a)と(c)の処理条件は、実施例1で詳述した処理条件C1と同じである。Also in this embodiment, (a) the first etching process using IF 7 gas is performed using the processing unit 410 shown in FIG. 3 to remove the silicon hard mask 4 on the front side of the silicon substrate and the silicon antireflection film 2 on the back side. . Next, (b) a second etching process using O 2 gas is performed using the processing unit 510 to remove the carbon film 3 on the back side of the silicon substrate. Thereafter, (c) a third etching process using IF 7 gas is performed using the processing unit 410, and the silicon hard masks 4 on the front side and the back side of the silicon substrate are removed. That is, in Example 1 and Example 2, since the film deposited on the back side of the silicon substrate is the same in the silicon antireflection film 2, the carbon film 3, and the silicon hard mask 4, the processing conditions (a) and (c) are performed. These are the same as the processing conditions C1 described in detail in the first embodiment.

このようにすると、実施例1と同様に、基板表側におけるシリコンハードマスク4の除去と並行して、基板裏側において、シリコン反射防止膜2とカーボン膜3とシリコンハードマスク4との積層膜を除去することができる。少なくとも、基板表側のシリコンハードマスク4の形成時に基板裏側に堆積したシリコンハードマスク4を、除去することができる。詳しくは、基板表側におけるシリコンハードマスク4の除去と並行して、処理(a)により、基板裏側のシリコン反射防止膜2と同一材料の膜を除去し、処理(b)により、基板裏側のカーボン膜3と同一材料の膜を除去し、処理(c)により、基板裏側のシリコンハードマスク4と同一材料の膜を除去することができる。   In this manner, the laminated film of the silicon antireflection film 2, the carbon film 3, and the silicon hard mask 4 is removed on the back side of the substrate in parallel with the removal of the silicon hard mask 4 on the front side of the substrate, as in the first embodiment. can do. At least the silicon hard mask 4 deposited on the back side of the substrate when the silicon hard mask 4 on the front side of the substrate is formed can be removed. For more information, concurrently with the removal of the silicon hard mask 4 in the substrate front side, by the processing (a), removing the film of silicon antireflection film 2 of the same material of the substrate backside, by treatment (b), the substrate backside carbon The film of the same material as that of the film 3 is removed, and the film of the same material as that of the silicon hard mask 4 on the back side of the substrate can be removed by the process (c).

なお、シリコン基板の裏側に堆積した膜は、シリコン反射防止膜2とカーボン膜3とシリコンハードマスク4について、実施例1と同様に、カーボン膜3は、本基板処理工程の後の後工程で行われる高温アニールプロセス、酸化プロセス、Oアッシャープロセスに弱いので、後工程において基板裏側の膜剥がれに起因するパーティクルを抑制するため、除去する必要がある。シリコン反射防止膜2やシリコンハードマスク4は、導電膜であるため、パーティクルになると問題になる場合があるので、除去する必要がある。The film deposited on the back side of the silicon substrate is the silicon antireflection film 2, the carbon film 3, and the silicon hard mask 4. As in the first embodiment, the carbon film 3 is a post process after the substrate processing process. Since it is weak to the high temperature annealing process, oxidation process, and O 2 asher process that are performed, it is necessary to remove particles in order to suppress particles due to film peeling on the back side of the substrate in a later step. Since the silicon antireflection film 2 and the silicon hard mask 4 are conductive films, they may become a problem when they become particles, and thus need to be removed.

図28は、本発明の第2実施例において基板裏側のシリコン反射防止膜2とカーボン膜3とシリコンハードマスク4とを除去する前の状態を示す図である。図28の例では、基板裏側には、シリコン基板28の上に、熱酸化等によるSiO膜27が形成されている。シリコン基板28の上に多層ハードマスクが成膜される場合(図23の例)もあるが、図28の例は、多層ハードマスクでなくSiO膜27が成膜された場合である。FIG. 28 is a diagram showing a state before removing the silicon antireflection film 2, the carbon film 3, and the silicon hard mask 4 on the back side of the substrate in the second embodiment of the present invention. In the example of FIG. 28, a SiO 2 film 27 is formed on the silicon substrate 28 by thermal oxidation or the like on the back side of the substrate. Although a multilayer hard mask may be formed on the silicon substrate 28 (example in FIG. 23), the example in FIG. 28 is a case where the SiO 2 film 27 is formed instead of the multilayer hard mask.

図29は、本発明の第2実施例において基板裏側のシリコン反射防止膜2とカーボン膜3とシリコンハードマスク4とを除去した後の状態を示す図である。このように、ウエハ表側のシリコンハードマスク4を除去すると同時に、ウエハ裏側においては、シリコン膜(シリコンハードマスク4)、カーボン膜、シリコン膜(シリコン反射防止膜2)の積層膜を除去することが可能である。   FIG. 29 is a view showing a state after removing the silicon antireflection film 2, the carbon film 3, and the silicon hard mask 4 on the back side of the substrate in the second embodiment of the present invention. As described above, the silicon hard mask 4 on the front side of the wafer is removed, and at the same time, the laminated film of the silicon film (silicon hard mask 4), the carbon film, and the silicon film (silicon antireflection film 2) is removed on the back side of the wafer. Is possible.

以上説明した第2実施例により得られる効果は、以下(1)〜(4)に記載した効果のうち少なくとも一つ以上の効果である。 (1)シリコンハードマスク除去工程において、シリコンハードマスク4を、シリコンハードマスクよりもシリコン含有率の小さい多層ハードマスク25に対して、高選択比でエッチングすることができる。 (2)シリコンハードマスク除去工程において、第1のエッチング処理、第2のエッチング処理、第3のエッチング処理の順に処理を行うことにより、基板裏側に成膜されたシリコンハードマスク膜4やカーボン膜3やシリコン反射防止膜2を除去することができる。 (3)第1及び第3エッチング処理の基板温度を、30〜50℃にしたので、高選択的にSiのエッチング対象膜であるシリコンハードマスク4をエッチングできる。また、好ましくは40〜50℃にしたので、さらに高選択的にシリコンハードマスク4をエッチングできる。 (4)プラズマを用いた第2のエッチング処理では、シリコン窒化膜(Si膜)に対して、酸化しない処理条件としたため、基板裏面のカーボン膜3のみを除去することができる。好ましくはシリコン酸化膜(SiO膜)に対して、硬化(ハーデニング)する条件が好ましい。The effects obtained by the second embodiment described above are at least one of the effects described in (1) to (4) below. (1) In the silicon hard mask removing step, the silicon hard mask 4 can be etched with a high selectivity with respect to the multilayer hard mask 25 having a silicon content smaller than that of the silicon hard mask. (2) In the silicon hard mask removal step, the silicon hard mask film 4 and the carbon film formed on the back side of the substrate are processed in the order of the first etching process, the second etching process, and the third etching process. 3 and the silicon antireflection film 2 can be removed. (3) Since the substrate temperature of the first and third etching processes is set to 30 to 50 ° C., the silicon hard mask 4 which is the Si etching target film can be etched with high selectivity. Further, since the temperature is preferably set to 40 to 50 ° C., the silicon hard mask 4 can be etched more selectively. (4) In the second etching process using plasma, the silicon nitride film (Si 3 N 4 film) is treated so as not to be oxidized, so that only the carbon film 3 on the back surface of the substrate can be removed. Preferably, conditions for curing (hardening) the silicon oxide film (SiO 2 film) are preferable.

また、前記実施形態では、プラズマ処理を行う第2の処理ユニットが、プラズマ生成室を含むように構成したが、それに限らず、処理室内にプラズマを持ち込まないリモートプラズマ方式によるエッチング処理や、プラズマを用いないエッチング処理、例えばOガス(オゾンガス)を用いるエッチング処理を行うように構成することもできる。In the above embodiment, the second processing unit that performs the plasma processing is configured to include the plasma generation chamber. However, the present invention is not limited to this, and etching processing by a remote plasma method that does not bring plasma into the processing chamber or plasma is performed. An etching process that is not used, for example, an etching process using O 3 gas (ozone gas) may be performed.

また、プラズマを用いないエッチング処理は、第1の処理ユニットで行うことも可能であり、この場合、第2の処理ユニットは不要である。この場合、処理室内へIFガスを導入して、IFガスによるエッチング処理を行い、その後、処理室内を不活性ガスで置換する。その後、処理室内へOガスを導入して、Oガスによるエッチング処理を行い、その後、処理室内を不活性ガスで置換する。その後、IFガスによるエッチング処理を行う。また、第1実施形態においては、Oガスによるサイドウォール膜であるSiO膜の改質効果が期待できる場合がある。Further, the etching process without using plasma can be performed by the first processing unit, and in this case, the second processing unit is unnecessary. In this case, IF 7 gas is introduced into the processing chamber, an etching process using IF 7 gas is performed, and then the processing chamber is replaced with an inert gas. Thereafter, an O 3 gas is introduced into the processing chamber, an etching process using the O 3 gas is performed, and then the processing chamber is replaced with an inert gas. Thereafter, an etching process using IF 7 gas is performed. In the first embodiment, there is a case where an effect of modifying the SiO 2 film, which is a side wall film, by O 3 gas can be expected.

(第3実施例) 本実施形態に係る基板処理方法の第3実施例について、図30A〜図32Bを用いて説明する。図30Aは、本発明の第3実施例のエッチング処理前における、Fin−FETトランジスタのチャネル長方向の断面図であり、ゲートラストプロセスにおいて、ダミーポリシリコンゲート電極11a,11bを除去する直前の状態の一例を示している。ダミーポリシリコンゲート電極11a,11bを除去した後、メタルゲート電極が形成される。第3実施例のエッチング処理は、例えば、第1実施例及び第2実施例と同様にIFガスを用い、図3に示す処理ユニット410において、第1実施例及び第2実施例と同様の処理条件C1で行われる。Third Example A third example of the substrate processing method according to the present embodiment will be described with reference to FIGS. 30A to 32B. FIG. 30A is a cross-sectional view of the Fin-FET transistor in the channel length direction before the etching process according to the third embodiment of the present invention, and shows a state immediately before the dummy polysilicon gate electrodes 11a and 11b are removed in the gate last process. An example is shown. After removing dummy polysilicon gate electrodes 11a and 11b, a metal gate electrode is formed. The etching process of the third embodiment uses, for example, IF 7 gas as in the first and second embodiments. In the processing unit 410 shown in FIG. 3, the etching process is the same as in the first and second embodiments. This is performed under the processing condition C1.

図30Bは、本発明の第3実施例におけるエッチング処理前における、Fin−FETトランジスタのチャネル幅方向の断面図である。図30Bの範囲A、Bは、それぞれ、図30Aの範囲A、Bに相当する。図30BのC−C´断面図が、図30Aである。 図30Cは、本発明の第3実施例におけるエッチング処理前における、Fin−FETトランジスタの3次元概略図である。   Figure 30B is before the etching process in the third embodiment of the present invention, is a cross-sectional view in the channel width direction of the Fin-FET transistor. Ranges A and B in FIG. 30B correspond to ranges A and B in FIG. 30A, respectively. A cross-sectional view taken along the line CC ′ of FIG. 30B is FIG. 30A. FIG. 30C is a three-dimensional schematic diagram of the Fin-FET transistor before the etching process in the third embodiment of the present invention.

図30Aにおいて、11a,11bは、ダミーポリシリコン電極である。ゲート電極のチャネル長方向(図中のX方向)において、ダミーポリシリコン電極11aの長さは約20nm、ダミーポリシリコン電極11bの長さは約150nmである。12はサイドウォールスペーサであり、ダミーポリシリコン電極11a,11bの側壁を支えるように形成されている。13はエッチングストップ層、14はPMD(Pre Metal Dielectric)、15aはゲート酸化膜、16はSi‐Fin、17はSTI(Shallow Trench Isolation)、18はソース又はドレインエピタキシャル層、19はシリコン基板である。   In FIG. 30A, 11a and 11b are dummy polysilicon electrodes. In the channel length direction (X direction in the figure) of the gate electrode, the length of the dummy polysilicon electrode 11a is about 20 nm, and the length of the dummy polysilicon electrode 11b is about 150 nm. A side wall spacer 12 is formed to support the side walls of the dummy polysilicon electrodes 11a and 11b. 13 is an etching stop layer, 14 is PMD (Pre Metal Dielectric), 15a is a gate oxide film, 16 is Si-Fin, 17 is STI (Shallow Trench Isolation), 18 is a source or drain epitaxial layer, and 19 is a silicon substrate. .

このように、基板表側において、底部にゲート酸化膜15aが形成された溝部であってゲート電極が埋め込まれるための溝部内に、シリコン膜であるダミーポリシリコンゲート電極11a,11b(ポリシリコン膜)が充填され形成されている。この溝部は、段差部であり、凹部である。溝部は、チャネル長方向において複数設けられ、複数の溝部のチャネル長方向の長さは、互いに異なっている。   As described above, on the front side of the substrate, dummy polysilicon gate electrodes 11a and 11b (polysilicon film), which are silicon films, are formed in the groove portion in which the gate oxide film 15a is formed on the bottom portion and the gate electrode is embedded. Is filled and formed. This groove part is a step part and is a recessed part. A plurality of grooves are provided in the channel length direction, and the lengths of the plurality of grooves in the channel length direction are different from each other.

ダミーポリシリコン電極11a,11bの下地酸化膜であるゲート酸化膜15aには、例えばSiO膜、HfO膜、Al膜等が用いられる。サイドウォールスペーサ12には、例えば、SiO膜、Si膜、SiCN膜、SiOCN膜、SiOC膜等が用いられる。ダミーポリシリコン電極11a,11bのシリコン含有率は、ゲート酸化膜15aやサイドウォールスペーサ12のシリコン含有率よりも大きい。For example, an SiO 2 film, an HfO 2 film, an Al 2 O 3 film, or the like is used for the gate oxide film 15a that is a base oxide film of the dummy polysilicon electrodes 11a and 11b. For the sidewall spacer 12, for example, a SiO 2 film, a Si 3 N 4 film, a SiCN film, a SiOCN film, a SiOC film, or the like is used. The silicon content of the dummy polysilicon electrodes 11 a and 11 b is larger than the silicon content of the gate oxide film 15 a and the sidewall spacer 12.

図30Aの例では、ゲート長20nm以下の細いダミーポリシリコンゲート電極11aと、ゲート長150nm以上の太いダミーポリシリコンゲート電極11bが存在し、両者のポリシリコンを同時に除去する必要がある。一般的に、被エッチング膜の量に応じてエッチングレートが異なるので、幅の異なるパターンを同時にエッチングするには、幅の狭いパターンがオーバーエッチングされないように、下地膜や側壁の膜に対してポリシリコンのみを高選択にエッチングする高い選択性が要求される。   In the example of FIG. 30A, there are a thin dummy polysilicon gate electrode 11a with a gate length of 20 nm or less and a thick dummy polysilicon gate electrode 11b with a gate length of 150 nm or more, and it is necessary to remove both polysilicons simultaneously. In general, the etching rate varies depending on the amount of the film to be etched. Therefore, in order to simultaneously etch patterns having different widths, a polycrystal is applied to the base film and the sidewall film so that the narrow patterns are not over-etched. High selectivity for etching only silicon with high selectivity is required.

更に、3D構造のFin−FETでは、Finの段差部分の底までのポリシリコンを十分にエッチングして除去する必要がある。つまり、ゲート酸化膜15aで覆われた複数の溝部に充填されたポリシリコン膜を十分に除去する必要がある。Finの段差部分の底までのエッチングを行っている間、Fin上部がオーバーエッチングされないように、つまり、Fin上部の下地酸化膜15aやサイドウォールスペーサ12がエッチングされないように、下地酸化膜15aやサイドウォールスペーサ12に対してポリシリコンのみを高選択にエッチングするエッチング選択性が非常に大切になる。   Further, in the Fin-FET having a 3D structure, it is necessary to sufficiently etch and remove the polysilicon up to the bottom of the step portion of the Fin. That is, it is necessary to sufficiently remove the polysilicon film filled in the plurality of grooves is covered with a gate oxide film 15a. While etching up to the bottom of the Fin stepped portion, the upper portion of the Fin is not over-etched, that is, the underlying oxide film 15a and the sidewall spacer 12 above the Fin are not etched. Etching selectivity for etching only polysilicon with respect to the wall spacer 12 is very important.

図31Aは、本発明の第3実施例のエッチング処理後における、Fin−FETトランジスタのチャネル長方向の断面図であり、図30Aにおいてダミーポリシリコン電極11a,11bを除去した状態を示している。このエッチング処理は、例えば、第1実施例と同様にIFガスを用い、図3に示す処理ユニット410において、第1実施例及び第2実施例と同様の処理条件C1で行われる。FIG. 31A is a cross-sectional view in the channel length direction of the Fin-FET transistor after the etching process of the third embodiment of the present invention, and shows a state in which the dummy polysilicon electrodes 11a and 11b are removed in FIG. 30A. This etching process is performed, for example, using IF 7 gas as in the first embodiment, and in the processing unit 410 shown in FIG. 3 under the same processing conditions C1 as in the first and second embodiments.

図31Bは、本発明の第3実施例のエッチング処理後における、Fin−FETトランジスタのチャネル幅方向の断面図であり、図30Bにおいてダミーポリシリコン電極11aを除去した状態を示している。 図31Cは、本発明の第3実施例のエッチング処理後における、Fin−FETトランジスタの3次元概略図である。   Figure 31B is after the etching process of the third embodiment of the present invention, a cross-sectional view in the channel width direction of the Fin-FET transistor shows a state in which the removal of the dummy polysilicon electrode 11a in FIG. 30B. FIG. 31C is a three-dimensional schematic diagram of a Fin-FET transistor after the etching process of the third embodiment of the present invention.

このように、シリコンのみに高いエッチングレートを有するガスエッチングを行うことにより、ポリシリコンのエッチング残りが抑制され、下地酸化膜15a、側壁のサイドウォール膜12の形状を保持した状態で、ダミーポリシリコンゲート電極11a,11bのみを除去することが可能となる。   In this way, by performing gas etching having a high etching rate only on silicon, the remaining etching of the polysilicon is suppressed, and the dummy polysilicon is maintained while maintaining the shapes of the base oxide film 15a and the sidewall film 12 on the side wall. Only the gate electrodes 11a and 11b can be removed.

そして、ダミーポリシリコンゲート電極11a,11bを除去した後、複数の溝部には、公知の成膜処理により金属含有膜が充填され、メタルゲートが作成される。   Then, after removing the dummy polysilicon gate electrodes 11a and 11b, the plurality of groove portions are filled with a metal-containing film by a known film forming process, and a metal gate is formed.

図32Aは、本発明の第3実施例において基板裏側のポリシリコン膜を除去する前の状態を示す図である。基板裏側の最外側のポリシリコン(Poly-Si)膜11cは、基板表側のダミーポリシリコンゲート電極11a,11b形成時に、同時に形成されたポリシリコン膜である。その下の酸化膜15bは、基板表側のゲート酸化膜15a形成時に、同時に形成された酸化(SiO)膜である。このように、基板裏側において、基板表側のポリシリコン膜11a,11bと同一材料のポリシリコン膜11cや、基板表側のゲート酸化膜15aと同一材料の酸化膜15bが形成されている。以後、エッチング対象膜であるポリシリコン膜11a,11b,11cを、単にポリシリコン膜11と称する場合がある。FIG. 32A is a diagram showing a state before removing the polysilicon film on the back side of the substrate in the third embodiment of the present invention. The outermost polysilicon (Poly-Si) film 11c on the back side of the substrate is a polysilicon film formed simultaneously with the formation of the dummy polysilicon gate electrodes 11a and 11b on the front side of the substrate. The underlying oxide film 15b is an oxide (SiO 2 ) film formed simultaneously with the formation of the gate oxide film 15a on the front side of the substrate. Thus, on the back side of the substrate, a polysilicon film 11c made of the same material as the polysilicon films 11a and 11b on the front side of the substrate and an oxide film 15b made of the same material as the gate oxide film 15a on the front side of the substrate are formed. Hereinafter, the polysilicon films 11a, 11b, and 11c, which are etching target films, may be simply referred to as the polysilicon film 11.

酸化膜15bの下のSiN膜29と、シリコン基板19に接するSiO膜10は、CMOSプロセス工程の初期の段階で行われる一般的なSTI形成プロセスにおいて、基板表側に形成された膜が、基板裏側にも形成されたものである。SiN膜29は、シリコン基板19をドライエッチングしてSTIを埋める溝を形成する際のハードマスクとなる膜で、かつ、埋め込み酸化膜(図11A中のSTI17)をCMPで平坦化する際にストッパーとなる膜である。シリコン基板19に接するSiO膜10は、SiN膜29を形成する前に、シリコン基板19を表面酸化したときに、基板裏側に形成された膜である。The SiN film 29 under the oxide film 15b and the SiO 2 film 10 in contact with the silicon substrate 19 are formed on the substrate surface side in a general STI formation process performed at an early stage of the CMOS process. It is also formed on the back side. The SiN film 29 is a film serving as a hard mask when the silicon substrate 19 is dry-etched to form a trench for filling the STI, and a stopper when the buried oxide film (STI 17 in FIG. 11A) is planarized by CMP. It is a film. The SiO 2 film 10 in contact with the silicon substrate 19 is a film formed on the back side of the substrate when the surface of the silicon substrate 19 is oxidized before the SiN film 29 is formed.

図32Bは、本発明の第3実施例において基板裏側のポリシリコン膜を除去した後の状態を示す図である。このように、第3実施例においては、シリコンに対し高いエッチング選択性を有するガスでエッチングすることにより、基板表側のポリシリコン11a,11bを除去すると同時に、基板裏側においては、ポリシリコン膜11cを除去することが可能である。   FIG. 32B is a diagram showing a state after the polysilicon film on the back side of the substrate is removed in the third embodiment of the present invention. Thus, in the third embodiment, the polysilicon 11a and 11b on the front side of the substrate are removed by etching with a gas having high etching selectivity with respect to silicon, and at the same time, the polysilicon film 11c is formed on the back side of the substrate. It is possible to remove.

例えば、縦型装置のように、基板裏側の一部をボートの支柱で支える場合、図32Aに示すように、基板表側にポリシリコン膜を形成するときに、成膜ガスが基板裏側に回り込み、基板裏側にもポリシリコン膜が形成される場合がある。また、枚葉装置の場合においても、基板載置台上に載置された基板の裏側周縁部に、成膜ガスが回り込み、基板裏側周縁部にポリシリコン膜が形成される場合がある。このような場合に、第3実施例によれば、基板の表側と裏側に形成されたポリシリコン膜を、高選択に除去することができる。   For example, when a part of the back side of the substrate is supported by a boat column like a vertical apparatus, as shown in FIG. 32A, when forming a polysilicon film on the front side of the substrate, the film forming gas wraps around the back side of the substrate, A polysilicon film may also be formed on the back side of the substrate. Also in the case of a single wafer apparatus, there is a case where a film forming gas wraps around the back side peripheral portion of the substrate placed on the substrate mounting table, and a polysilicon film is formed on the substrate back side peripheral portion. In such a case, according to the third embodiment, the polysilicon films formed on the front side and the back side of the substrate can be removed with high selectivity.

以上説明した第3実施例により得られる効果は、以下(1)〜(3)に記載した効果のうち少なくとも一つ以上の効果である。 (1)Fin−FETトランジスタのゲートラストプロセスにおいて、ダミーゲートとして形成されたポリシリコン膜11を、ポリシリコン膜11よりもシリコン含有率の小さい下地のゲート酸化膜15aやサイドウォールスペーサ12に対して、高選択に除去することができる。 (2)さらに、基板の表側と裏側の両方に形成されたポリシリコン膜11を、高選択に除去することができる。 (3)エッチング処理の基板温度を、30〜50℃にしたので、高選択的にSiのエッチング対象膜であるポリシリコン膜11をエッチングできる。また、好ましくは40〜50℃にしたので、さらに高選択的にポリシリコン膜11をエッチングできる。   The effects obtained by the third embodiment described above are at least one of the effects described in (1) to (3) below. (1) In the gate-last process of the Fin-FET transistor, the polysilicon film 11 formed as a dummy gate is compared with the underlying gate oxide film 15a and sidewall spacers 12 having a silicon content smaller than that of the polysilicon film 11. Can be removed with high selection. (2) Furthermore, the polysilicon film 11 formed on both the front side and the back side of the substrate can be removed with high selectivity. (3) Since the substrate temperature of the etching process is set to 30 to 50 ° C., the polysilicon film 11 which is the Si etching target film can be etched with high selectivity. Further, since the temperature is preferably set to 40 to 50 ° C., the polysilicon film 11 can be etched more selectively.

(第4実施例) 3DトランジスタであるFinーFETにおいて、シリコンFinの中にイオン注入を用いて均一にドーピングすることは、垂直なシリコン面の領域があるので難しい。そのため、代替技術として固相拡散を用いた技術が検討されている。第3実施例は、リンを添加したポリシリコン膜を用いて固相拡散を行い、その後、リン添加ポリシリコン膜をエッチングにより除去する場合の例である。 (Fourth Embodiment) In a Fin-FET that is a 3D transistor, it is difficult to uniformly dope silicon ion using ion implantation because there is a vertical silicon surface region. Therefore, a technique using solid phase diffusion has been studied as an alternative technique. In the third embodiment, solid phase diffusion is performed using a polysilicon film to which phosphorus is added, and then the phosphorus-added polysilicon film is removed by etching.

本実施形態に係る基板処理方法の第4実施例について、図33A〜図35Bを用いて説明する。第4実施例のエッチング処理は、例えば、第1実施例乃至第3実施例と同様にIFガスを用い、図3に示す処理ユニット410において、第1実施例乃至第3実施例と同様の処理条件C1で行われる。A fourth example of the substrate processing method according to the present embodiment will be described with reference to FIGS. 33A to 35B. The etching process of the fourth embodiment uses, for example, IF 7 gas as in the first to third embodiments. In the processing unit 410 shown in FIG. 3, the etching process is the same as that of the first to third embodiments. This is performed under the processing condition C1.

図33Aは、本発明の第4実施例のエッチング処理前における、Fin−FETトランジスタのチャネル幅方向の断面図である。図33Bは、本発明の第4実施例のエッチング処理前における、Fin−FETトランジスタの3次元概略図である。11dは、III族又はV族の不純物が含まれるシリコン膜、この例ではリン添加ポリシリコン膜である。図33Aと図33Bにおいて、図30A〜30Cと同じ構成には、同一符号を付している。以後、エッチング対象膜であるIII族又はV族の不純物が含まれるポリシリコン膜11d,11eを、単にポリシリコン膜11と称する場合がある。   FIG. 33A is a cross-sectional view in the channel width direction of the Fin-FET transistor before the etching process according to the fourth embodiment of the present invention. FIG. 33B is a three-dimensional schematic diagram of the Fin-FET transistor before the etching process according to the fourth embodiment of the present invention. 11d is a silicon film containing Group III or Group V impurities, in this example, a phosphorus-added polysilicon film. 33A and 33B, the same components as those in FIGS. 30A to 30C are denoted by the same reference numerals. Hereinafter, the polysilicon films 11d and 11e containing a group III or group V impurity which is a film to be etched may be simply referred to as a polysilicon film 11.

まず、図33Aと図33Bに示すように、Si−Fin構造が形成された基板表側において、シリコンFin16を薄い酸化膜15aで覆い、その上にリン添加ポリシリコン膜11dを形成し、アニール処理によりシリコンFin16中へ、リン添加ポリシリコン膜11d中のリンを拡散させる。その後、必要に応じて高温アニール処理により、シリコンFin16中のリンを活性化させ、さらに拡散させる。リン添加ポリシリコン膜11dのシリコン含有率は、酸化膜15aのシリコン含有率よりも大きい。   First, as shown in FIGS. 33A and 33B, on the surface side of the substrate on which the Si-Fin structure is formed, the silicon Fin 16 is covered with a thin oxide film 15a, and a phosphorous doped polysilicon film 11d is formed thereon, and then annealed. Phosphorus in the phosphorus-added polysilicon film 11d is diffused into the silicon Fin16. Thereafter, phosphorus in the silicon Fin 16 is activated and further diffused by high-temperature annealing as necessary. The silicon content of the phosphorus-added polysilicon film 11d is larger than the silicon content of the oxide film 15a.

具体的には、リン添加ポリシリコン膜11dを、CVD法により400℃から700℃の温度範囲のいずれかの温度で、下地のゲート酸化膜であるSiO膜15aの上に形成する。次に、900℃から1050℃の範囲のいずれかの温度で、Nガス雰囲気中において、1min〜60minの範囲のいずれかの時間アニールし、リン添加ポリシリコン11dからSi−Fin16中にリンを拡散させる。そして、フラッシュランプアニール或いはレーザーアニールを用いて1100℃程度のスパイクアニールを実施し、Si−Fin16中のリンを活性化させる。Specifically, the phosphorus-added polysilicon film 11d is formed on the SiO 2 film 15a, which is the underlying gate oxide film, at any temperature within the range of 400 ° C. to 700 ° C. by the CVD method. Next, annealing is performed for any time in the range of 1 min to 60 min in an N 2 gas atmosphere at any temperature in the range of 900 ° C. to 1050 ° C., and phosphorus is added into the Si-Fin 16 from the phosphorus-added polysilicon 11d. Spread. Then, spike annealing at about 1100 ° C. is performed using flash lamp annealing or laser annealing to activate phosphorus in Si-Fin 16.

次いで、図34A、図34Bに示すように、リン添加ポリシリコン膜11dを除去する。図34Aは、本発明の第4実施例におけるエッチング処理後における、Fin−FETトランジスタのチャネル幅方向の断面図である。図34Bは、本発明の第4実施例におけるエッチング処理後における、Fin−FETトランジスタの3次元概略図である。   Next, as shown in FIGS. 34A and 34B, the phosphorus-added polysilicon film 11d is removed. FIG. 34A is a cross-sectional view in the channel width direction of the Fin-FET transistor after the etching process according to the fourth embodiment of the present invention. FIG. 34B is a three-dimensional schematic diagram of the Fin-FET transistor after the etching process in the fourth embodiment of the present invention.

このようなFin−FETに代表される3D構造において、Fin間の溝内のリン添加ポリシリコン11dを、完全に除去する必要がある。このためには、垂直方向と共に水平方向へのエッチングが進行する等方性エッチングの方が好ましく、シリコンに対して高いエッチングレートを有し、下地のSiO膜15aに対して高い選択性を有するエッチングが要求される。そこで、このエッチング工程においても、高選択で等方性エッチングが可能なエッチングガス(例えばIFガス)を用いて、リン添加ポリシリコン11dを除去する。In such a 3D structure typified by a Fin-FET, it is necessary to completely remove the phosphorus-added polysilicon 11d in the groove between the Fins. For this purpose, isotropic etching in which etching in the horizontal direction as well as the vertical direction proceeds is preferable, has a high etching rate with respect to silicon, and has a high selectivity with respect to the underlying SiO 2 film 15a. Etching is required. Therefore, also in this etching step, the phosphorus-added polysilicon 11d is removed by using an etching gas (for example, IF 7 gas) capable of highly selective isotropic etching.

このように、シリコンのみに高いエッチングレートを有するガスエッチングを行うことで、リン添加ポリシリコンのエッチング残りが無く、下地のSiO膜15aの形状を保持した状態で、リン添加ポリシリコン11dのみを除去することが可能となる。In this way, by performing gas etching having a high etching rate only on silicon, there is no etching residue of phosphorus-added polysilicon, and only the phosphorus-added polysilicon 11d is removed while maintaining the shape of the underlying SiO 2 film 15a. It can be removed.

図35Aは、本発明の第4実施例において、基板裏側のリン添加ポリシリコン膜を除去する前の状態を示す図である。基板裏側の最外側のリン添加ポリシリコン膜11eは、基板表側のリン添加ポリシリコン11d形成時に同時に形成された、リン添加ポリシリコン膜11dと同一材料で形成されたリン添加ポリシリコン膜である。その下の酸化膜15bは、基板表側のゲート酸化膜15a形成時に同時に形成された、ゲート酸化膜15aと同一材料で形成された酸化膜(SiO膜)である。FIG. 35A is a diagram showing a state before the phosphorus-added polysilicon film on the back side of the substrate is removed in the fourth embodiment of the present invention. The outermost phosphorus-added polysilicon film 11e on the back side of the substrate is a phosphorus-added polysilicon film formed at the same time as the phosphorus-added polysilicon film 11d on the front side of the substrate and made of the same material as the phosphorus-added polysilicon film 11d. The underlying oxide film 15b is an oxide film (SiO 2 film) formed at the same time as the gate oxide film 15a on the substrate surface and formed of the same material as the gate oxide film 15a.

酸化膜15bの下のSiN膜29と、シリコン基板19に接するSiO膜は、第3実施例と同様に、CMOSプロセス工程の初期の段階で行われる一般的なSTI形成プロセスにおいて、基板表側に形成された膜が、基板裏側にも形成されたものである。Similar to the third embodiment, the SiN film 29 under the oxide film 15b and the SiO 2 film in contact with the silicon substrate 19 are formed on the front side of the substrate in a general STI formation process performed at an early stage of the CMOS process. The formed film is also formed on the back side of the substrate.

図35Bは、本発明の第4実施例において、基板裏側のリン添加ポリシリコン膜を除去した後の状態を示す図である。このように、第4実施例においては、シリコンに対し高いエッチング選択性を有するガスでエッチングすることにより、ウエハ表側のリン添加ポリシリコン11dを除去すると同時に、ウエハ裏側においては、リン添加ポリシリコン11eを除去することが可能である。 なお、本実施例(第4実施例)では、リン添加のポリシリコンを用いたが、リンの代わりにボロンを添加したポリシリコンを用いることも可能である。   FIG. 35B is a diagram showing a state after the phosphorus-added polysilicon film on the back side of the substrate is removed in the fourth embodiment of the present invention. As described above, in the fourth embodiment, the phosphorus-added polysilicon 11d on the wafer front side is removed by etching with a gas having high etching selectivity with respect to silicon, and at the same time, the phosphorus-added polysilicon 11e on the wafer back side. Can be removed. In this embodiment (fourth embodiment), phosphorus-added polysilicon is used. However, it is also possible to use boron-added polysilicon instead of phosphorus.

また、縦型装置や枚葉装置において、基板の裏側や基板の裏側周縁部に、成膜ガスが回り込みことがある。このとき、基板の裏側や基板裏側周縁部にリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜が形成される。このような場合に、本実施例(第4実施例)によれば、第3実施例の場合と同様にして、基板の表側に形成されたリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜を除去するときに、基板の裏側に形成されたリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜を、高選択に除去することができる。   Further, in a vertical apparatus or a single wafer apparatus, a film forming gas may wrap around the back side of the substrate or the peripheral edge of the back side of the substrate. At this time, a phosphorus-added polysilicon film or a boron-added polysilicon film is formed on the back side of the substrate or the peripheral portion on the back side of the substrate. In such a case, according to this embodiment (fourth embodiment), the phosphorus-added polysilicon film or boron-added polysilicon film formed on the front side of the substrate is removed in the same manner as in the third embodiment. In this case, the phosphorus-added polysilicon film or boron-added polysilicon film formed on the back side of the substrate can be removed with high selectivity.

以上説明した第4実施例により得られる効果は、以下(1)〜(3)に記載した効果のうち少なくとも一つ以上の効果である。 (1)Fin−FETトランジスタのゲートラストプロセスにおいて、シリコンFinへのイオン注入用に形成されたリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜を、リン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜よりもシリコン含有率の小さい下地のゲート酸化膜に対して、高選択に除去することができる。 (2)さらに、基板の表側に形成されたリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜を除去するときに、基板の裏側に形成されたリン添加ポリシリコン膜あるいはボロン添加ポリシリコン膜を、高選択に除去することができる。 (3)エッチング処理の基板温度を、30〜50℃にしたので、高選択的にSiのエッチング対象膜であるIII族又はV族の不純物が含まれるポリシリコン膜11をエッチングできる。また、好ましくは40〜50℃にしたので、さらに高選択的にIII族又はV族の不純物が含まれるポリシリコン膜11をエッチングできる。   The effects obtained by the fourth embodiment described above are at least one of the effects described in (1) to (3) below. (1) In a gate-last process of a Fin-FET transistor, a phosphorus-added polysilicon film or a boron-added polysilicon film formed for ion implantation into silicon Fin is more than a phosphorus-added polysilicon film or a boron-added polysilicon film. The base gate oxide film having a small silicon content can be removed with high selectivity. (2) Further, when removing the phosphorus-added polysilicon film or boron-added polysilicon film formed on the front side of the substrate, the phosphorus-added polysilicon film or boron-added polysilicon film formed on the back side of the substrate is Can be removed to selection. (3) Since the substrate temperature of the etching process is set to 30 to 50 ° C., the polysilicon film 11 containing a group III or group V impurity which is a Si etching target film can be etched with high selectivity. In addition, since the temperature is preferably set to 40 to 50 ° C., the polysilicon film 11 containing a group III or group V impurity can be etched more selectively.

<第5実施形態> 以下に、本発明の第5実施形態について図面を参照してより詳細に説明する。 Fifth Embodiment Hereinafter, a fifth embodiment of the present invention will be described in more detail with reference to the drawings.

(1)基板処理装置の構成 まず、本実施形態(第5実施形態)に係る基板処理装置の構成について、主に図36を用いて説明する。図36は、本実施形態(第5実施形態)に係る基板処理装置の概略構成図であり、処理ユニット410を縦断面で示している。この図36に示す基板処理装置は、第1実施形態乃至第4実施形態に係る基板処理装置の第1処理ユニット(図3)及び第2処理ユニット(図5)を一つの処理ユニットにした形態である。従い、図36において、図3及び図5と同じ機能及び同じ構成を有するものには、同一符号を付している。(基板) 基板としてのウエハ60には、例えば、図37に示す様に、シリコン含有膜64、シリコン含有膜64の上部には、変性層65が形成されている。シリコン含有膜64は、後述のシリコン含有膜除去工程で除去される。変性層65は、例えば、シリコン含有膜の表面や上部に酸素が吸着または拡散することにより形成されたシリコン含有酸化膜である。
本実施形態(第5実施形態)において、特に、第1実施形態乃至第4実施形態に加え、後述する変性層除去工程を有し、例えば、図37の様な基板に対して、後述する変性層除去工程と、シリコン含有膜除去工程と、を組み合わせることによって、シリコン含有膜を除去する基板処理を特徴としている。
(1) Configuration of Substrate Processing Apparatus First, the configuration of a substrate processing apparatus according to this embodiment (fifth embodiment) will be described mainly with reference to FIG. FIG. 36 is a schematic configuration diagram of a substrate processing apparatus according to the present embodiment (fifth embodiment), and shows a processing unit 410 in a longitudinal section. The substrate processing apparatus shown in FIG. 36 has a configuration in which the first processing unit (FIG. 3) and the second processing unit (FIG. 5) of the substrate processing apparatus according to the first to fourth embodiments are combined into one processing unit. It is. Accordingly, in FIG. 36, components having the same functions and configurations as those in FIGS. 3 and 5 are denoted by the same reference numerals. (Substrate) On the wafer 60 as a substrate, for example, as shown in FIG. 37, a silicon-containing film 64 and a modified layer 65 are formed on the silicon-containing film 64. The silicon-containing film 64 is removed in a silicon-containing film removing process described later. The denatured layer 65 is, for example, a silicon-containing oxide film formed by oxygen adsorbing or diffusing on the surface or upper part of the silicon-containing film.
In this embodiment (fifth embodiment), in particular, in addition to the first embodiment to the fourth embodiment, there is a modified layer removing step to be described later. For example, for a substrate as shown in FIG. It is characterized by substrate processing for removing a silicon-containing film by combining a layer removing process and a silicon-containing film removing process.

(処理室) 処理容器431は、通常、非金属材料の石英ガラスやセラミックスによって円筒状に形成されている。但し、特に不都合が無ければ金属製材料でも良い。処理容器431の上端はトッププレート454で閉塞され、下端は架台としての水平なベースプレート448および底板469で閉塞され、また、後述する圧力調整機構によって、気密に封止される。処理容器431内の上側の空間は、ガスが混合される混合室630となる。ガス混合室630は、所望のガス流れや混合状態によって最適化される。また、ガス混合室630にシャワープレートを設けて、後述の処理室445にガスが直接供給されるように構成しても良い。また、ベースプレート448面の下側であって、ウエハ60が設けられる空間は、処理室445となる。また、プラズマを用いてシリコン酸化膜の除去を行う場合には、プラズマ混合室630(プラズマ生成室530と同等)であって、後述する励起部としての共振コイル521が対向する空間にはプラズマが生成される。 (Processing chamber) The processing container 431 is generally formed in a cylindrical shape from a non-metallic material such as quartz glass or ceramics. However, a metal material may be used if there is no particular inconvenience. The upper end of the processing container 431 is closed by a top plate 454, the lower end is closed by a horizontal base plate 448 and a bottom plate 469 as a gantry, and is hermetically sealed by a pressure adjusting mechanism described later. The upper space in the processing container 431 becomes a mixing chamber 630 in which gas is mixed. The gas mixing chamber 630 is optimized according to a desired gas flow and mixing state. Further, a shower plate may be provided in the gas mixing chamber 630 so that the gas is directly supplied to the processing chamber 445 described later. In addition, a space below the base plate 448 and in which the wafer 60 is provided is a processing chamber 445. When the silicon oxide film is removed using plasma, the plasma is in a plasma mixing chamber 630 (equivalent to the plasma generation chamber 530), and in a space where a resonance coil 521 as an excitation unit described later faces. Generated.

(基板支持部) 処理室445の底面にはサセプタ459が設けられる。サセプタ459は、サセプタテーブル411とサセプタ上のウエハを所定の温度に維持する基板加熱部463とを有する。また、基板加熱部463は、必要に応じて、過剰な熱を排除するための冷却機構を含有しても良い。また、サセプタ459は、複数本の支柱461によって支持された構造となっている。このサセプタテーブル411を貫通して、複数本からなるリフターピン413が設けられており、その上部には基板支持部としてのウエハ支持ピン414が具備されている。ウエハ支持ピン414はサセプタ459の中心方向に延出している。ウエハ60はサセプタテーブル411またはウエハ支持ピン414に載置される。ここでは、ウエハ支持ピン414は、ウエハ60の外周部を支持する構造となっているが、必要に応じて、ウエハ60の中心付近を支持する構造にしても良い。基板の中心付近を支持することにより、基板直径が450mmの様な大口径基板を支持した際に生じる、基板の撓みを軽減し、処理均一性を向上させることができる。例えば、基板が撓んでいると、撓み部分付近のガス流れやウエハ温度が、撓み部分以外の流れや温度と異なり、処理均一性が変化することが有る。基板支持部は、ウエハ支持ピン414で構成される。場合によっては、サセプタテーブル411とリフターピン413とを含めて考えても良い。リフターピン413は、昇降板471に接続され、ガイドシャフト467に沿って、昇降駆動部473により昇降可能に構成されている。 (Substrate Support Unit) A susceptor 459 is provided on the bottom surface of the processing chamber 445. The susceptor 459 includes a susceptor table 411 and a substrate heating unit 463 that maintains the wafer on the susceptor at a predetermined temperature. In addition, the substrate heating unit 463 may include a cooling mechanism for removing excessive heat as necessary. The susceptor 459 has a structure supported by a plurality of support columns 461. A plurality of lifter pins 413 are provided through the susceptor table 411, and wafer support pins 414 as substrate support portions are provided above the lifter pins 413. Wafer support pins 414 extend toward the center of the susceptor 459. The wafer 60 is placed on the susceptor table 411 or the wafer support pins 414. Here, the wafer support pins 414 are configured to support the outer peripheral portion of the wafer 60, but may be configured to support the vicinity of the center of the wafer 60 as necessary. By supporting the vicinity of the center of the substrate, it is possible to reduce the bending of the substrate that occurs when a large-diameter substrate having a substrate diameter of 450 mm is supported, and to improve the processing uniformity. For example, when the substrate is bent, the gas flow near the bent portion and the wafer temperature are different from the flow and temperature other than the bent portion, and the processing uniformity may change. The substrate support portion is composed of wafer support pins 414. In some cases, the susceptor table 411 and the lifter pin 413 may be considered. The lifter pin 413 is connected to the lifting plate 471 and is configured to be lifted and lowered by the lifting drive unit 473 along the guide shaft 467.

(排気部) サセプタ459の下方には、排気部が設けられる。排気部は圧力調整部(圧力調整機構)としてのAPC(Auto Pressure Control)バルブ479と排気管480を有する。場合によっては、排気ポンプ481を排気部に含めるようにしても良い。APCバルブ479のバルブ開度は、処理室445内の圧力を元にフィードバック制御されるよう構成される。処理室445内の圧力は、圧力センサ(不図示)によって測定される。本実施形態で用いるフッ素含有ガスは、一般的なパージガスである窒素(N)ガスよりも重くなっている。例えば、後述のヨウ素含有ガスの一つである七フッ化ヨウ素(IF)ガスは室温での比重が約2.7であり、窒素(N)ガスよりも2.8倍程度重い。その為、フッ素含有ガスが滞留し易い処理室の底部に排気口を設けることはフッ素含有ガスの残留を抑制する為に有用である。また、フッ素含有ガスの排出を促進するために、排気部にパージガスを供給できるように構成しても良い。(Exhaust Unit) An exhaust unit is provided below the susceptor 459. The exhaust part has an APC (Auto Pressure Control) valve 479 and an exhaust pipe 480 as pressure adjusting parts (pressure adjusting mechanisms). In some cases, the exhaust pump 481 may be included in the exhaust section. The valve opening degree of the APC valve 479 is configured to be feedback controlled based on the pressure in the processing chamber 445. The pressure in the processing chamber 445 is measured by a pressure sensor (not shown). The fluorine-containing gas used in this embodiment is heavier than nitrogen (N 2 ) gas, which is a general purge gas. For example, iodine heptafluoride (IF 7 ) gas, which is one of the iodine-containing gases described later, has a specific gravity at room temperature of about 2.7 and is about 2.8 times heavier than nitrogen (N 2 ) gas. Therefore, providing an exhaust port at the bottom of the processing chamber where the fluorine-containing gas tends to stay is useful for suppressing the residual fluorine-containing gas. Moreover, in order to accelerate | stimulate discharge | emission of fluorine-containing gas, you may comprise so that purge gas can be supplied to an exhaust part.

(バッフルリング) また、処理ガスの流れを、改善するために、円筒状のバッフルリング458と排気板465を設けても良い。バッフルリング458には円筒側面に通気孔が多数均一に設けられ、排気板465には中央部に排気連通孔475が設けられる。サセプタ459、バッフルリング458、排気板465によって第1排気室474が形成され、排気板465と底板469とによって第2排気室476が形成された構造となっており、第1排気室474と第2排気室476とは排気連通孔475によって連通されている。又、第2排気室476には排気管480が連通されている。第1排気室474と第2排気室476をそれぞれ設けることによって、前記ウエハ60の全周方向から均一に排気をすることができ、ウエハ60への処理均一性を向上させることができる。 (Baffle Ring) In order to improve the flow of the processing gas, a cylindrical baffle ring 458 and an exhaust plate 465 may be provided. The baffle ring 458 is uniformly provided with a large number of ventilation holes on the side surface of the cylinder, and the exhaust plate 465 is provided with an exhaust communication hole 475 at the center. The first exhaust chamber 474 is formed by the susceptor 459, the baffle ring 458, and the exhaust plate 465, and the second exhaust chamber 476 is formed by the exhaust plate 465 and the bottom plate 469. The two exhaust chambers 476 are communicated with each other through an exhaust communication hole 475. An exhaust pipe 480 is communicated with the second exhaust chamber 476. By providing each of the first exhaust chamber 474 and the second exhaust chamber 476, the exhaust can be performed uniformly from the entire circumference of the wafer 60, and the processing uniformity on the wafer 60 can be improved.

(ガス供給部) 処理容器431の上部のトッププレート454には、図中省略のガス供給設備から所要の複数の処理ガスを供給する為のガス供給管455が、ガス導入口433に付設されている。ガス供給管455には、処理ガスとしてのハロゲン元素含有ガスを基板に供給する処理ガス供給部、処理ガスとしての除去剤(除去ガス)を基板に供給する除去剤供給部、及びその他のガス、ここでは、パージ用のN2ガス、クリーニング用のフッ化塩素(ClF)ガス等を供給する第三の供給部(不図示)がその必要に応じて設けられている。除去剤は、例えば、除去剤としてフッ化水素(HF)ガスなどが用いられる。なお、ここでは、除去剤としてガスを供給する例を示すが、これに限らず、液体を供給することによるエッチング方法で除去可能に構成しても良い。又、変性層をスパッタリングで除去する場合は、アルゴンなどの希ガスを流しても良い。ガス供給部にはそれぞれ、流量制御部であるマスフローコントローラ477(a),477(b)及び開閉弁478(a),478(b)が設けられており、ガス供給量を制御することが出来る。ここでは除去剤供給部までのみ記載しているが、第三以降のガス供給部があっても良い。又、使用するガスを事前に混合してからガス導入口433に流しても良い。更に、処理容器431内には、処理ガスの流れを調整する為、略円形で石英ガラスやセラミックスからなるバッフル板460が設けられている。又、必要に応じてシャワープレートを用いる構造にしても良い。流量制御部及びAPCバルブ479によって供給量、排気量を調整することにより、処理容器431と処理室445の圧力が所望の値に制御される。(Gas Supply Unit) A gas supply pipe 455 for supplying a plurality of required processing gases from a gas supply facility (not shown) is attached to the gas inlet 433 on the top plate 454 at the top of the processing vessel 431. Yes. The gas supply pipe 455 includes a processing gas supply unit that supplies a halogen-containing gas as a processing gas to the substrate, a removing agent supply unit that supplies a removing agent (removal gas) as the processing gas to the substrate, and other gases. Here, a third supply unit (not shown) for supplying purge N2 gas, cleaning chlorine fluoride (ClF 3 ) gas, and the like is provided as necessary. As the removing agent, for example, hydrogen fluoride (HF) gas or the like is used as the removing agent. Note that, here, an example in which gas is supplied as the removing agent is shown, but the present invention is not limited thereto, and it may be configured to be removable by an etching method by supplying liquid. When removing the modified layer by sputtering, a rare gas such as argon may be flowed. The gas supply units are respectively provided with mass flow controllers 477 (a) and 477 (b) and on-off valves 478 (a) and 478 (b) which are flow rate control units, so that the gas supply amount can be controlled. . Although only the removal agent supply unit is described here, there may be a third or later gas supply unit. Further, the gas to be used may be mixed in advance and then flowed to the gas inlet 433. Further, a baffle plate 460 made of quartz glass or ceramics is provided in the processing container 431 to adjust the flow of the processing gas. Moreover, you may make it the structure which uses a shower plate as needed. By adjusting the supply amount and the exhaust amount by the flow rate control unit and the APC valve 479, the pressures in the processing container 431 and the processing chamber 445 are controlled to desired values.

(励起部) プラズマを用いて変性層膜の除去を行う場合には、プラズマを発生させる励起部が設けられても良い。
励起部としての共振コイル432は、所定の波長の定在波を形成する為、一定波長のモードで共振するように巻径、巻回ピッチ、巻数が設定される。即ち、共振コイル432の電気的長さは、高周波電源444から供給される電力の所定周波数における1波長の整数倍(1倍、2倍、・・・)又は半波長もしくは1/4波長に相当する長さに設定される。例えば、27.12MHzの場合、1波長の長さは約11メートルである。使用する周波数及び共振コイル長は、所望するプラズマ発生状態やプラズマ発生室630の機械的な寸法などに応じて選択されると良い。
(Excitation part) When removing a modified | denatured layer film | membrane using plasma, the excitation part which generate | occur | produces a plasma may be provided.
Since the resonance coil 432 as the excitation unit forms a standing wave of a predetermined wavelength, the winding diameter, the winding pitch, and the number of turns are set so as to resonate in a constant wavelength mode. That is, the electrical length of the resonance coil 432 corresponds to an integral multiple (1 times, 2 times,...), Half wavelength, or ¼ wavelength of one wavelength at a predetermined frequency of power supplied from the high frequency power supply 444. Set to the length to be. For example, in the case of 27.12 MHz, the length of one wavelength is about 11 meters. The frequency and resonant coil length to be used are preferably selected according to the desired plasma generation state, the mechanical dimensions of the plasma generation chamber 630, and the like.

より具体的には、共振コイル432は、印加する電力や発生させる磁界強度又は適用する装置の外形などを勘案し、例えば、800kHz〜50MHz、0.5〜5kWの高周波電力によって0.01〜10ガウス程度の磁場を発生し得る様に、50〜300mmの有効断面積であって、かつ200〜500mmのコイル直径に構成され、処理容器431の外周側に2〜60回程度巻回される。共振コイル432を構成する素材としては、銅パイプ、銅の薄板、アルミニウムパイプ、アルミニウム薄板、ポリマーベルトに銅板又はアルミニウムを蒸着した素材等が使用される。共振コイル432は、絶縁性材料にて平板状に形成され、かつベースプレート448の上端面に鉛直に立設された複数の支持部によって支持される。More specifically, the resonance coil 432 takes into account the applied power, the generated magnetic field strength, the outer shape of the applied device, and the like, for example, 0.01 to 10 by high frequency power of 800 kHz to 50 MHz and 0.5 to 5 kW. In order to generate a Gaussian magnetic field, it has an effective sectional area of 50 to 300 mm 2 and a coil diameter of 200 to 500 mm, and is wound about 2 to 60 times on the outer peripheral side of the processing vessel 431. . As a material constituting the resonance coil 432, a copper pipe, a copper thin plate, an aluminum pipe, an aluminum thin plate, a material obtained by evaporating a copper plate or aluminum on a polymer belt, or the like is used. The resonant coil 432 is formed of an insulating material in a flat plate shape, and is supported by a plurality of support portions that are vertically provided on the upper end surface of the base plate 448.

共振コイル432の両端は電気的に接地されているが、共振コイル432の少なくとも一端は、装置の最初の設置の際又は処理条件の変更の際に当該共振コイルの電気的長さを微調整する為、可動タップ522を介して接地される。例えば、固定接地箇所により接地される。更に、装置の最初の設置の際又は処理条件の変更の際に、共振コイル432のインピーダンスを微調整する為、共振コイル432の接地された両端の間には、可動タップ524によって給電部が構成される。   Both ends of the resonance coil 432 are electrically grounded, but at least one end of the resonance coil 432 finely adjusts the electrical length of the resonance coil during the initial installation of the apparatus or when processing conditions are changed. Therefore, it is grounded via the movable tap 522. For example, it is grounded by a fixed ground location. Further, in order to finely adjust the impedance of the resonance coil 432 when the apparatus is first installed or when the processing conditions are changed, a power feeding unit is configured by a movable tap 524 between the grounded ends of the resonance coil 432. Is done.

即ち、共振コイル432は、電気的に接地されたグラウンド部を両端に備え、かつ高周波電源444から電力供給される給電部を各グラウンド部の間に備える。また、少なくとも一方のグラウンド部は、位置調整可能な可変式グラウンド部であって、給電部は、位置調整可能な可変式給電部としても良い。共振コイル432が可変式グラウンド部及び可変式給電部を備えている場合には、後述する様に、プラズマ発生室630の共振周波数及び負荷インピーダンスを調整するにあたり、より一層簡便に調整することが出来る。   That is, the resonance coil 432 includes ground portions that are electrically grounded at both ends, and includes a power feeding portion that is supplied with power from the high-frequency power source 444 between the ground portions. Further, at least one of the ground portions may be a variable ground portion whose position is adjustable, and the power feeding portion may be a variable power feeding portion whose position is adjustable. When the resonance coil 432 includes a variable ground portion and a variable power supply portion, the resonance frequency and load impedance of the plasma generation chamber 630 can be adjusted more easily as will be described later. .

更に、共振コイル432の一端(又は両端)には、位相及び逆位相電流が共振コイル432の電気的中点に関して対象に流れる様に、コイル及びシールドから成る波形調整回路が挿入されても良い。斯かる波形調整回路は、共振コイル432の端部を電気的に非接続状態とするか又は電気的に等価の状態に設定することにより開路に構成される。又、共振コイル432の端部は、チョーク直列抵抗によって非接地とし、固定基準電圧に直流接続されても良い。   Further, a waveform adjustment circuit including a coil and a shield may be inserted at one end (or both ends) of the resonance coil 432 so that the phase and antiphase currents flow to the target with respect to the electrical midpoint of the resonance coil 432. Such a waveform adjusting circuit is configured as an open circuit by setting the end of the resonance coil 432 to an electrically disconnected state or an electrically equivalent state. Further, the end of the resonance coil 432 may be ungrounded by a choke series resistor and may be DC-connected to a fixed reference voltage.

外側シールド452は、共振コイル432の外側への電磁波の漏れを遮蔽するとともに、共振回路を構成するのに必要な容量成分を共振コイル432との間に形成する為に設けられる。外側シールド452は、一般的には、アルミニウム合金、銅又は銅合金等の導電性材料を使用して円筒状に形成される。外側シールド452は、共振コイル432の外周から、例えば、5〜10mm程度隔てて配置される。そして、通常、外側シールド452は、共振コイル432の両端と電位が等しくなる様に接地されるが、共振コイル432の共振数を正確に設定する為、外側シールド452の一端又は両端は、タップ位置を調整可能になされたり、或いは、共振コイル432と外側シールド452の間には、トリミングキャパシタンスが挿入されたりしても良い。また、電気的に接地された外側シールド452と共振コイル432とにより、螺旋共振器が構成される。   The outer shield 452 is provided to shield leakage of electromagnetic waves to the outside of the resonance coil 432 and to form a capacitance component necessary for configuring a resonance circuit between the resonance coil 432 and the outer shield 452. The outer shield 452 is generally formed in a cylindrical shape using a conductive material such as aluminum alloy, copper, or copper alloy. The outer shield 452 is arranged at a distance of, for example, about 5 to 10 mm from the outer periphery of the resonance coil 432. In general, the outer shield 452 is grounded so that the potential is equal to both ends of the resonance coil 432. To accurately set the resonance number of the resonance coil 432, one end or both ends of the outer shield 452 are tapped positions. The trimming capacitance may be inserted between the resonance coil 432 and the outer shield 452. The outer shield 452 and the resonance coil 432 that are electrically grounded constitute a spiral resonator.

高周波電源444としては、共振コイル432に必要な電圧及び周波数の電力を供給出来る電源である限り、RFジェネレータ等の適宜の電源を使用できる。例えば、周波数80kHz〜800MHzで0.5〜5kW程度の電力を供給可能な高周波電源が使用される。   As the high-frequency power source 444, an appropriate power source such as an RF generator can be used as long as it is a power source that can supply electric power of a necessary voltage and frequency to the resonance coil 432. For example, a high frequency power source capable of supplying power of about 0.5 to 5 kW at a frequency of 80 kHz to 800 MHz is used.

また、高周波電源444の出力側には反射波電力計468が設置され、反射波電力計468によって検出された反射波電力が、制御部として用いられるコントローラ600に入力される。コントローラ600は、単に高周波電源444のみを制御するものではなく、例えば、基板搬送機構やゲートバルブの動作等を含めた、当該基板処理装置全体の制御を行っている。表示装置としてのディスプレイは、例えば、反射波電力計468による反射波の検出結果等の当該基板処理装置に設けられた各種検出部で検出されたデータ等を表示する。なお、高周波電源444には発信周波数を制御する周波数整合器526が設けられている。   A reflected wave wattmeter 468 is installed on the output side of the high frequency power supply 444, and the reflected wave power detected by the reflected wave wattmeter 468 is input to the controller 600 used as a control unit. The controller 600 does not simply control only the high-frequency power supply 444 but controls the entire substrate processing apparatus including, for example, the operation of the substrate transfer mechanism and the gate valve. The display as the display device displays, for example, data detected by various detection units provided in the substrate processing apparatus such as a detection result of the reflected wave by the reflected wave wattmeter 468. The high frequency power supply 444 is provided with a frequency matching unit 526 that controls the transmission frequency.

本実施形態において、励起部は、共振コイル432で構成されるが、高周波電源444、外部シールド452、反射波電力計468、周波数整合器526の内1つ以上を含めて考えても良い。   In the present embodiment, the excitation unit includes the resonance coil 432, but it may be considered including one or more of the high-frequency power source 444, the external shield 452, the reflected wave wattmeter 468, and the frequency matching unit 526.

(基板搬送系) 次に、本実施形態における基板の搬送系については、図1及び図2に開示されている基板処理装置と同じ形態であり、また、その搬送形態も同じであるため、ここでは説明を省略する。 (Substrate Transport System) Next, the substrate transport system in the present embodiment has the same form as the substrate processing apparatus disclosed in FIGS. 1 and 2, and the transport form is also the same. Then, explanation is omitted.

(コントローラ) コントローラ600は、後述の基板処理工程を行うように、上述の各部を制御する。図6と重複する部分は、説明を省略する場合がある。 (Controller) The controller 600 controls the above-described units so as to perform a substrate processing process described later. Description of portions overlapping those in FIG. 6 may be omitted.

(制御部) 図38に示すように、制御部(制御手段)であるコントローラ600は、CPU(Central Processing Unit)600a、RAM(Random Access Memory)600b、記憶装置600c、I/Oポート600dを備えたコンピュータとして構成されている。RAM600b、記憶装置600c、I/Oポート600dは、内部バス600eを介して、CPU600aとデータ交換可能なように構成されている。コントローラ600には、例えばタッチパネル等として構成された入出力装置601が接続されている。 (Control Unit) As shown in FIG. 38, a controller 600 that is a control unit (control unit) includes a CPU (Central Processing Unit) 600a, a RAM (Random Access Memory) 600b, a storage device 600c, and an I / O port 600d. Configured as a computer. The RAM 600b, the storage device 600c, and the I / O port 600d are configured to exchange data with the CPU 600a via the internal bus 600e. For example, an input / output device 601 configured as a touch panel or the like is connected to the controller 600.

記憶装置600cは、例えばフラッシュメモリ、HDD(Hard Disk Drive)等で構成されている。記憶装置600c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件などが記載されたプロセスレシピ等が、読み出し可能に格納されている。   The storage device 600c includes, for example, a flash memory, a HDD (Hard Disk Drive), and the like. In the storage device 600c, a control program that controls the operation of the substrate processing apparatus, a process recipe that describes the procedure and conditions of the substrate processing described later, and the like are stored in a readable manner.

I/Oポート600dは、上述の昇降駆動部473、基板温度調整部463、APCバルブ479、マスフローコントローラ477(a),477(b)、開閉弁478(a),478(b)、排気ポンプ481、大気搬送ロボット130、ゲートバルブ313,314、真空アームロボットユニット320等に接続されている。なお、励起部を設けた場合には、高周波電源444、可動タップ524、反射電力計468、周波数整合器526にも接続可能に構成される。   The I / O port 600d includes the above-described lifting drive unit 473, substrate temperature adjustment unit 463, APC valve 479, mass flow controllers 477 (a) and 477 (b), on-off valves 478 (a) and 478 (b), and an exhaust pump. 481, atmospheric transfer robot 130, gate valves 313, 314, vacuum arm robot unit 320, and the like. In the case where the excitation unit is provided, the high frequency power supply 444, the movable tap 524, the reflected power meter 468, and the frequency matching unit 526 are configured to be connectable.

CPU600aは、記憶装置600cから制御プログラムを読み出して実行すると共に、入出力装置601からの操作コマンドの入力等に応じて記憶装置600cからプロセスレシピを読み出すように構成されている。そして、CPU600aは、読み出したプロセスレシピの内容に沿うように、昇降駆動部473によるリフターピン413の上下動作、基板温度調整部463によるウエハ60の加熱・冷却動作、APCバルブ479による圧力調整動作、マスフローコントローラ477(a),477(b)と開閉弁478(a),478(b)による処理ガスの流量調整動作、等を制御するように構成されている。   The CPU 600a is configured to read and execute a control program from the storage device 600c, and to read a process recipe from the storage device 600c in response to an operation command input from the input / output device 601. Then, the CPU 600a moves the lifter pins 413 up and down by the elevation drive unit 473, the heating / cooling operation of the wafer 60 by the substrate temperature adjustment unit 463, the pressure adjustment operation by the APC valve 479, in accordance with the contents of the read process recipe. The flow rate adjustment operation of the processing gas by the mass flow controllers 477 (a) and 477 (b) and the on-off valves 478 (a) and 478 (b) is controlled.

(2)基板処理工程 続いて、図39を用いて、本実施形態にかかる半導体製造工程の一工程として実施される基板処理工程について説明する。かかる工程は、上述の基板処理装置により実施される。なお、以下の説明において、基板処理装置を構成する各部の動作は、コントローラ600により制御される。ここで、第1実施形態乃至第4実施形態における基板処理工程と異なる点は、ハロゲン元素を含む(好ましくは、ヨウ素を含む)エッチングガスを供給して、Si膜を選択エッチングする工程に加え、このエッチングガスの浸透を妨げる変性層を除去する工程を加えた点にある。この変性層を除去する工程は、ヨウ素を含むエッチングガスによるエッチング工程の前でも後でもそのどちらでもよく、エッチング対象とする基板に形成されるデバイスの構造により適宜設定される。尚、この変性層を除去する工程は、第1実施形態乃至第4実施形態における基板処理工程においても、適用可能であるのは言うまでもない。 (2) Substrate Processing Step Next, with reference to FIG. 39, a substrate processing step performed as one step of the semiconductor manufacturing process according to the present embodiment will be described. Such a process is performed by the above-described substrate processing apparatus. In the following description, the operation of each unit constituting the substrate processing apparatus is controlled by the controller 600. Here, the difference from the substrate processing step in the first to fourth embodiments is that in addition to the step of selectively etching the Si film by supplying an etching gas containing a halogen element (preferably containing iodine), This is in that a step of removing the modified layer that hinders the penetration of the etching gas is added. The step of removing the denatured layer may be performed either before or after the etching step using an etching gas containing iodine, and is appropriately set depending on the structure of the device formed on the substrate to be etched. Needless to say, the step of removing the denatured layer can also be applied to the substrate processing steps in the first to fourth embodiments.

(基板の搬入工程S10) まず、ウエハ60が、FOUP110から大気搬送ロボット130によって、ロードロックチャンバ250に搬送される。ロードロックチャンバ250では、真空排気が行われ、EFEM内の大気雰囲気又は不活性ガス雰囲気から、真空雰囲気又は不活性ガス雰囲気、不活性ガスが供給される減圧雰囲気に置換される。雰囲気の置換が終了すると、ロードロックチャンバ250とトランスファーモジュール310との間にあるゲートバルブ311が開放され、ウエハ600が真空アームロボットユニット320によって、ロードロックチャンバ250からトランスファーモジュール310内に搬送される。搬送されると、ゲートバルブ311は閉じられる。その後、トランスファーモジュール310と処理ユニット410との間に設けられたゲートバルブ313を通してリフターピン413上のウエハ支持ピン414に載置する。ウエハ搬送機構が処理室445の外へ退避すると、ゲートバルブ313が閉じられる。このウエハ60の搬送時には、搬送経路を不活性ガスでパージし、かつ減圧状態で行うことが好ましい。不活性ガス雰囲気にし、かつ減圧状態にすることで、ウエハ60に形成された半導体素子の酸化(酸素吸着)や意図せぬ水分の吸着等を抑制することができる。 (Substrate Loading Step S <b> 10) First, the wafer 60 is transferred from the FOUP 110 to the load lock chamber 250 by the atmospheric transfer robot 130. In the load lock chamber 250, evacuation is performed, and the atmosphere or inert gas atmosphere in the EFEM is replaced with a vacuum atmosphere, an inert gas atmosphere, or a decompressed atmosphere to which an inert gas is supplied. When the atmosphere replacement is completed, the gate valve 311 between the load lock chamber 250 and the transfer module 310 is opened, and the wafer 600 is transferred from the load lock chamber 250 into the transfer module 310 by the vacuum arm robot unit 320. . When transported, the gate valve 311 is closed. Thereafter, the wafer is mounted on the wafer support pins 414 on the lifter pins 413 through the gate valve 313 provided between the transfer module 310 and the processing unit 410. When the wafer transfer mechanism is retracted out of the processing chamber 445, the gate valve 313 is closed. When the wafer 60 is transferred, it is preferable that the transfer path is purged with an inert gas and the pressure is reduced. By setting the inert gas atmosphere and reducing the pressure, it is possible to suppress the oxidation (oxygen adsorption) of the semiconductor elements formed on the wafer 60 and the unintentional moisture adsorption.

(基板加熱工程S20) 次に、リフターピン413を下降させ、ウエハ60をサセプタテーブル411上に載置する。ここでリフターピン413の昇降は、昇降駆動部473により昇降されることで行われる。サセプタ459に具備された基板温度調整部463は、予め所定の温度に加熱されており、ウエハ60を所定のウエハ温度になる様に加熱する。必要に応じて、過剰な熱(反応熱)を排熱するための冷却機構も併用する。ここで、所定のウエハ温度は、後述の除去ガスやエッチングガスが十分に気化している温度帯であって、ウエハ60に形成された膜特性が変質しない温度である。 (Substrate Heating Step S20) Next, the lifter pins 413 are lowered and the wafer 60 is placed on the susceptor table 411. Here, the lifter pin 413 is lifted and lowered by the lift drive unit 473. The substrate temperature adjusting unit 463 provided in the susceptor 459 is heated to a predetermined temperature in advance, and heats the wafer 60 so as to reach a predetermined wafer temperature. If necessary, a cooling mechanism for exhausting excess heat (reaction heat) is also used. Here, the predetermined wafer temperature is a temperature zone in which a later-described removal gas and etching gas are sufficiently vaporized, and is a temperature at which film characteristics formed on the wafer 60 do not change.

(変性層除去工程S30) 続いて、ガス供給管455から所定の除去剤としての除去ガスをウエハ60に供給し、ウエハ60から変性層の除去を行う。ここで、変性層とは、シリコンを主成分とする膜(及び該シリコン膜で形成されるパターン)の表面に形成されたシリコンを含有する酸化膜である。変性層は、例えば、ウエハ60を移動させた際に移動中の雰囲気に含まれる酸素との反応で形成される。また、ウェットエッチング、洗浄等を行う際に用いられる水または雰囲気に存在する酸素と反応し形成される。シリコンを主成分とする膜は、アモルファスシリコン膜、ポリシリコン膜、P(リン)ドープシリコン膜、B(ボロン)ドープシリコン膜、As(ヒ素)ドープシリコン膜、C(炭素)ドープシリコン膜等がある。変性層の除去は、除去剤をウエハ60に供給することにより行われる。例えば、除去ガスを供給することによって行われる。除去ガスは、例えばHFガスが用いられ、0.1slm〜10slmのうち、所定のガス流量に設定される。例えば3slmに設定される。処理室内の圧力は例えば1Pa〜1300Paのうち。所定の圧力に設定される。例えば100Paに設定される。HFガスは特にシリコン酸化膜の除去に有効である。この場合、HFガスを処理室に導入しても良いし、七フッ化ヨウ素(IF)ガスと水素(H)ガスとの混合ガスを処理室に導入してプラズマ化することで、HFガス成分を発生させても良い。特に、IFガスを供給することにより、後述のSi含有膜除去工程の予備的処理を行うことができる。即ち、変性層とシリコン含有膜の中間層を除去することができ、シリコン含有膜除去工程で、シリコン含有膜をより確実に除去することが可能になる。また、ここでは、HFガスで変性層を除去する例を示したが、これに限るものでは無い。例えば、還元性のガスを供給し、酸素を除去するように構成しても良い。還元性のガスとしては、例えば、水素(H)ガスが有る。また、洗浄液などによる表面への酸素吸着量が許容範囲内であれば、除去剤として、除去液(例えばHF水溶液)を用いたウェットエッチング法で変性層を除去しても良い。また、除去剤として、アルゴン(Ar)などの希ガスと水素ガスなどの還元性のガスのいずれか又は両方を活性化(プラズマ化)したガスを用いて、ウエハ60供給にすることで変性層を除去しても良い。活性化された希ガスをウエハ60に供給することで、変性層をスパッタリングして除去することができる。また、活性化された水素をウエハ60に供給することで、変性層を還元することができる。このような活性化した除去剤(例えば活性化されたAr)をウエハ60に供給することによって、HFガスを用いた場合と比較して、ウエハ60上に形成された他の膜を損なうことなく変性層を除去することができる。即ち、埋め込み膜としての機能を損なうこと無く、変性層65aの除去を行うことができる。また、変性層の除去は、例えば、ハロゲン元素を含むガスを供給することによって行われる。除去ガスは、ハロゲン元素含有ガスは、例えば、フッ素(F)、塩素(Cl)、臭素(Br)、ヨウ素(I)の中から二つ以上のハロゲン元素を含むガスである。例えば、五フッ化ヨウ素(IF)、七フッ化ヨウ素(IF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、二フッ化キセノン(XeF)、三フッ化塩素(ClF)などが有る。(Modified Layer Removal Step S30) Subsequently, a removal gas as a predetermined removing agent is supplied from the gas supply pipe 455 to the wafer 60, and the modified layer is removed from the wafer 60. Here, the denatured layer is an oxide film containing silicon formed on the surface of a film containing silicon as a main component (and a pattern formed by the silicon film). The denatured layer is formed, for example, by a reaction with oxygen contained in the moving atmosphere when the wafer 60 is moved. Further, it is formed by reacting with water or oxygen present in the atmosphere used when performing wet etching, cleaning, or the like. The silicon-based film includes an amorphous silicon film, a polysilicon film, a P (phosphorus) doped silicon film, a B (boron) doped silicon film, an As (arsenic) doped silicon film, a C (carbon) doped silicon film, and the like. is there. The denatured layer is removed by supplying a remover to the wafer 60. For example, the removal gas is supplied. For example, HF gas is used as the removal gas, and is set to a predetermined gas flow rate of 0.1 slm to 10 slm. For example, it is set to 3 slm. The pressure in the processing chamber is, for example, 1 Pa to 1300 Pa. A predetermined pressure is set. For example, it is set to 100 Pa. HF gas is particularly effective for removing the silicon oxide film. In this case, HF gas may be introduced into the treatment chamber, or a mixed gas of iodine heptafluoride (IF 7 ) gas and hydrogen (H 2 ) gas is introduced into the treatment chamber to be converted into plasma. A gas component may be generated. In particular, by supplying IF 7 gas, a preliminary treatment in the Si-containing film removal step described later can be performed. That is, the intermediate layer between the modified layer and the silicon-containing film can be removed, and the silicon-containing film can be more reliably removed in the silicon-containing film removal step. Moreover, although the example which removes a modified layer with HF gas was shown here, it does not restrict to this. For example, a reducing gas may be supplied to remove oxygen. An example of the reducing gas is hydrogen (H 2 ) gas. If the amount of oxygen adsorbed on the surface by the cleaning liquid or the like is within an allowable range, the modified layer may be removed by a wet etching method using a removing liquid (for example, HF aqueous solution) as a removing agent. Further, the denatured layer is obtained by supplying the wafer 60 with a gas obtained by activating (plasmaizing) one or both of a rare gas such as argon (Ar) and a reducing gas such as hydrogen gas as a removing agent. May be removed. By supplying the activated rare gas to the wafer 60, the modified layer can be removed by sputtering. Moreover, the denatured layer can be reduced by supplying activated hydrogen to the wafer 60. By supplying such an activated removal agent (for example, activated Ar) to the wafer 60, other films formed on the wafer 60 are not damaged as compared with the case of using HF gas. The denatured layer can be removed. That is, the denatured layer 65a can be removed without impairing the function as the embedded film. The modified layer is removed by supplying a gas containing a halogen element, for example. The removal gas is a gas containing two or more halogen elements from fluorine (F), chlorine (Cl), bromine (Br), and iodine (I), for example. For example, iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5 ), xenon difluoride (XeF 2 ), trifluoride There is chlorine (ClF 3 ) and the like.

変性層の除去後は、新たな次の工程に備えて必要なパージ処理を行うことが好ましい。   After removing the denatured layer, it is preferable to perform a necessary purge process in preparation for a new next step.

(変性層抑制工程S40) この工程では、変性層の除去後に、再び変性層が成長してしまうことを防ぐ。例えば、ウエハ60を不活性ガス雰囲気、還元性雰囲気、真空雰囲気中に保つことで変性層の発生を抑制する。本実施形態では、一連の処理を同一の処理室で行っている為、処理室の雰囲気に酸素を混入させることなく、速やかに次の工程に移行することが可能である。 (Modified Layer Suppression Step S40) In this step, the modified layer is prevented from growing again after the modified layer is removed. For example, the generation of the modified layer is suppressed by keeping the wafer 60 in an inert gas atmosphere, a reducing atmosphere, or a vacuum atmosphere. In this embodiment, since a series of processing is performed in the same processing chamber, it is possible to quickly move to the next step without mixing oxygen in the atmosphere of the processing chamber.

(処理ガス供給工程S50) 続いて、ガス供給管455から所定の処理ガスを供給する。処理ガスは、エッチングガスとしてフッ素を含むガス(フッ素含有ガス)を供給する。また、パージ用又は希釈用の不活性ガスを供給してもよい。ここで、フッ素含有ガスは、フッ素(F)を一つ以上含むガスである。例えば、五フッ化ヨウ素(IF)、七フッ化ヨウ素(IF)、三フッ化臭素(BrF)、五フッ化臭素(BrF)、二フッ化キセノン(XeF)、三フッ化塩素(ClF)などが有る。好ましくは、五フッ化ヨウ素(IF)、七フッ化ヨウ素(IF)等のヨウ素を含むガス(ヨウ素含有ガス)、更に好ましくは、七フッ化ヨウ素(IF)が用いられる。IFは、シリコン含有膜を積極的(選択的)に除去させることができる。また、不活性ガスは、例えば、窒素(N)ガスが用いられるが、He,Ne,Arなどの希ガスであっても良い。(Processing gas supply process S50) Subsequently, a predetermined processing gas is supplied from the gas supply pipe 455. The processing gas supplies a gas containing fluorine (fluorine-containing gas) as an etching gas. Further, an inert gas for purging or dilution may be supplied. Here, the fluorine-containing gas is a gas containing one or more fluorine (F). For example, iodine pentafluoride (IF 5 ), iodine heptafluoride (IF 7 ), bromine trifluoride (BrF 3 ), bromine pentafluoride (BrF 5 ), xenon difluoride (XeF 2 ), trifluoride There is chlorine (ClF 3 ) and the like. Preferably, a gas containing iodine (iodine-containing gas) such as iodine pentafluoride (IF 5 ) or iodine heptafluoride (IF 7 ), more preferably iodine heptafluoride (IF 7 ) is used. IF 7 can positively (selectively) remove the silicon-containing film. Further, for example, nitrogen (N 2 ) gas is used as the inert gas, but it may be a rare gas such as He, Ne, or Ar.

ガスの供給と同時にAPCバルブ479によって、排気量を調整することにより、処理室445内の全圧力を1〜1330Pa程度の範囲の内、IFの分圧を1〜1330Pa程度の範囲の内、所定の圧力に維持する。例えば、100Paに維持される。それぞれのガス流量は、0.1〜10SLM程度の範囲の内、所定の流量に設定する。例えば、3SLMに設定される。また、必要に応じて、一旦、処理容器431と処理室445の雰囲気を排気してから所定のガスを供給しても良い。また、IFガスが供給され次第、シリコン含有膜のエッチングが開始されるので、圧力やガス流量は速やかに所定の値に設定されることが望ましい。ここで、第1実施形態及び第4実施形態における処理条件C1でも本実施形態において適用可能であるのは言うまでもない。By adjusting the exhaust amount by the APC valve 479 simultaneously with the gas supply, the total pressure in the processing chamber 445 is in the range of about 1 to 1330 Pa, and the partial pressure of IF 7 is in the range of about 1 to 1330 Pa. Maintain a predetermined pressure. For example, it is maintained at 100 Pa. Each gas flow rate is set to a predetermined flow rate within a range of about 0.1 to 10 SLM. For example, it is set to 3 SLM. Further, if necessary, a predetermined gas may be supplied after the atmosphere of the processing container 431 and the processing chamber 445 is once exhausted. Further, since the etching of the silicon-containing film is started as soon as the IF 7 gas is supplied, it is desirable that the pressure and the gas flow rate are quickly set to predetermined values. Here, it goes without saying that the processing condition C1 in the first embodiment and the fourth embodiment can also be applied in the present embodiment.

(シリコン含有膜除去工程S60) 基板温度、圧力、ガス流量を、所定の値で所定の時間維持することでシリコン含有膜が選択的に所定の量だけ除去される。特に、IFガスは、室温程度(例えば、基板温度30℃〜50℃)でプラズマを用いることなく、シリコン膜を選択的に高エッチングレートで除去できる。(Silicon-containing film removal step S60) By maintaining the substrate temperature, pressure, and gas flow rate at predetermined values for a predetermined time, the silicon-containing film is selectively removed by a predetermined amount. In particular, the IF 7 gas can selectively remove the silicon film at a high etching rate without using plasma at about room temperature (for example, a substrate temperature of 30 ° C. to 50 ° C.).

なお、この時、ウエハ60をウエハ支持ピン414で支持した状態でエッチングガスを供給しても良い。ウエハ支持ピン414で支持した状態でエッチングガスを供給することで、ウエハ60の裏面に形成されたシリコンを主成分とする膜(シリコン含有膜)を除去することができる。また、ウエハ60をウエハ支持ピン414での支持は、エッチングガスをある程度供給した後で行っても良いし、エッチングガスを供給する前であっても良い。   At this time, the etching gas may be supplied while the wafer 60 is supported by the wafer support pins 414. By supplying the etching gas while being supported by the wafer support pins 414, the silicon-based film (silicon-containing film) formed on the back surface of the wafer 60 can be removed. Further, the wafer 60 may be supported by the wafer support pins 414 after the etching gas is supplied to some extent or before the etching gas is supplied.

ここで、ウエハ60の表面と裏面を比較すると、ウエハ60の表面には、半導体装置を構成する微細な凹凸が形成されており、裏面には、表面にシリコンを主成分とする膜が形成された状態となっている。ウエハ支持ピン414で支持するタイミングによっては、凹凸内部に形成されたシリコンを主成分とする膜を過剰に除去してしまうことや、適度に除去しても裏面に膜が残る状態となってしまうことが有る。ウエハ60の表面と裏面への供給時間を異ならせることによって、ウエハ60の表面と裏面を均一に処理することができる。 Here, when the front surface and the back surface of the wafer 60 are compared, the surface of the wafer 60 is formed with fine irregularities constituting the semiconductor device, and the back surface is formed with a film mainly composed of silicon on the front surface. It is in the state. Depending on the timing of supporting by the wafer support pins 414, the film mainly composed of silicon formed inside the unevenness may be excessively removed, or the film may remain on the back surface even if removed appropriately. There is a thing. By varying the supply time to the front and back surfaces of the wafer 60, the front and back surfaces of the wafer 60 can be processed uniformly.

(変性層除去工程S70) 必要に応じて、シリコン含有膜除去後に残った変性層の除去を行う。ここで、変性層とは、シリコンを主成分とする膜の表面に形成されたシリコンを含有する酸化膜である。この場合、HFガスを処理室に導入しても良いし、IFガスとHガスとの混合ガスを処理室に導入してプラズマ化することでHFガス成分を発生させても良い。(Modified Layer Removal Step S70) If necessary, the modified layer remaining after removing the silicon-containing film is removed. Here, the denatured layer is an oxide film containing silicon formed on the surface of a film containing silicon as a main component. In this case, HF gas may be introduced into the processing chamber, or a mixed gas of IF 7 gas and H 2 gas may be introduced into the processing chamber and converted into plasma to generate an HF gas component.

IFガスを供給することにより、上述のシリコン含有膜除去工程で、シリコン含有膜が残っていたとしても、シリコン含有膜を除去することができる。また、シリコン含有膜と変性層の中間膜も除去することができる。また、除去剤として、アルゴンなどの希ガスと水素ガスなどの還元性のガスのいずれか又は両方を活性化(プラズマ化)したガスを用いて、ウエハ60に供給することで変性層を除去しても良い。活性化された希ガスをウエハ60に供給することで、変性層をスパッタリングして除去することができる。また、活性化された水素をウエハ60に供給することで、変性層を還元することができる。 By supplying the IF 7 gas, the silicon-containing film can be removed even if the silicon-containing film remains in the above-described silicon-containing film removal step. Further, the intermediate film between the silicon-containing film and the modified layer can also be removed. Further, the denatured layer is removed by supplying the wafer 60 with a gas obtained by activating (plasmaizing) one or both of a rare gas such as argon and a reducing gas such as hydrogen gas as a removing agent. May be. By supplying the activated rare gas to the wafer 60, the modified layer can be removed by sputtering. Moreover, the denatured layer can be reduced by supplying activated hydrogen to the wafer 60.

このような活性化した除去剤をウエハ60に供給することによって、ウエハ60上に形成された他の膜を損なうことなく変性層を除去することができる。なお、この時、ウエハ60をウエハ支持ピン414で支持した後で除去ガスを供給しても良い。また、除去ガスを供給中にウエハ60をウエハ支持ピン414で支持しても良い。この場合は、ウエハ表面のシリコン電極(パターン)とウエハ裏面に除去ガスが晒される時間が異なるため、ウエハ表面に除去ガスが多く晒されることとなる。 By supplying such an activated removing agent to the wafer 60, the modified layer can be removed without damaging other films formed on the wafer 60. At this time, the removal gas may be supplied after the wafer 60 is supported by the wafer support pins 414. Further, the wafer 60 may be supported by the wafer support pins 414 during the supply of the removal gas. In this case, since the time during which the removal gas is exposed to the silicon electrode (pattern) on the wafer surface and the back surface of the wafer is different, a large amount of the removal gas is exposed to the wafer surface.

また、HFガスの反応性は反応室雰囲気中の水分量にも左右されてしまう為、プラズマ化して充分活性な除去ガスを用いて変性層を除去することは有効である。 Further, since the reactivity of the HF gas depends on the amount of water in the reaction chamber atmosphere, it is effective to remove the denatured layer using a removal gas that is converted into plasma and is sufficiently active.

(パージ・冷却工程S80) 必要な除去工程を終えたら除去ガスの供給を停止し、処理容器431と処理室445の雰囲気ガスを排気する。この時、パージ用の不活性ガスを流しながら排気しても良い。また、上述の様に、ハロゲン含有ガスはパージガスよりも重いため、除去ガスが残留してしまう可能性が有る。故に、除去ガスを残存させない為に充分なパージを行うことが好ましい。例えば、パージ用の不活性ガスの供給と雰囲気ガスの排気を交互に行う。これにより、ハロゲン含有ガスを処理室内に残留することや、処理室外への流出を防ぐことができる。また、リフターピン413を上昇させ、ウエハ60をサセプタテーブル411から離して搬送可能な温度まで冷却する。 (Purge / Cooling Step S80) When the necessary removal step is completed, the supply of the removal gas is stopped, and the atmosphere gas in the processing vessel 431 and the processing chamber 445 is exhausted. At this time, exhaust may be performed while flowing an inert gas for purging. Further, as described above, since the halogen-containing gas is heavier than the purge gas, there is a possibility that the removal gas remains. Therefore, it is preferable to perform a sufficient purge so that the removed gas does not remain. For example, the supply of the inert gas for purging and the exhaust of the atmospheric gas are performed alternately. Thereby, it is possible to prevent the halogen-containing gas from remaining in the processing chamber and to flow out of the processing chamber. Also, the lifter pins 413 are raised to cool the wafer 60 to a temperature at which the wafer 60 can be transferred away from the susceptor table 411.

(基板搬出工程S90) ウエハ60が搬送可能な温度まで冷却され、処理室から搬出する準備が整ったら、上述の基板搬入工程S10の逆の手順で搬出する。 (Substrate Unloading Step S90) When the wafer 60 is cooled to a temperature at which it can be transferred and ready to be unloaded from the processing chamber, it is unloaded by the reverse procedure of the substrate loading step S10.

特に、上述のような基板処理工程において、アスペクト比の大きいトレンチ構造を有するデバイスが表側に形成された基板に対して、このトレンチ構造内部の変性層を除去する場合には、ガスをプラズマ化(活性化)してトレンチ内部に入射させることは有効である。   In particular, in the substrate processing step as described above, when removing the denatured layer inside the trench structure with respect to the substrate on which the device having the trench structure with a large aspect ratio is formed on the front side, the gas is converted into plasma ( It is effective to make it enter into the trench after activation.

本実施形態(第5の実施形態)にかかる変性層の除去工程の有効性について詳述する。   The effectiveness of the modified layer removing step according to the present embodiment (fifth embodiment) will be described in detail.

エッチング工程による除去対象であるシリコン含有膜が変性層で覆われている場合、その変性層が充分厚く密な膜であれば、IFガスの浸透を阻害しシリコンの除去反応は生じない。しかし、変性層が自然酸化膜の様な薄く粗な膜の場合、IFガスは変性層を透過して下地のシリコンと反応し、シリコンは除去されながら変性層が残渣として残ることが判明している。When the silicon-containing film to be removed by the etching process is covered with a modified layer, if the modified layer is sufficiently thick and dense, the penetration of IF 7 gas is inhibited and no silicon removal reaction occurs. However, when the modified layer is a thin and rough film such as a natural oxide film, the IF 7 gas permeates through the modified layer and reacts with the underlying silicon, and the modified layer remains as a residue while the silicon is removed. ing.

特にシリコン含有膜の表面は容易に自然酸化する為、この自然酸化膜の除去に留意しなければ、IFガスによるシリコン含有膜除去後に意図せぬ残渣を発生させてしまうことになる。In particular, since the surface of the silicon-containing film is easily naturally oxidized, an unintended residue is generated after removal of the silicon-containing film with IF 7 gas unless care is taken in removing the natural oxide film.

更に、シリコン含有膜除去前は基板のウェット洗浄が可能であっても、シリコン含有膜除去後には、微細で高アスペクトレシオの構造物が露出するために、基板のウェット洗浄ができない場合も多い。ここで、微細な高アスペクトレシオの構造物とは、例えば、ピラー構造が有る。その様な場合には、シリコン含有膜除去後に変性層の残渣が残ってしまうと除去する術が無い可能性がある。例えば、微細で高アスペクトレシオの構造物が露出したウエハ60をウェット洗浄した場合、上述の様にパターンが倒壊してしまうという課題が有る。従って、シリコン含有膜の除去前に残渣の基となる変性層を除去することは特に重要となる。   Furthermore, even if the substrate can be wet-cleaned before removal of the silicon-containing film, the substrate is often unable to be wet-cleaned after the silicon-containing film is removed because a fine and high aspect ratio structure is exposed. Here, the fine high aspect ratio structure includes, for example, a pillar structure. In such a case, there is a possibility that there is no way to remove the modified layer residue after the silicon-containing film is removed. For example, when the wafer 60 on which a fine and high aspect ratio structure is exposed is subjected to wet cleaning, there is a problem that the pattern collapses as described above. Therefore, it is particularly important to remove the denatured layer that becomes a residue base before removing the silicon-containing film.

(3)第5実施例(変性層の除去工程を含むガスエッチング)以下に、本実施形態を好適に実施するバックエンド向け微細パターン形成フローについて、図40A〜図40Mに基づいて説明する。特に、図40G、図40Jは、シリコンに対して高いエッチングレートを有し、例えばSiO膜、Si膜、カーボン膜に対して高い選択性を持つガスエッチングを実施する工程の一例を表している。(3) Fifth Example (Gas Etching Including Deletion Layer Removal Step) Hereinafter, a back end fine pattern forming flow for suitably carrying out this embodiment will be described with reference to FIGS. 40A to 40M. In particular, FIGS. 40G and 40J show an example of a process for performing gas etching having a high etching rate with respect to silicon and having high selectivity with respect to, for example, a SiO 2 film, a Si 3 N 4 film, and a carbon film. Represents.

また、図40A〜図40Mは、シリコン材をコアにSiO膜のサイドウォールを形成した後に更にシリコン膜を成膜してSiO膜のサイドウォールをエッチバックしてリソグラフィ解像限界未満の溝パターンを形成するネガSADP法を示したものである。また解像限界寸法以上で形成するシリコンコアパターンは、リソグラフィとドライエッチを用いてパターンニングされており、カーボン膜とシリコン反射防止膜上にレジストを塗布した多層レジスト膜を用いた場合を示している。そして、被パターニング上にTiN膜、シリコン膜、SiO膜が積層された多層膜の上にネガSADPを行う例を示している。これらの多層膜はバックエンドに用いられる許Further, FIG. 40A~ Figure 40M is further groove below the lithographic resolution limit sidewalls is etched back the SiO 2 film by forming a silicon film after the silicon material to form a side wall of the SiO 2 film to the core The negative SADP method for forming a pattern is shown. In addition, the silicon core pattern formed with the resolution limit dimension or more is patterned using lithography and dry etching, and shows the case of using a multilayer resist film in which a resist is coated on the carbon film and the silicon antireflection film. Yes. Then, an example is shown in which negative SADP is performed on a multilayer film in which a TiN film, a silicon film, and a SiO 2 film are laminated on the patterning target. These multilayer films are permitted for use in the back end.

また、本発明を好適に表す一例のプロセスフローを示しており、下地の積層の膜の組合せなどは特に限定されない。 In addition, an example process flow that suitably represents the present invention is shown, and there is no particular limitation on the combination of the underlying laminated films.

本実施形態において、まず初めに、図40Aに示すように、リソグラフィでレジスト1をパターンニングおよびスリミングする。続いて、図40Bに示すように、パターンニングされたレジスト1をマスクに下地のシリコン反射防止膜2とカーボンハードマスク3をドライエッチによりパターンを転写する。次に、アッシャーによりレジスト1を除去した後に、図40Cに示すように、パターンニングされたシリコン反射防止膜層2とカーボンハードマスク層3をマスクに下地のシリコン膜4をパターニングする。次に、図40Dに示すように、アッシャーによりカーボンハードマスク層3を除去することにより、シリコン膜4のコアパターン(シリコンを主成分とする突起)が形成される。このシリコンを主成分とする突起の間には、第1の溝43が形成される。 In the present embodiment, first, as shown in FIG. 40A, the resist 1 is patterned and slimmed by lithography. Subsequently, as shown in FIG. 40B, the pattern is transferred by dry etching the underlying silicon antireflection film 2 and the carbon hard mask 3 using the patterned resist 1 as a mask. Next, after removing the resist 1 with an asher, as shown in FIG. 40C, the underlying silicon film 4 is patterned using the patterned silicon antireflection film layer 2 and carbon hard mask layer 3 as a mask. Next, as shown in FIG. 40D, by removing the carbon hard mask layer 3 with an asher, a core pattern (protrusions mainly composed of silicon) of the silicon film 4 is formed. A first groove 43 is formed between the protrusions mainly composed of silicon.

次に、図40Eに示すように、SiO膜35を成膜する。シリコン膜4のコアに対してカバレッジ良く均一に成膜される方が好ましい。SiO膜35が成膜されることにより、第2の溝44が形成される。次に、図40Fに示すように、シリコン膜36をSiO膜35上に覆い被せるように成膜する。この時、シリコンコアを密集して配置した領域は、隣接するSiO膜35のサイドウォール間の溝をシリコン膜36で埋めるように成膜される。その隣接するサイドウォール間の間隔はシリコン膜36が埋まり、かつ、その間隔が所望の寸法になるように、シリコン膜4のコアパターン寸法及びピッチ、SiO膜35の膜厚で調整されている。具体的には、SiO膜35上に形成されるシリコン膜36のうち、第2の溝44上に形成されたシリコン膜36の膜厚を厚くなるように調整される。更に言えば、SiO膜35上に形成されるシリコン膜36は、第2の溝44上に形成されたシリコン膜36以外では、同じ膜厚になるように調整されるのが好ましい。Next, as shown in FIG. 40E, a SiO 2 film 35 is formed. It is preferable that the silicon film 4 is formed uniformly with good coverage on the core. By forming the SiO 2 film 35, the second groove 44 is formed. Next, as shown in FIG. 40F, a silicon film 36 is formed so as to cover the SiO 2 film 35. At this time, the region where the silicon cores are densely arranged is formed so that the groove between the sidewalls of the adjacent SiO 2 film 35 is filled with the silicon film 36. The interval between the adjacent sidewalls is adjusted by the core pattern size and pitch of the silicon film 4 and the thickness of the SiO 2 film 35 so that the silicon film 36 is filled and the interval becomes a desired size. . Specifically, the thickness of the silicon film 36 formed on the second groove 44 in the silicon film 36 formed on the SiO 2 film 35 is adjusted to be thick. More specifically, the silicon film 36 formed on the SiO 2 film 35 is preferably adjusted to have the same thickness except for the silicon film 36 formed on the second groove 44.

次に、図40Gに示すように、シリコン膜36をエッチバックして、前記第2の溝44内にシリコン膜36で埋めた領域のシリコン膜36のみを残すようにエッチング条件が調整される。この時、広い溝パターン(第3の溝45)では側壁のシリコン膜36、底のシリコン膜36は完全に除去する必要がある。その為には、等方性エッチングが必要である。この時、溝の幅は、第2の溝の幅<第1の溝<第3の溝の幅という関係である。この工程にシリコンに対して高いエッチングレートを有し、SiO膜とは高い選択性を持つエッチングとして、IFガスを用いたガスエッチングを実施する。IFガスの供給条件の一例は、流量1リットル(仮に中心の条件のみを示す)、圧力200〜500Pa付近で室温程度(例えば、30℃〜50℃)にて実施する。このとき、例えば、第3の溝に面したシリコン膜36(上記側壁のシリコン膜36、上記底のシリコン膜36)、また、シリコン膜4の上に堆積されたSiO膜35上に堆積されたシリコン膜36等は、完全に除去され、第2の溝44上に形成されたシリコン膜36だけを残すような条件に調整される。尚、第1実施形態及び第4実施形態における処理条件C1でも構わないのは言うまでもない。この場合は、例えば、エッチング時間で調整される。Next, as shown in FIG. 40G, the silicon film 36 is etched back, and the etching conditions are adjusted so that only the silicon film 36 in the region filled with the silicon film 36 is left in the second trench 44. At this time, in the wide groove pattern (third groove 45), it is necessary to completely remove the silicon film 36 on the side wall and the silicon film 36 on the bottom. For this purpose, isotropic etching is required. At this time, the width of the groove is such that the width of the second groove <the first groove <the width of the third groove. In this process, gas etching using IF 7 gas is performed as etching having a high etching rate with respect to silicon and high selectivity with respect to the SiO 2 film. An example of the IF 7 gas supply condition is performed at a flow rate of 1 liter (only the central condition is shown) and a pressure of 200 to 500 Pa at about room temperature (for example, 30 ° C. to 50 ° C.). At this time, for example, the silicon film 36 (the silicon film 36 on the side wall and the silicon film 36 on the bottom) facing the third groove, and the SiO 2 film 35 deposited on the silicon film 4 are deposited. The silicon film 36 and the like are completely removed and adjusted so as to leave only the silicon film 36 formed on the second groove 44. Needless to say, the processing conditions C1 in the first and fourth embodiments may be used. In this case, for example, the etching time is adjusted.

ここで、処理ガスとしてのエッチングガスを供給する際には、上述の様に予め除去剤を用いた処理を施しておくことが好ましい。   Here, when supplying the etching gas as the processing gas, it is preferable to perform a treatment using a removing agent in advance as described above.

続いて、図40Hに示すようにSiO膜35をドライエッチングし、シリコン膜4のコアパターンとSiO膜の溝に残ったシリコン膜36の間のSiO膜35を除去して溝パターンを形成する。次に、図40Iに示すように、図40Hで形成されたパターンをマスクに下地のSiO膜37をドライエッチによりパターンニングする。SiO膜37のエッチングストッパーとしてここでは一例としてシリコン膜38が下に敷かれた場合を示している。次に、図40Jに示すようにSiO膜をエッチングして出来た溝パターンの中に例えばカーボン系膜39を埋め込む。カーボン膜の代わりに一般的なレジスト材を用いても良い。溝の底から埋めていく為にスピンコートの方法で塗布するのが望ましい。そして、エッチバックによりパターニングされたシリコン膜4、36の表面が出るまでエッチングする。Subsequently, the SiO 2 film 35 as shown in FIG. 40H dry etching, a groove pattern by removing the SiO 2 film 35 between the silicon film 36 remaining in the grooves of the core pattern and the SiO 2 film of the silicon film 4 Form. Next, as shown in FIG. 40I, the underlying SiO 2 film 37 is patterned by dry etching using the pattern formed in FIG. 40H as a mask. As an example of the etching stopper for the SiO 2 film 37, a case where a silicon film 38 is laid below is shown. Next, as shown in FIG. 40J, for example, a carbon-based film 39 is embedded in the groove pattern formed by etching the SiO 2 film. A general resist material may be used instead of the carbon film. It is desirable to apply by spin coating in order to fill from the bottom of the groove. Then, etching is performed until the surfaces of the silicon films 4 and 36 patterned by the etch back appear.

次に、図40Kに示すようシリコン膜が表面のパターンをカーボン系膜39は削れないエッチング条件で除去する。この時まずシリコン膜4、36のエッチングを行う。下地のSiO2膜と共にカーボン系膜9に対しても高い選択性のある膜が望ましく、例えばIFのガスエッチングを用いる。IFガスの供給条件は、流量1リットル(仮に中心の条件のみを示す)、圧力200〜500Pa付近で温度は30℃〜50℃で実施する。ここで、第1実施形態及び第4実施形態における処理条件Cでも構わないのは言うまでもない。この時、縦型装置においては、ウエハ裏面が露出しているため、ウエハ裏面のシリコン膜を同時に除去する事ができる。一方、枚葉装置の場合においても、IFガス供給時にウエハ60をウエハ支持ピン414で浮かす事によって、エッチングガスをウエハ裏面へ送り込むことでウエハ裏面のシリコン膜を同時に除去する事ができる。なお、この時、ウエハ60をウエハ支持ピン414で支持した後で除去ガスを供給しても良い。この場合は、ウエハ表面のシリコン電極(パターン)とウエハ裏面に除去ガスが晒される時間が異なるため、ウエハ表面に除去ガスが多く晒されることとなる。Next, as shown in FIG. 40K, the pattern on the surface of the silicon film is removed under etching conditions that the carbon-based film 39 is not shaved. At this time, the silicon films 4 and 36 are first etched. A film having high selectivity with respect to the carbon-based film 9 together with the underlying SiO 2 film is desirable. For example, gas etching of IF 7 is used. The IF 7 gas is supplied under the conditions of a flow rate of 1 liter (only the central condition is shown), a pressure of 200 to 500 Pa, and a temperature of 30 ° C. to 50 ° C. Here, it goes without saying that the processing condition C in the first embodiment and the fourth embodiment may be used. At this time, in the vertical apparatus, since the wafer back surface is exposed, the silicon film on the wafer back surface can be removed at the same time. On the other hand, even in the case of a single wafer apparatus, the silicon film on the back surface of the wafer can be removed simultaneously by sending the etching gas to the back surface of the wafer by floating the wafer 60 with the wafer support pins 414 when supplying the IF 7 gas. At this time, the removal gas may be supplied after the wafer 60 is supported by the wafer support pins 414. In this case, since the time during which the removal gas is exposed to the silicon electrode (pattern) on the wafer surface and the back surface of the wafer is different, a large amount of the removal gas is exposed to the wafer surface.

次に、SiO膜エッチングに切り替えて下地のシリコン膜38をエッチングストッパーとしてSiO膜37をエッチングする。次に、図40Lに示すように引き続きシリコン膜38と電極としてのTiN膜62の2層をエッチングする。そしてアッシングによりカーボン系膜39を除去する。この時のアッシングは酸素系ガスを含まないアッシングの方が好ましい。次に図40Mに示すようにパターニングされたシリコン膜8とTiN膜62をマスクに下地のSiO膜10に溝を形成する。バックエンドプロセスにおける銅ダマシンプロセスの溝パターンがリソグラフィでの解像限界未満のピッチで形成する事ができる。Next, switching to SiO 2 film etching is performed, and the SiO 2 film 37 is etched using the underlying silicon film 38 as an etching stopper. Next, as shown in FIG. 40L, the two layers of the silicon film 38 and the TiN film 62 as an electrode are continuously etched. Then, the carbon-based film 39 is removed by ashing. The ashing at this time is preferably ashing not containing oxygen-based gas. Next, as shown in FIG. 40M, a groove is formed in the underlying SiO 2 film 10 using the patterned silicon film 8 and TiN film 62 as a mask. The groove pattern of the copper damascene process in the back-end process can be formed with a pitch less than the resolution limit in lithography.

また、本実施形態(第5実施形態)では、除去ガスやエッチングガスを用いて対象の膜を直接除去する工程について記したが、これに限らず、ハロゲン塩ガスをシリコン酸化膜と反応させて反応物を生成し、反応物を加熱・気化させて除去させても良い。   In the present embodiment (fifth embodiment), the process of directly removing the target film using the removal gas or the etching gas is described. However, the present invention is not limited to this, and the halogen salt gas is allowed to react with the silicon oxide film. A reaction product may be generated, and the reaction product may be removed by heating and vaporization.

また、本実施形態(第5実施形態)では、変性層として、シリコン含有膜の上部に形成されたシリコン酸化膜について記したが、これに限るものではない。例えば、レジストアッシングする際に水素と窒素を用いたプラズマ処理が行われた際に、基板や基板に形成された膜の表面に窒化膜が形成される。この窒化膜が存在する場合も上述と同様の問題を生じる可能性が有り、シリコン含有膜を除去する前に窒化膜(変性層)を除去することで残留する窒化膜の量を抑制することができる。   In this embodiment (fifth embodiment), the silicon oxide film formed on the silicon-containing film is described as the modified layer. However, the present invention is not limited to this. For example, when a plasma treatment using hydrogen and nitrogen is performed during resist ashing, a nitride film is formed on the surface of the substrate or a film formed on the substrate. When this nitride film exists, the same problem as described above may occur, and the amount of remaining nitride film can be suppressed by removing the nitride film (modified layer) before removing the silicon-containing film. it can.

また、上述したように本実施形態(第5実施形態)によれば、除去剤でシリコン膜に形成された変性層を除去し、シリコン膜をエッチングガスで除去する例を示したがこれに限るものでは無い。例えば、シリコンを主成分とするダミーゲート電極を除去する際に、ダミーゲート電極の表面に形成された自然酸化膜を除去剤で除去した後に、ダミーゲート電極をエッチングガスで除去する様に構成しても良いし、電極形成用のモールドシリコン膜の表面に形成された自然酸化膜を除去剤で除去した後に、モールドシリコン膜をエッチングガスで除去する様に構成しても良い。   Further, as described above, according to the present embodiment (fifth embodiment), the modified layer formed on the silicon film is removed with the removing agent, and the silicon film is removed with the etching gas. However, the present invention is not limited thereto. It is not a thing. For example, when removing the dummy gate electrode mainly composed of silicon, the dummy oxide is removed with an etching gas after removing the natural oxide film formed on the surface of the dummy gate electrode with a remover. Alternatively, after removing the natural oxide film formed on the surface of the mold silicon film for electrode formation with a remover, the mold silicon film may be removed with an etching gas.

このように、本実施の形態(第5実施形態)によれば、基板に形成された半導体デバイスに自然酸化膜が堆積されていたとしても、除去剤でシリコン膜に形成された変性層を除去し、変性層で覆われていたシリコン膜を表面に露出させ、このシリコン膜をエッチングガスで除去することができる。特に、本実施の形態において、Si膜とSi膜以外の膜とでは、著しく顕著な選択性を有する七フッ化ヨウ素(IF)ガスをエッチングガスとして用いることができる。Thus, according to the present embodiment (fifth embodiment), even if a natural oxide film is deposited on a semiconductor device formed on a substrate, the denatured layer formed on the silicon film is removed with a remover. Then, the silicon film covered with the modified layer can be exposed on the surface, and the silicon film can be removed with an etching gas. In particular, in this embodiment, iodine heptafluoride (IF 7 ) gas having remarkably remarkable selectivity can be used as an etching gas for the Si film and a film other than the Si film.

(4)本実施形態に係る効果 本実施形態によれば、以下(a)〜(d)に示す1つまたは複数の効果を奏する。 (4) Effects According to this Embodiment According to this embodiment, one or more effects shown in (a) to (d) below are exhibited.

(a)フッ素を含むエッチングガスを用いてSi膜を選択的に除去するガスエッチング処理において、シリコン除去反応を阻害する変性層を事前に除去することができる。 (A) In the gas etching process in which the Si film is selectively removed using an etching gas containing fluorine, a modified layer that inhibits the silicon removal reaction can be removed in advance.

(b)また、フッ素を含むエッチングガスを用いてSi膜を選択的に除去するガスエッチング処理において、除去対象のシリコン含有膜表面に存在した変性層に起因する残渣を抑制することができる。 (B) Moreover, in the gas etching process which selectively removes Si film | membrane using the etching gas containing a fluorine, the residue resulting from the modified | denatured layer which existed on the silicon-containing film | membrane surface to be removed can be suppressed.

(c)また、前記変性層に起因する残渣によって基板処理装置が汚染されることを抑制することができる。 (C) Moreover, it can suppress that a substrate processing apparatus is contaminated with the residue resulting from the said modified | denatured layer.

(d)また、フッ素を含むエッチングガスを用いてSi膜を選択的に除去するガスエッチング処理において、除去対象のシリコン含有膜に覆われた箇所に存在した変性層に起因する残渣を抑制することができる。 (D) Moreover, in the gas etching process which selectively removes Si film using the etching gas containing a fluorine, the residue resulting from the modified layer which existed in the location covered with the silicon-containing film to be removed is suppressed. Can do.

(e)また、除去ガスで変性層を除去した後にフッ素含有ガスでシリコン含有膜を除去することで、基板に形成された電極を倒壊させることなく、シリコン含有膜を除去することができる。 (E) Further, by removing the silicon-containing film with a fluorine-containing gas after removing the denatured layer with the removal gas, the silicon-containing film can be removed without collapsing the electrode formed on the substrate.

(f)また、シリコン含有膜除去工程後に変性層の除去工程を行うことで、シリコン含有膜と電極との界面に形成された酸化膜を除去することができる。 (F) Moreover, the oxide film formed in the interface of a silicon containing film and an electrode can be removed by performing the removal process of a modified layer after a silicon containing film removal process.

本発明は、前記実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々に変更が可能であることはいうまでもない。   It goes without saying that the present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the scope of the invention.

また、前記実施形態では、1台の基板処理装置において、第1のエッチング処理と第2のエッチング処理と第3のエッチング処理とを行うように構成したが、第1のエッチング処理と第2のエッチング処理と第3のエッチング処理を、それぞれ別の基板処理装置で行うように構成することも可能である。   In the embodiment, the first etching process, the second etching process, and the third etching process are performed in one substrate processing apparatus, but the first etching process and the second etching process are performed. It is also possible to configure the etching process and the third etching process to be performed by different substrate processing apparatuses.

次に、基板を処理フローの他の態様として、前述の図39を用いて例示した基板の処理フローについて、その要素ごとに分割して異なる場所で行う場合を例示する。   Next, as another aspect of the processing flow of the substrate, a case where the processing flow of the substrate illustrated with reference to FIG. 39 described above is performed for each element in different places will be illustrated.

図41Aに、基板処理フローの他の態様を例示する。ここでは、変性層除去工程S30を変性層除去装置610で行った後、シリコン含有膜除去工程S60をシリコン含有膜除去装置612で行っている。また、変性層抑制工程S40として、基板を不活性ガス雰囲気の容器611に格納して搬送することで、新たな変性層の発生を抑制している。かかる形態の具体例としては、例えば、ウェット洗浄装置により変性層膜を除去し、NパージFOUP(Front Opening Unified Pod)を使用して基板をシリコン含有膜の除去を行う装置に搬送する、という例が挙げられる。また、変性層除去方法は、ウェット洗浄に限らず、ガスを用いたドライプロセスであっても良い。ここで、変性層の除去方法及び新たな変性層の抑制方法は、当業者であれば、本発明にかかる技術思想の範囲内で、多様な改良、変更、付加が可能である。FIG. 41A illustrates another aspect of the substrate processing flow. Here, after the modified layer removing step S30 is performed by the modified layer removing device 610, the silicon-containing film removing step S60 is performed by the silicon-containing film removing device 612. Moreover, generation | occurrence | production of a new modified layer is suppressed by storing and conveying a board | substrate in the container 611 of inert gas atmosphere as modified | denatured layer suppression process S40. As a specific example of such a form, for example, the denatured layer film is removed by a wet cleaning apparatus, and the substrate is transferred to an apparatus for removing the silicon-containing film using N 2 purge FOUP (Front Opening Unified Pod). An example is given. Further, the modified layer removing method is not limited to wet cleaning, and may be a dry process using gas. Here, the method for removing the modified layer and the method for suppressing the new modified layer can be variously improved, changed, and added by those skilled in the art within the scope of the technical idea of the present invention.

図42Bには、基板処理フローの更に他の態様を例示する。ここでは、クラスタ型の基板処理装置を用いて、変性層除去用の反応室613とシリコン含有膜除去用の反応室614とを、不活性ガスでパージされた真空搬送室615で連結し、一連の処理を連続的に行う場合を例示している。ここでは、変性層除去工程S30及びS70を反応室613で、変性層抑制工程S40を真空搬送室615で、シリコン含有膜除去工程S60を反応室614で行っている。なお、変性層除去工程S30とS70を別々の反応室で行っても良い。   FIG. 42B illustrates still another aspect of the substrate processing flow. Here, using a cluster-type substrate processing apparatus, a reaction chamber 613 for removing a denatured layer and a reaction chamber 614 for removing a silicon-containing film are connected by a vacuum transfer chamber 615 purged with an inert gas. The case where the process of (2) is performed continuously is illustrated. Here, the modified layer removal steps S30 and S70 are performed in the reaction chamber 613, the modified layer suppression step S40 is performed in the vacuum transfer chamber 615, and the silicon-containing film removal step S60 is performed in the reaction chamber 614. The modified layer removal steps S30 and S70 may be performed in separate reaction chambers.

本実施形態では、第1の処理ユニットにおいてヒータ及び冷却剤供給路を用いてウエハ温度を調整したが、それに限らず、液化温度が室温より低い温度のエッチングガスであれば、ヒータを用いずに、冷却剤で温度調整をしても良い。又、循環させる液温を調整することで、冷却と加熱の両方の機能を持った温度制御機構としても良い。   In the present embodiment, the wafer temperature is adjusted using the heater and the coolant supply path in the first processing unit. However, the present invention is not limited to this, and an etching gas whose liquefaction temperature is lower than room temperature is not used. The temperature may be adjusted with a coolant. Moreover, it is good also as a temperature control mechanism with the function of both cooling and heating by adjusting the liquid temperature to circulate.

また、前記実施形態では枚葉装置を例にして説明したが、本発明は、例えば水平姿勢の基板をボート上に複数積み重ね、そのボートを処理室内へ搬入した状態で処理を行う縦型装置にも適用することができる。第1実施形態において、前述したステップS5のサイドウォール形成を行った基板を、ボート上に複数搭載して処理室内へ搬入し、前述したステップS6のコアパターン除去処理を行う。そして、コアパターン除去処理が終了すると、ボートを処理室外へ搬出し、他の処理装置において、前述したステップS7以降の処理を行う。第2実施形態において、第2実施例の図26に示すシリコンハードマスクが形成された基板や、第3実施例のダミーポリシリコン膜や、第4実施例のリン添加ポリシリコン膜が形成された基板を、ボート上に複数搭載して処理室内へ搬入し、前述した第2実施例のシリコンハードマスク除去処理や、第3実施例のダミーポリシリコン膜除去処理や、第4実施例のリン添加ポリシリコン膜除去処理を行う。   In the above-described embodiment, the single-wafer apparatus has been described as an example. However, the present invention is a vertical apparatus that performs processing in a state where, for example, a plurality of horizontal substrates are stacked on a boat and the boat is loaded into a processing chamber. Can also be applied. In the first embodiment, a plurality of substrates on which the sidewalls have been formed in step S5 described above are mounted on a boat and carried into the processing chamber, and the core pattern removal process in step S6 described above is performed. Then, when the core pattern removal process is completed, the boat is carried out of the processing chamber, and the processing after step S7 described above is performed in another processing apparatus. In the second embodiment, the substrate on which the silicon hard mask shown in FIG. 26 of the second example is formed, the dummy polysilicon film of the third example, and the phosphorus-added polysilicon film of the fourth example are formed. A plurality of substrates are loaded on the boat and carried into the processing chamber, and the silicon hard mask removing process of the second embodiment, the dummy polysilicon film removing process of the third embodiment, and the phosphorus addition of the fourth embodiment are carried out. A polysilicon film removal process is performed.

このとき、縦型装置においても、コアパターン除去処理のエッチングガスとしてIFガスを用い、枚葉装置の場合と同様の温度や圧力条件でエッチング処理を行う。こうして、縦型装置においても、第1実施形態においては、サイドウォール膜であるSiO膜10と下地のSi膜5とに対して、高選択的にSiのコアパターン4をエッチングすることができる。At this time, also in the vertical apparatus, IF 7 gas is used as the etching gas for the core pattern removal process, and the etching process is performed under the same temperature and pressure conditions as in the single wafer apparatus. Thus, also in the vertical apparatus, in the first embodiment, the Si core pattern 4 is etched with high selectivity with respect to the SiO 2 film 10 as the sidewall film and the underlying Si 3 N 4 film 5. be able to.

好ましくは、縦型装置においても、例えば、第1実施形態において、コアパターン除去処理における、(d)IFガスによるエッチング処理、(e)例えばOガス(オゾンガス)によるエッチング処理、(f)IFガスによるエッチング処理を、この順に実施する。例えば、第2実施形態において、第2実施例のシリコンハードマスク除去処理における、(d)IFガスによるエッチング処理、(e)例えばOガス(オゾンガス)によるエッチング処理、(f)IFガスによるエッチング処理を、この順に実施する。ここで、上述の(d)と(f)の処理条件は、枚葉装置の場合と同様の温度や圧力である。 詳しくは、処理室内へIFガスを導入して、IFガスによる第1のエッチング処理を行い、その後、処理室内を不活性ガスで置換する。その後、処理室内へOガスを導入して、Oガスによる第2のエッチング処理を行い、その後、処理室内を不活性ガスで置換する。その後、IFガスによる第3のエッチング処理を行う。Preferably, also in the vertical apparatus, for example, in the first embodiment, in the core pattern removal process, (d) an etching process using IF 7 gas, (e) an etching process using, for example, O 3 gas (ozone gas), (f) Etching with IF 7 gas is performed in this order. For example, in the second embodiment, (d) an etching process using IF 7 gas, (e) an etching process using, for example, O 3 gas (ozone gas), and (f) IF 7 gas in the silicon hard mask removal process of the second example. Etching processes are performed in this order. Here, the processing conditions (d) and (f) described above are the same temperature and pressure as in the case of the single wafer apparatus. Specifically, IF 7 gas is introduced into the processing chamber, a first etching process using IF 7 gas is performed, and then the processing chamber is replaced with an inert gas. Thereafter, an O 3 gas is introduced into the processing chamber, a second etching process using the O 3 gas is performed, and then the processing chamber is replaced with an inert gas. Thereafter, a third etching process using IF 7 gas is performed.

このようにすると、縦型装置においても、例えば、第1実施形態において、基板表側のSiコアパターン4を除去すると同時に、図15に示すように、基板裏側においてはSi膜2とCHM膜3とSi膜4との積層膜を除去することができる。詳しくは、処理(d)により、基板表側のSiコアパターン4と基板裏側のSi膜4を除去し、処理(e)により、基板裏側のCHM膜3を除去し、処理(f)により、基板裏側のSi膜2を除去することができる。例えば、第2実施形態において、基板表側のシリコンハードマスクを除去すると同時に、図28,図29に示すように、基板裏側においてはシリコン反射防止膜2とカーボン膜3とシリコンハードマスク4との積層膜を除去することができる。詳しくは、処理(d)により、基板表側のシリコンハードマスク4と基板裏側のシリコン反射防止膜2を除去し、処理(e)により、基板裏側のカーボン膜3を除去し、処理(f)により、基板裏側のシリコンハードマスク4を除去することができる。   In this way, even in the vertical apparatus, for example, in the first embodiment, the Si core pattern 4 on the front side of the substrate is removed, and at the same time, the Si film 2 and the CHM film 3 are formed on the back side of the substrate as shown in FIG. The laminated film with the Si film 4 can be removed. Specifically, the Si core pattern 4 on the front side of the substrate and the Si film 4 on the back side of the substrate are removed by the process (d), the CHM film 3 on the back side of the substrate is removed by the process (e), and the substrate is obtained by the process (f). The Si film 2 on the back side can be removed. For example, in the second embodiment, the silicon hard mask on the front side of the substrate is removed, and at the same time, as shown in FIGS. 28 and 29, the antireflection film 2, the carbon film 3, and the silicon hard mask 4 are laminated on the back side of the substrate. The film can be removed. Specifically, the silicon hard mask 4 on the front side of the substrate and the silicon antireflection film 2 on the back side of the substrate are removed by the process (d), the carbon film 3 on the back side of the substrate is removed by the process (e), and the process (f). The silicon hard mask 4 on the back side of the substrate can be removed.

また、本発明は、半導体メモリの一種であるDRAMの素子構造や、トランジスタのゲート電極形成等、種々のSADP法に適用することができる。 また、本発明は、SADPプロセスの下地にある多層ハードマスクの構造には限定されない。プロセスの種類に応じて、例えば、Si基板上にSiO、Si、SiOの3層のハードマスクがある場合でもよいし、Si膜とSiO膜の2層のハードマスクがある場合であってもよいし、それ以外であってもよい。Further, the present invention can be applied to various SADP methods such as a device structure of a DRAM which is a kind of semiconductor memory and formation of a gate electrode of a transistor. In addition, the present invention is not limited to the structure of the multi-layer hard mask underlying the SADP process. Depending on the type of process, for example, may be the case where the Si substrate is a hard mask of SiO 2, Si 3 N 4, SiO 2 of three layers, the Si 3 N 4 film and two layers of SiO 2 film hard There may be a case where there is a mask or any other case.

また、本発明において、フッ素を含むエッチングガスを用いたSi膜の選択ドライエッチングにおいて、除去対象のシリコン含有膜表面に存在する変性層を除去する工程と、新たな変性層の発生を抑制する工程と、除去対象のシリコン含有膜に覆われた箇所に存在する変性層を除去する工程と、を組み合わせることで、不要な変性層は除去しながら、シリコンの選択除去が可能な基板処理方法及び基板処理装置を提供するものであって、基板の同時処理枚数、基板を保持する向き、希釈用ガスやパージ用ガスの種類、クリーニング方法、基板処理室や加熱機構及び冷却機構の形状等で実施範囲を限定されるものではない。   Further, in the present invention, in the selective dry etching of the Si film using an etching gas containing fluorine, a step of removing the modified layer existing on the surface of the silicon-containing film to be removed and a step of suppressing the generation of a new modified layer And a step of removing the denatured layer present in the portion covered with the silicon-containing film to be removed, and a substrate processing method and a substrate capable of selectively removing silicon while removing an unnecessary denatured layer A processing apparatus is provided, which includes the number of substrates that can be processed simultaneously, the direction in which the substrate is held, the type of dilution gas or purge gas, the cleaning method, the shape of the substrate processing chamber, heating mechanism, and cooling mechanism, etc. Is not limited.

また、本発明では、基板に形成された変性層およびシリコン含有膜のいずれか又は両方をドライエッチングする工程に限らず、基板処理室内に堆積した変性層やシリコン含有膜の除去(クリーニング)工程も行うことができる。   Further, in the present invention, not only the process of dry-etching either or both of the modified layer and the silicon-containing film formed on the substrate, but also a process of removing (cleaning) the modified layer and the silicon-containing film deposited in the substrate processing chamber. It can be carried out.

また、本実施形態においては、従来から、更なる高集積化を図る為にパターンの微細化が進められているが、微細化が進むにつれて、微細化したパターン固有の問題が生じている。その一例として、ウェットエッチング時の液体の表面張力によるパターン倒れが挙げられる。例えば、シリコン(Si)の除去工程では、水酸化テトラメチルアンモニウム水溶液(TMAH)等によるエッチング後に純水洗浄し、純水より表面張力の小さいイソプロピルアルコール(IPA)で置換ながら乾燥を行うことで洗浄液の表面張力によるパターンの倒壊を防止していた。しかしながら、パターンの微細化に伴い、この方法を用いてもパターンの倒壊を防ぎきれなくなっている。この問題を解決する手段として、本発明におけるヨウ素を含むエッチングガスによってシリコンの除去を行うドライエッチングによれば、今後のパターンの微細化にも適用できる。 In the present embodiment, pattern miniaturization has been conventionally promoted in order to achieve higher integration. However, as the miniaturization progresses, problems specific to the miniaturized pattern arise. One example is pattern collapse due to the surface tension of the liquid during wet etching. For example, in the silicon (Si) removal step, pure water cleaning is performed after etching with tetramethylammonium hydroxide aqueous solution (TMAH) or the like, and drying is performed while replacing with isopropyl alcohol (IPA) having a surface tension smaller than that of pure water. This prevents the pattern from collapsing due to surface tension. However, with the miniaturization of patterns, even if this method is used, the collapse of the patterns cannot be prevented. As means for solving this problem, dry etching in which silicon is removed by an etching gas containing iodine in the present invention can be applied to future pattern miniaturization.

更に、本実施形態においては、NANDフラシュメモリなどの3D構造のデバイスの製造において、従来のプラズマを用いた反応性イオンエッチングでは、ポリシリコン(Poly-Si)膜をポリシリコン以外の膜(例えば、シリコン酸化(SiO)膜、シリコン窒化(SiN)膜、シリコン酸窒化(SiON)膜、カーボン(C)膜等)に対して高選択に除去することは困難であった。つまり、ポリシリコン(Poly-Si)膜とシリコン酸化(SiO)膜の積層構造で貫通溝をくり抜いた後に側壁に露出したポリシリコン(Poly-Si)膜とシリコン酸化(SiO)膜の層のうち、ポリシリコン(Poly-Si)膜のみをエッチングすることは、従来のプラズマを用いた反応性イオンエッチングでは、ポリシリコン以外の膜との選択性の問題や、等方性エッチングが必要になる点から非常に困難であった。また、ハードマスク膜(例えば、カーボン膜等)との選択性の問題もあった。このようなパターンの微細化に伴うデバイス構造の煩雑化に対応が困難であった。この問題を解決する手段として、本発明におけるエッチングガスによって、プラズマレスで等方性エッチングにより、シリコンの除去を行うドライエッチングによれば、今後のパターンの微細化にも適用できる。特に、エッチングガスとして七フッ化ヨウ素を含むヨウ素含有ガス(ヨウ素を含むガス)を用いることにより、既存のエッチングガスと比較して、その化学的性質からシリコン以外の膜との選択性良く、シリコンの除去を行うことができる。従い、今後のパターンの微細化に伴うデバイス構造の煩雑化に適用できる。Furthermore, in this embodiment, in the manufacture of a 3D structure device such as a NAND flash memory, in reactive ion etching using conventional plasma, a polysilicon (Poly-Si) film is replaced with a film other than polysilicon (for example, It has been difficult to remove the silicon oxide (SiO 2 ) film, silicon nitride (SiN) film, silicon oxynitride (SiON) film, carbon (C) film, etc. with high selectivity. That is, a layer of a polysilicon (Poly-Si) film and a silicon oxide (SiO 2 ) film exposed on a side wall after a through groove is cut out in a laminated structure of a polysilicon (Poly-Si) film and a silicon oxide (SiO 2 ) film Of these, etching only the polysilicon (Poly-Si) film requires a problem of selectivity with films other than polysilicon and isotropic etching in the conventional reactive ion etching using plasma. It was very difficult from the point. There is also a problem of selectivity with a hard mask film (for example, a carbon film). It has been difficult to cope with the complexity of the device structure accompanying such pattern miniaturization. As means for solving this problem, dry etching for removing silicon by plasma-less isotropic etching with the etching gas in the present invention can be applied to future pattern miniaturization. In particular, by using an iodine-containing gas containing iodine heptafluoride (iodine-containing gas) as an etching gas, compared with the existing etching gas, it has good selectivity with respect to films other than silicon because of its chemical properties. Can be removed. Accordingly, the present invention can be applied to the complexity of the device structure accompanying future pattern miniaturization.

また、本発明は、半導体製造装置だけでなく、LCD製造装置のようなガラス基板を処理する装置にも適用できる。また、本発明は、多枚葉型やインライン型やクラスタ型等の種々の型の枚葉装置や、横型の基板処理装置等にも適用できる。本実施形態に係る基板処理装置のような半導体ウエハを処理する半導体製造装置などに限らず、ガラス基板を処理するLCD(Liquid Crystal Display)製造装置、太陽電池製造装置等の基板処理装置、MEMS(Micro Electro Mechanical Systems)製造装置にも適用できる。   The present invention can be applied not only to a semiconductor manufacturing apparatus but also to an apparatus for processing a glass substrate such as an LCD manufacturing apparatus. The present invention can also be applied to various types of single-wafer devices such as a multi-wafer type, an in-line type, and a cluster type, a horizontal substrate processing apparatus, and the like. The present invention is not limited to a semiconductor manufacturing apparatus that processes a semiconductor wafer such as a substrate processing apparatus according to the present embodiment, but is an LCD (Liquid Crystal Display) manufacturing apparatus that processes a glass substrate, a substrate processing apparatus such as a solar cell manufacturing apparatus, MEMS ( The present invention can also be applied to a manufacturing apparatus of Micro Electro Mechanical Systems).

以下に本発明の好ましい態様について付記する。<付記1> 本発明の一態様によれば、基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、 前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、 前記サイドウォール形成工程後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、 を含む微細パターン形成方法であって、 前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去する微細パターン形成方法が提供される。 Hereinafter, preferred embodiments of the present invention will be additionally described. <Supplementary Note 1> According to one aspect of the present invention, a side wall is formed on the core pattern forming step of forming a core pattern having a predetermined line width on the substrate front side, and the core pattern formed in the core pattern forming step. A fine pattern forming method comprising: a side wall forming step; and a core pattern removing step after the side wall forming step, using an etching gas containing fluorine to remove the core pattern while leaving the side wall. In addition, in the core pattern removing step, a fine pattern forming method for removing the film deposited on the back side of the substrate in the core pattern forming step is provided in parallel with the removal of the core pattern.

<付記2> 付記1の微細パターン形成方法であって、好ましくは、 前記コアパターン形成工程は、露光現像工程とドライエッチング工程とを含む微細パターン形成方法が提供される。 <Additional remark 2> It is a fine pattern formation method of Additional remark 1, Comprising: Preferably, the said core pattern formation process provides the fine pattern formation method containing an exposure image development process and a dry etching process.

<付記3> 付記2の微細パターン形成方法であって、好ましくは、 前記基板は、その表側において、前記コアパターンを構成する膜の上に形成されたハードマスク膜と、前記ハードマスク膜の上に形成された反射防止膜と、前記反射防止膜の上に形成されたレジスト膜とを有し、 前記露光現像工程では、前記所定の線幅のレジストパターンを形成するように前記レジスト膜を露光した後に現像し、 前記ドライエッチング工程では、前記レジストパターンをマスクにして、前記反射防止膜と前記ハードマスク膜とをエッチングして、前記コアパターンを形成する微細パターン形成方法が提供される。 <Additional remark 3> It is a fine pattern formation method of Additional remark 2, Comprising: Preferably, the said board | substrate is a hard mask film | membrane formed on the film | membrane which comprises the said core pattern in the front side, On the said hard mask film | membrane An anti-reflection film formed on the anti-reflection film and a resist film formed on the anti-reflection film. In the exposure and development step, the resist film is exposed so as to form a resist pattern having the predetermined line width. Then, in the dry etching step, there is provided a fine pattern forming method for forming the core pattern by etching the antireflection film and the hard mask film using the resist pattern as a mask.

<付記4> 付記3の微細パターン形成方法であって、好ましくは、 前記基板は、その裏側において、前記基板の表側の前記コアパターンと同一材質の膜である裏側コア材質膜と、前記裏側コア材質膜の上に形成された裏側ハードマスク膜と、前記裏側ハードマスク膜の上に形成された裏側反射防止膜とを有し、 前記コアパターン除去工程において、前記裏側反射防止膜と前記裏側ハードマスク膜と前記裏側コア材質膜とを除去する微細パターン形成方法が提供される。 <Additional remark 4> It is a fine pattern formation method of Additional remark 3, Comprising: Preferably, the said board | substrate is the back side core material film | membrane which is a film | membrane of the same material as the said core pattern of the front side of the said board | substrate, and the said back side core A back side hard mask film formed on the material film; and a back side antireflection film formed on the back side hard mask film. In the core pattern removal step, the back side antireflection film and the back side hard mask are formed. A fine pattern forming method for removing the mask film and the back-side core material film is provided.

<付記5> 付記4の微細パターン形成方法であって、好ましくは、 前記コアパターン除去工程は、前記裏側反射防止膜を除去する第1のエッチング工程と、前記裏側ハードマスク膜を除去する第2のエッチング工程と、前記裏側コア材質膜を除去する第3のエッチング工程とを有する微細パターン形成方法が提供される。 <Additional remark 5> It is a fine pattern formation method of Additional remark 4, Comprising: Preferably, the said core pattern removal process is the 1st etching process which removes the said back side antireflection film, and the 2nd which removes the said back side hard mask film | membrane There is provided a fine pattern forming method including the etching step and a third etching step of removing the back core material film.

<付記6> 付記5の微細パターン形成方法であって、好ましくは、 前記第1及び第2のエッチング工程では、前記エッチングガスとしてIFが用いられる微細パターン形成方法が提供される。A fine pattern forming method of <Supplementary Note 6> Supplementary Note 5, preferably, in the first and second etching step, the method for forming a fine pattern IF 7 is used as the etching gas is provided.

<付記7> 付記1の微細パターン形成方法であって、好ましくは、 前記コアパターンを構成する膜はシリコンであり、前記サイドウォールを構成する膜はシリコン酸化膜である微細パターン形成方法が提供される。 <Supplementary Note 7> The fine pattern forming method according to Supplementary Note 1, wherein there is provided a fine pattern forming method in which the film constituting the core pattern is silicon and the film constituting the sidewall is a silicon oxide film. The

<付記8> 付記3の微細パターン形成方法であって、好ましくは、 前記反射防止膜の材質はシリコンであり、前記ハードマスク膜の材質はカーボンを含む微細パターン形成方法が提供される。 <Additional remark 8> It is a fine pattern formation method of Additional remark 3, Comprising: Preferably, the material of the said antireflection film is a silicon | silicone, The material of the said hard mask film | membrane provides the fine pattern formation method containing carbon.

<付記9> 付記1の微細パターン形成方法であって、好ましくは、 前記サイドウォールの線幅は、前記コアパターンの線幅よりも小さい微細パターン形成方法が提供される。 <Additional remark 9> It is a fine pattern formation method of Additional remark 1, Comprising: Preferably, the line | wire width of the said sidewall is smaller than the line | wire width of the said core pattern, The fine pattern formation method is provided.

<付記10> 付記1の微細パターン形成方法であって、好ましくは、 前記コアパターン除去工程において、前記基板の温度は30〜50℃である微細パターン形成方法が提供される。 <Additional remark 10> It is a fine pattern formation method of Additional remark 1, Comprising: Preferably, in the said core pattern removal process, the temperature of the said board | substrate is 30-50 degreeC, The fine pattern formation method is provided.

<付記11> 更に、好ましくは、付記1ないし付記10の微細パターン形成方法を用いる半導体装置の製造方法が提供される。 <Additional remark 11> Furthermore, Preferably, the manufacturing method of the semiconductor device using the fine pattern formation method of Additional remark 1 thru | or Additional remark 10 is provided.

<付記12> 本発明の他の態様によれば、基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、 前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、 前記サイドウォール形成工程後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、 を含む半導体装置の製造方法であって、 前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去する半導体装置の製造方法が提供される。 <Supplementary Note 12> According to another aspect of the present invention, a core pattern forming step of forming a core pattern having a predetermined line width on the substrate front side, and a sidewall is formed on the core pattern formed in the core pattern forming step. And a core pattern removing step of removing the core pattern in a state where the sidewall is left by using an etching gas containing fluorine after the sidewall forming step. In the core pattern removing step, a method of manufacturing a semiconductor device is provided in which the film deposited on the back side of the substrate in the core pattern forming step is removed in parallel with the removal of the core pattern.

<付記13> 本発明の更に他の態様によれば、所定の線幅のコアパターンと該コアパターンに対し形成されたサイドウォールとを表側に有し、前記コアパターンと同一材料で構成されるコア材質膜を裏側に有する基板を、収容する処理室と、 前記サイドウォールを残した状態で前記コアパターンを除去する、フッ素を含むエッチングガスを前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 前記コアパターンの除去と前記コア材質膜の除去とを行うよう、前記処理室へ前記エッチングガスを供給するよう前記ガス供給部を制御する制御部と、 を備える基板処理装置が提供される。 <Supplementary Note 13> According to still another aspect of the present invention, a core pattern having a predetermined line width and a sidewall formed with respect to the core pattern are provided on the front side, and are configured of the same material as the core pattern. A processing chamber for storing a substrate having a core material film on the back side; a gas supply unit for supplying an etching gas containing fluorine to the processing chamber to remove the core pattern while leaving the sidewall; and the processing An exhaust unit for exhausting an indoor atmosphere; and a control unit for controlling the gas supply unit to supply the etching gas to the processing chamber so as to remove the core pattern and the core material film. A substrate processing apparatus is provided.

<付記14> 本発明の更に他の態様によれば、基板表側に所定の線幅のコアパターンを形成する手順と、 前記コアパターンに対し、サイドウォールを形成する手順と、 前記サイドウォールを形成後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するとともに、前記コアパターンを形成する手順実施時に基板裏側に堆積した膜を除去する手順と、 をコンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体が提供される。 <Supplementary Note 14> According to still another aspect of the present invention, a procedure of forming a core pattern having a predetermined line width on the substrate front side, a procedure of forming a sidewall with respect to the core pattern, and forming the sidewall And a step of removing the film deposited on the back side of the substrate when performing the step of forming the core pattern while removing the core pattern while leaving the sidewalls using an etching gas containing fluorine. And a recording medium on which the program is recorded so as to be readable.

<付記15> 本発明の更に他の態様によれば、少なくとも、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、基板に形成する成膜工程と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、 フッ素を含むエッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記成膜工程において前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去工程と、 を含む微細パターン形成方法が提供される。 <Supplementary Note 15> According to still another aspect of the present invention, at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film are formed on a substrate, and the resist film is processed. A resist pattern forming step for forming a resist pattern having a predetermined line width; a carbon film pattern forming step for forming the carbon film pattern using the resist pattern; and the silicon hard using the carbon film pattern. A silicon hard mask pattern forming step for forming a mask film pattern, and when removing the silicon hard mask film pattern using an etching gas containing fluorine, deposited on the back side of the substrate in the film forming step, A first film made of the same material as the silicon antireflection film, and a first film made of the same material as the carbon film. And a silicon hard mask removing step of removing a second film and a third film made of the same material as the silicon hard mask film.

<付記16> 付記15の微細パターン形成方法であって、好ましくは、 前記シリコンハードマスク除去工程は、前記第1の膜を除去する第1のエッチング工程と、前記第1のエッチング工程の後で前記第2の膜を除去する第2のエッチング工程と、前記第2のエッチング工程の後で前記第3の膜を除去する第3のエッチング工程とを含む微細パターン形成方法が提供される。 <Supplementary Note 16> The method for forming a fine pattern according to Supplementary Note 15, wherein the silicon hard mask removing step includes a first etching step of removing the first film, and a step after the first etching step. There is provided a fine pattern forming method including a second etching step for removing the second film and a third etching step for removing the third film after the second etching step.

<付記17> 付記16の微細パターン形成方法であって、好ましくは、 前記第1のエッチング工程及び第3のエッチング工程では、前記エッチングガスとしてIFガスが用いられる微細パターン形成方法が提供される。<Supplementary Note 17> The fine pattern forming method according to Supplementary Note 16, preferably, in the first etching step and the third etching step, there is provided a fine pattern forming method in which IF 7 gas is used as the etching gas. .

<付記18> 更に、好ましくは、付記15ないし付記17の微細パターン形成方法であって、 前記シリコンハードマスクの膜厚と、前記シリコン反射防止膜の膜厚が同じである微細パターン形成方法が提供される。 <Supplementary Note 18> Further, preferably, there is provided the fine pattern forming method according to Supplementary Notes 15 to 17, wherein the thickness of the silicon hard mask and the thickness of the silicon antireflection film are the same. Is done.

<付記19> 更に、好ましくは、付記15ないし付記18の微細パターン形成方法であって、 前記成膜工程において、前記シリコンハードマスクの形成より前に、多層ハードマスクを形成し、前記カーボン膜の膜厚と、前記多層ハードマスクの膜厚が同じである微細パターン形成方法が提供される。 <Supplementary note 19> Further, preferably, in the fine pattern forming method according to supplementary note 15 to supplementary note 18, in the film formation step, a multilayer hard mask is formed before the formation of the silicon hard mask, and the carbon film is formed. A fine pattern forming method is provided in which the film thickness is the same as the film thickness of the multilayer hard mask.

<付記20> 更に、好ましくは、付記15ないし付記19の微細パターン形成方法を用いる半導体装置の製造方法が提供される。 <Supplementary Note 20> Furthermore, preferably, a method for manufacturing a semiconductor device using the fine pattern forming method of Supplementary Notes 15 to 19 is provided.

<付記21> 基板表側に第1のシリコン膜が形成され、基板裏側において、第2のシリコン膜、カーボン膜、第3のシリコン膜が、この順に形成された基板を、処理室へ搬入する基板搬入工程と、 フッ素を含むエッチングガスを用いて、前記第1のシリコン膜を除去するとともに、並行して、前記第3のシリコン膜、前記カーボン膜、前記第2のシリコン膜を除去するエッチング工程と、 前記エッチング工程を行った基板を処理室から搬出する基板搬入工程と、 を含む微細パターン形成方法が提供される。 <Supplementary Note 21> A substrate on which a first silicon film is formed on the front side of the substrate, and a substrate on which the second silicon film, the carbon film, and the third silicon film are formed in this order on the back side of the substrate is carried into the processing chamber. A carrying-in process and an etching process that removes the first silicon film and, in parallel, the third silicon film, the carbon film, and the second silicon film by using an etching gas containing fluorine. And a substrate carrying-in step of carrying out the substrate subjected to the etching step from a processing chamber.

<付記22> 本発明の更に他の態様によれば、少なくとも、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、基板に形成する手順と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成手順と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成手順と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成手順と、 フッ素を含むエッチングガスを用い、前記シリコンハードマスク膜のパターンを除去するとともに、前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去手順と、 をコンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体が提供される。 <Supplementary Note 22> According to still another aspect of the present invention, at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film are formed on a substrate. A resist pattern forming procedure for forming a resist pattern having a line width; a carbon film pattern forming procedure for forming the carbon film pattern using the resist pattern; and the silicon hard mask film using the carbon film pattern. The silicon hard mask pattern forming procedure for forming the pattern of the above, and using the etching gas containing fluorine, the silicon hard mask film pattern is removed and the same material as the silicon antireflection film deposited on the back side of the substrate First film, second film of the same material as the carbon film, silicon A silicon hard mask removing procedure for removing a third film made of the same material as the hard mask film, a program for causing a computer to execute the program, and a recording medium on which the program is recorded in a readable manner are provided.

<付記23> 本発明の更に他の態様によれば、基板表側に第1のシリコン膜が形成され、基板裏側において、第2のシリコン膜、カーボン膜、第3のシリコン膜が、この順に形成された基板を、収容する処理室と、 前記第1のシリコン膜の除去と並行して、前記第3のシリコン膜、前記カーボン膜、前記第2のシリコン膜を除去するエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 を備える基板処理装置が提供される。 <Supplementary Note 23> According to still another aspect of the present invention, the first silicon film is formed on the front side of the substrate, and the second silicon film, the carbon film, and the third silicon film are formed in this order on the back side of the substrate. A processing chamber for storing the processed substrate, and an etching gas for removing the third silicon film, the carbon film, and the second silicon film in parallel with the removal of the first silicon film. There is provided a substrate processing apparatus comprising: a gas supply unit that supplies a chamber; and an exhaust unit that exhausts the atmosphere in the processing chamber.

<付記24> 本発明の更に他の態様によれば、基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板を、処理室へ搬入する基板搬入工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 前記エッチング工程を行った基板を処理室から搬出する基板搬入工程と、 を含む微細パターン形成方法が提供される。 <Supplementary Note 24> According to still another aspect of the present invention, a substrate having a silicon film formed in a groove portion in which a gate oxide film is formed at a bottom portion and a gate electrode is embedded is formed on the substrate front side. Removing the silicon film formed in the groove portion without removing the gate oxide film using a fluorine-containing etching gas, and carrying the substrate into the processing chamber; There is provided a fine pattern forming method including: an etching process for removing a first film made of the same material as a silicon film; and a substrate carrying-in process for carrying out the substrate subjected to the etching process from a processing chamber.

<付記25> 付記24の微細パターン形成方法であって、好ましくは、 前記エッチング工程において前記シリコン膜を除去した後、前記溝部内に金属含有膜が形成される工程を含む微細パターン形成方法が提供される。 <Supplementary Note 25> The method for forming a fine pattern according to Supplementary Note 24, preferably including a step of forming a metal-containing film in the groove after removing the silicon film in the etching step. Is done.

<付記26> 付記24又は付記25の微細パターン形成方法であって、好ましくは、 前記溝部が、前記ゲート電極のチャネル長方向において複数設けられ、前記複数の溝部のチャネル長方向の長さが互いに異なる微細パターン形成方法が提供される。 <Supplementary Note 26> The fine pattern forming method according to Supplementary Note 24 or Supplementary Note 25, wherein a plurality of the groove portions are preferably provided in the channel length direction of the gate electrode, and the lengths of the plurality of groove portions in the channel length direction are mutually equal. Different fine patterning methods are provided.

<付記27> 更に、好ましくは、付記24ないし付記26の微細パターン形成方法を用いる半導体装置の製造方法が提供される。 <Supplementary Note 27> Further, preferably, a method for manufacturing a semiconductor device using the fine pattern forming method of Supplementary Notes 24 to 26 is provided.

<付記28> 本発明の更に他の態様によれば、基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板に対し、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング手順を、コンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体が提供される。 <Supplementary Note 28> According to still another aspect of the present invention, a substrate having a silicon film formed in a groove portion in which a gate oxide film is formed at a bottom portion and a gate electrode is embedded is formed on the substrate front side. On the other hand, an etching procedure for removing the silicon film without removing the gate oxide film using an etching gas containing fluorine and removing the first film made of the same material as the silicon film on the back side of the substrate. A program to be executed by a computer and a recording medium on which the program is recorded so as to be readable are provided.

<付記29> 本発明の更に他の態様によれば、基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板を、収容する処理室と、 前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去する、フッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 を備える基板処理装置が提供される。 <Supplementary Note 29> According to still another aspect of the present invention, a substrate having a silicon film formed in a groove portion in which a gate oxide film is formed at a bottom portion and a gate electrode is embedded is formed on the substrate front side. An etching gas containing fluorine that removes the silicon film without removing the gate oxide film and removes the first film of the same material as the silicon film on the back side of the substrate. There is provided a substrate processing apparatus comprising: a gas supply unit that supplies gas to the processing chamber; and an exhaust unit that exhausts the atmosphere in the processing chamber.

<付記30> 本発明の更に他の態様によれば、基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板を、処理室へ搬入する基板搬入工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 前記エッチング工程を行った基板を処理室から搬出する基板搬入工程と、 を含む微細パターン形成方法が提供される。 <Supplementary Note 30> According to still another aspect of the present invention, a Si-Fin structure is formed on the front side of the substrate, and a gate oxide film is formed at the bottom of the groove for embedding the gate electrode. , A substrate carrying-in process for carrying a silicon film containing a Group III or V group impurity into a processing chamber, and using an etching gas containing fluorine, without removing the gate oxide film, An etching process for removing the silicon film formed in the groove and removing a first film of the same material as the silicon film on the back side of the substrate, and a substrate for carrying out the substrate subjected to the etching process from the processing chamber And a fine pattern forming method including a carrying-in process.

<付記31> 付記30の微細パターン形成方法であって、好ましくは、 前記溝部が、前記ゲート電極のチャネル長方向において複数設けられ、前記複数の溝部のチャネル長方向の長さが互いに異なる微細パターン形成方法が提供される。 <Supplementary Note 31> The method for forming a fine pattern according to Supplementary Note 30, wherein a plurality of the groove portions are provided in the channel length direction of the gate electrode, and the lengths of the plurality of groove portions in the channel length direction are different from each other. A forming method is provided.

<付記32> 更に、好ましくは、付記30又は付記31の微細パターン形成方法を用いる半導体装置の製造方法が提供される。 <Additional remark 32> Furthermore, Preferably, the manufacturing method of the semiconductor device using the fine pattern formation method of Additional remark 30 or Additional remark 31 is provided.

<付記33> 本発明の更に他の態様によれば、基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板に対し、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング手順を、コンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体が提供される。 <Supplementary Note 33> According to still another aspect of the present invention, a Si-Fin structure is formed on the front side of the substrate, and a groove portion in which a gate oxide film is formed on a bottom portion, in which the gate electrode is embedded. The silicon film is removed without removing the gate oxide film by using an etching gas containing fluorine for a substrate on which a silicon film containing a group III or group V impurity is formed. A program for causing a computer to execute an etching procedure for removing the first film made of the same material as the silicon film and a recording medium on which the program is recorded in a readable manner are provided.

<付記34> 本発明の更に他の態様によれば、基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板を、収容する処理室と、 前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去する、フッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 を備える基板処理装置が提供される。 <Supplementary Note 34> According to still another aspect of the present invention, a Si-Fin structure is formed on the front side of a substrate, and a gate oxide film is formed at the bottom of the groove for embedding a gate electrode. , A processing chamber for accommodating a substrate on which a silicon film containing a Group III or V group impurity is formed, and the silicon film is removed without removing the gate oxide film; Provided is a substrate processing apparatus comprising: a gas supply unit that supplies an etching gas containing fluorine, which removes a first film of the same material as the film, to the processing chamber; and an exhaust unit that exhausts the atmosphere in the processing chamber. Is done.

<付記35> 本発明の更に他の態様によれば、基板に、多層ハードマスク膜、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、それぞれ堆積する成膜工程と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、 フッ素を含むエッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記成膜工程において前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去工程と、 前記多層ハードマスク膜のパターンを用いて所定のパターンを形成するパターン形成工程と、 を有する半導体装置の製造方法が提供される。 <Supplementary Note 35> According to still another aspect of the present invention, a film forming step of depositing a multilayer hard mask film, a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film on a substrate, and the resist A resist pattern forming step of forming a resist pattern having a predetermined line width by processing the film; a carbon film pattern forming step of forming the carbon film pattern using the resist pattern; and using the carbon film pattern A silicon hard mask pattern forming step for forming the silicon hard mask film pattern, and when removing the silicon hard mask film pattern using an etching gas containing fluorine, the back side of the substrate in the film forming step A first film made of the same material as that of the silicon antireflection film, A silicon hard mask removing step of removing the second film made of the same material as the silicon film, the third film made of the same material as the silicon hard mask film, and forming a predetermined pattern using the pattern of the multilayer hard mask film And a pattern forming step. A method of manufacturing a semiconductor device is provided.

<付記36> 本発明の更に他の態様によれば、少なくともシリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜が、それぞれ堆積された基板を、収容する処理室と、 前記シリコンハードマスク膜と同一材料の第3の膜を除去する、フッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、前記エッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記基板の裏面側に堆積された前記第1の膜、前記第2の膜、前記第3の膜を除去するシリコンハードマスク除去工程と、を実行する制御部と、 を備える基板処理装置が提供される。 <Supplementary Note 36> According to still another aspect of the present invention, a processing chamber for storing a substrate on which at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film are respectively deposited, and the silicon hard mask Removing the third film made of the same material as the film, supplying a gas supply unit supplying an etching gas containing fluorine to the process chamber, an exhaust unit exhausting the atmosphere in the process chamber, and processing the resist film A resist pattern forming step for forming a resist pattern having a predetermined line width; a carbon film pattern forming step for forming the carbon film pattern using the resist pattern; and the silicon hard using the carbon film pattern. Using a silicon hard mask pattern forming process for forming a mask film pattern and the etching gas, When removing the pattern of the silicon hard mask film, a silicon hard mask removing step of removing the first film, the second film, and the third film deposited on the back surface side of the substrate is performed. And a substrate processing apparatus comprising:

<付記37> 本発明の更に他の態様によれば、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、少なくとも基板に形成する手順と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成手順と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成手順と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成手順と、 フッ素を含むエッチングガスを用い、前記シリコンハードマスク膜のパターンを除去するとともに、前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去手順と、 をコンピュータに実行させるプログラムを読取可能に記録した記録媒体が提供される。 <Supplementary Note 37> According to still another aspect of the present invention, a procedure for forming at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film on the substrate, and processing the resist film to form a predetermined line A resist pattern forming procedure for forming a resist pattern having a width; a carbon film pattern forming procedure for forming the carbon film pattern by using the resist pattern; and a silicon hard mask film by using the carbon film pattern. A silicon hard mask pattern forming procedure for forming a pattern, and using an etching gas containing fluorine, the pattern of the silicon hard mask film is removed, and the same material as the silicon antireflection film deposited on the back side of the substrate is formed. A first film, a second film of the same material as the carbon film, and the silicon wafer. There is provided a recording medium in which a silicon hard mask removing procedure for removing a third film made of the same material as the first mask film and a program for causing a computer to execute are readably recorded.

<付記37> 本発明の更に他の態様によれば、基板表側において、底部にゲート酸化膜が形成された溝部であって、この溝部内にシリコン膜を埋め込みゲート電極を形成する工程と、フッ素を含むエッチングガスを前記基板に接触させて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 を含む半導体装置の製造方法が提供される。 <Supplementary Note 37> According to still another aspect of the present invention, on the front side of the substrate, there is a groove portion in which a gate oxide film is formed at the bottom, a step of filling a silicon film in the groove portion to form a gate electrode, The silicon film formed in the trench is removed without removing the gate oxide film by contacting the substrate with an etching gas containing, and a first material of the same material as the silicon film is formed on the back side of the substrate. An etching process for removing the film is provided, and a method for manufacturing a semiconductor device is provided.

<付記37> 本発明の更に他の態様によれば、基板表面にSi−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であって、この溝部内に、III族又はV族の不純物が含まれるシリコン膜を埋め込みゲート電極を形成する工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 を含む半導体装置の製造方法が提供される。 <Supplementary Note 37> According to still another aspect of the present invention, a groove portion in which a Si-Fin structure is formed on a substrate surface and a gate oxide film is formed on a bottom portion, and in this groove portion, a group III or a group V Forming a gate electrode by embedding a silicon film containing impurities, and removing the silicon film formed in the trench without removing the gate oxide film using an etching gas containing fluorine. An etching process for removing a first film made of the same material as the silicon film on the back side of the substrate is provided.

<付記38> 本発明の更に他の態様によれば、
シリコンを主成分とする膜の微細パターンを形成する工程と、前記微細パターンの間に形成された第1の溝を有する基板に酸化膜を形成して第2の溝を形成する工程と、
前記酸化膜の上に前記シリコンを主成分とする膜を形成する工程と、
前記シリコンを主成分とする膜に、ハロゲン元素を含むエッチングガスを供給し、前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去する工程と、を有する半導体装置の製造方法が提供される。
<Supplementary Note 38> According to still another aspect of the present invention,
Forming a fine pattern of a film mainly composed of silicon, forming an oxide film on a substrate having a first groove formed between the fine patterns, and forming a second groove;
Forming a film containing silicon as a main component on the oxide film;
Supplying an etching gas containing a halogen element to the silicon-based film, and removing the silicon-based film other than the silicon-based film on the second trench; A method of manufacturing a semiconductor device having the above is provided.

<付記39> 付記38に記載された半導体装置の製造方法であって、好ましくは、
前記エッチングガスを供給する工程では、前記基板を支持部で支持した後で当該エッチングガスを供給する半導体装置の製造方法が提供される。
<Supplementary Note 39> A method of manufacturing a semiconductor device described in the supplementary note 38, preferably,
In the step of supplying the etching gas, a method for manufacturing a semiconductor device is provided in which the etching gas is supplied after the substrate is supported by a support portion.

<付記40> 付記38に記載された半導体装置の製造方法であって、好ましくは、
前記エッチングガスを供給する工程では、当該エッチングガスを供給中に前記基板を支持部で支持する半導体装置の製造方法が提供される。
<Appendix 40> A method for manufacturing a semiconductor device according to appendix 38, preferably,
In the step of supplying the etching gas, a method for manufacturing a semiconductor device is provided in which the substrate is supported by a support portion while the etching gas is supplied.

<付記41> 付記38乃至付記40のいずれかに記載された半導体装置の製造方法であって、好ましくは、
前記エッチングガスを供給する工程の前に、前記シリコンを主成分とする膜の上に形成された自然酸化膜を除去する工程を有する半導体装置の製造方法が提供される。
<Supplementary note 41> A method for manufacturing a semiconductor device according to any one of supplementary notes 38 to 40, preferably,
Provided is a method for manufacturing a semiconductor device, which includes a step of removing a natural oxide film formed on a film containing silicon as a main component before the step of supplying the etching gas.

<付記42> 付記38乃至付記41のいずれかに記載された半導体装置の製造方法であって、好ましくは、
前記エッチングガスは、ヨウ素を含むヨウ素含有ガスである半導体装置の製造方法が提供される。
<Appendix 42> A method for manufacturing a semiconductor device according to any one of Appendix 38 to Appendix 41, preferably,
A method of manufacturing a semiconductor device is provided in which the etching gas is an iodine-containing gas containing iodine.

<付記43> 他の態様によれば、
シリコンを主成分とする膜の微細パターンを形成させる手順と、前記微細パターンの間に形成された第1の溝を有する基板に、酸化膜を形成して第2の溝を形成させる手順と、
前記酸化膜の上に前記シリコンを主成分とする膜を形成させる手順と、
前記シリコンを主成分とする膜に、フッ素を含むエッチングガスを供給し、前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去させる手順と、をコンピュータに実行させるプログラム及びこのプログラムを読取可能な記録媒体が提供される。
<Supplementary Note 43> According to another aspect,
A procedure for forming a fine pattern of a film containing silicon as a main component, and a procedure for forming a second groove by forming an oxide film on a substrate having a first groove formed between the fine patterns;
A step of forming a film containing silicon as a main component on the oxide film;
A step of supplying an etching gas containing fluorine to the film containing silicon as a main component and removing the film containing silicon as a main component other than the film containing silicon as a main component on the second groove; A program to be executed by a computer and a recording medium that can read the program are provided.

<付記44> 付記43に記載されたプログラム及びこのプログラムを読取可能な記録媒体であって、好ましくは、
前記エッチングガスを供給する手順では、前記基板を支持部で支持した後で当該エッチングガスが供給されるプログラム及びこのプログラムを読取可能な記録媒体が提供される。
<Supplementary Note 44> A program described in the supplementary note 43 and a recording medium that can read the program, preferably,
In the procedure of supplying the etching gas, a program in which the etching gas is supplied after the substrate is supported by a support portion and a recording medium that can read the program are provided.

<付記45> 付記43に記載されたプログラム及びこのプログラムを読取可能な記録媒体であって、好ましくは、
前記エッチングガスを供給する手順では、当該エッチングガスを供給中に前記基板を支持部で支持するプログラム及びこのプログラムを読取可能な記録媒体が提供される。
<Supplementary Note 45> The program described in Supplementary Note 43 and a recording medium that can read the program, preferably,
In the procedure of supplying the etching gas, a program for supporting the substrate with a support portion while supplying the etching gas and a recording medium capable of reading the program are provided.

<付記46> 付記43乃至付記45のいずれかに記載されたプログラム及びこのプログラムを読取可能な記録媒体であって、好ましくは、
前記エッチングガスを供給する手順の前に、前記シリコンを主成分とする膜の上に形成された自然酸化膜を除去する手順を有するプログラム及びこのプログラムを読取可能な記録媒体が提供される。
<Supplementary Note 46> The program described in any one of Supplementary Notes 43 to 45 and a recording medium that can read the program, preferably,
A program having a procedure for removing a natural oxide film formed on the silicon-based film before the procedure for supplying the etching gas and a recording medium capable of reading the program are provided.

<付記47> 付記43乃至付記46のいずれかに記載されたプログラム及びこのプログラムを読取可能な記録媒体であって、好ましくは、
前記エッチングガスは、ヨウ素を含むガス(ヨウ素含有ガス)であるプログラム及びこのプログラムを読取可能な記録媒体が提供される。
<Supplementary note 47> The program described in any one of supplementary notes 43 to 46 and a recording medium capable of reading the program, preferably,
The etching gas is a gas containing iodine (iodine-containing gas) and a recording medium that can read the program.

<付記48> 更に他の態様によれば、
シリコンを主成分とする膜の微細パターンを形成させる手順と、前記微細パターンで構成された第1の溝が形成された基板に、酸化膜を形成し第2の溝を形成する工程と、
前記酸化膜上にシリコンを主成分とする膜を形成する工程と、
前記第2の溝の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去する工程と、を有する半導体装置の製造方法が提供される。
<Supplementary Note 48> According to still another aspect,
A step of forming a fine pattern of a film containing silicon as a main component, and a step of forming an oxide film and forming a second groove on a substrate on which a first groove composed of the fine pattern is formed;
Forming a film mainly composed of silicon on the oxide film;
Removing a film containing silicon as a main component other than the film containing silicon as a main component in the second groove.

<付記49> 付記48の半導体装置の製造方法であって、好ましくは、
前記第2の溝の幅は前記第1の溝の幅よりも短く構成されている半導体装置の製造方法が提供される。
<Supplementary Note 49> The method for manufacturing a semiconductor device according to Supplementary Note 48, preferably,
A method of manufacturing a semiconductor device is provided in which the width of the second groove is shorter than the width of the first groove.

<付記50> 更に他の態様によれば、
シリコンを主成分とする膜の微細パターンを形成させる手順と、前記微細パターンで構成された第1の溝を有する基板を収容する処理室と、
前記基板に酸化膜原料を供給する酸化膜原料供給部と、
前記基板にシリコン原料を供給するシリコン原料供給部と、
前記基板にフッ素を含むエッチングガスを供給する除去剤供給部と、
前記酸化膜原料と前記シリコン原料と前記ハロゲン元素を順に供給するように前記酸化膜原料供給部と前記シリコン原料供給部と前記除去剤供給部を制御する制御部と、を有する基板処理装置が提供される。
<Supplementary Note 50> According to still another aspect,
A procedure for forming a fine pattern of a film containing silicon as a main component, and a processing chamber for accommodating a substrate having a first groove constituted by the fine pattern;
An oxide film material supply unit for supplying an oxide film material to the substrate;
A silicon material supply unit for supplying silicon material to the substrate;
A remover supply unit for supplying an etching gas containing fluorine to the substrate;
Provided is a substrate processing apparatus having a control unit for controlling the oxide film material supply unit, the silicon material supply unit, and the removal agent supply unit so as to sequentially supply the oxide film material, the silicon material, and the halogen element. Is done.

<付記51> 更に他の態様によれば、
シリコンを主成分とする突起の間に形成された第1の溝を有する基板を収容する処理室と、
前記基板に酸化膜原料を供給する酸化膜原料供給部と、
前記基板にシリコン原料を供給するシリコン原料供給部と、
前記基板にフッ素を含むエッチングガスを供給する除去剤供給部と、
前記酸化膜原料を前記基板に供給し、前記基板に第2の溝を形成する工程と、前記シリコン原料を前記基板に供給しシリコンを主成分とする膜を形成する工程と、前記エッチングガスを前記シリコンを主成分とする膜に供給して前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去する工程とを順に行うように前記酸化膜原料供給部と前記シリコン原料供給部と前記除去剤供給部を制御する制御部と、を有する基板処理装置が提供される。
<Supplementary Note 51> According to still another aspect,
A processing chamber for accommodating a substrate having a first groove formed between protrusions mainly composed of silicon;
An oxide film material supply unit for supplying an oxide film material to the substrate;
A silicon material supply unit for supplying silicon material to the substrate;
A remover supply unit for supplying an etching gas containing fluorine to the substrate;
Supplying the oxide film raw material to the substrate and forming a second groove in the substrate; supplying the silicon raw material to the substrate to form a film containing silicon as a main component; and the etching gas. The oxide film raw material is sequentially applied to the step of supplying the silicon-based film and removing the silicon-based film other than the silicon-based film on the second trench. There is provided a substrate processing apparatus including a supply unit, a silicon raw material supply unit, and a control unit that controls the removal agent supply unit.

<付記52> 付記51に記載の基板処理装置であって、好ましくは、
前記制御部は、前記基板を前記支持部で支持した後に前記エッチングガスを供給するように前記支持部と前記ガス供給部を制御する基板処理装置が提供される。
<Supplementary Note 52> The substrate processing apparatus according to Supplementary Note 51, preferably,
The control unit is provided with a substrate processing apparatus for controlling the support unit and the gas supply unit so as to supply the etching gas after the substrate is supported by the support unit.

<付記53> 付記51に記載された基板処理装置であって、好ましくは、
前記制御部は、前記基板への前記エッチングガスの供給中に前記基板支持部で支持するように前記支持部と前記ガス供給部を制御する。
<Supplementary Note 53> The substrate processing apparatus described in Supplementary Note 51, preferably,
The control unit controls the support unit and the gas supply unit so as to be supported by the substrate support unit during the supply of the etching gas to the substrate.

<付記54> 付記51乃至付記53のいずれかに記載された基板処理装置であって、好ましくは、
前記基板に自然酸化膜除去剤を供給する除去剤供給部を有し、
前記エッチングガスの供給前に、前記除去剤を供給するように前記ガス供給部と前記除去剤供給部を制御する基板処理装置が提供される。
<Supplementary Note 54> The substrate processing apparatus according to any one of Supplementary Notes 51 to 53, preferably,
A removal agent supply unit for supplying a natural oxide film removal agent to the substrate;
A substrate processing apparatus for controlling the gas supply unit and the removal agent supply unit to supply the removal agent before the etching gas is supplied is provided.

<付記55> 更に他の態様によれば、
シリコンを主成分とする突起が形成された基板を収容する処理室と、
前記基板に酸化膜原料を供給する酸化膜原料供給部と、
前記基板にシリコン原料を供給するシリコン原料供給部と、
前記基板にフッ素を含むエッチングガスを供給する除去剤供給部と、
前記酸化膜原料と前記シリコン原料と前記フッ素を含むエッチングガスを順に供給するように前記酸化膜原料供給部と前記シリコン原料供給部と前記除去剤供給部を制御する制御部と、を有する基板処理装置が提供される。
<Supplementary Note 55> According to still another aspect,
A processing chamber for accommodating a substrate on which a protrusion mainly composed of silicon is formed;
An oxide film material supply unit for supplying an oxide film material to the substrate;
A silicon material supply unit for supplying silicon material to the substrate;
A remover supply unit for supplying an etching gas containing fluorine to the substrate;
A substrate processing comprising: a control unit that controls the oxide film material supply unit, the silicon material supply unit, and the removal agent supply unit so as to sequentially supply the oxide film material, the silicon material, and the etching gas containing fluorine. An apparatus is provided.

<付記56> 更に他の態様によれば、
シリコンを主成分とする突起で構成された第1の溝と、当該第1の溝の中であって、酸化膜を介して形成された第2の溝と、当該第2の溝に形成されたシリコンを主成分とする膜とが形成された基板を処理室に搬入する工程と、
前記膜にフッ素を含むエッチングガスを供給し、前記第2の溝上の前記膜以外の前記膜を除去する工程と、を有する半導体装置の製造方法が提供される。
<Appendix 56> According to still another aspect,
A first groove formed of a protrusion mainly composed of silicon, a second groove formed through an oxide film in the first groove, and the second groove are formed. Carrying a substrate formed with a silicon-based film into a processing chamber;
And supplying an etching gas containing fluorine to the film to remove the film other than the film on the second groove.

この出願は、2013年10月22日に出願された日本出願特願2013−219584、2013年11月29日に出願された日本出願特願2013−248054、2014年4月30日に出願された日本出願特願2014−093751をそれぞれ基礎として優先権の利益を主張するものであり、その開示の全てを引用によってここに取り込む。 This application was filed on Japanese Patent Application No. 2013-219854 filed on October 22, 2013, Japanese Application Patent Application No. 2013-248054 filed on November 29, 2013, and filed on April 30, 2014. The claims of priority are claimed on the basis of Japanese application Japanese Patent Application No. 2014-093751, the entire disclosure of which is incorporated herein by reference.

本発明は、次世代の半導体デバイス製造工程の一工程であるエッチング工程に適用される。   The present invention is applied to an etching process which is one process of a next-generation semiconductor device manufacturing process.

1…レジストパターン、2…Si膜(反射防止膜)、3…CHM膜、4…エッチング対象膜(Si膜)、5…Si膜、6…SiO膜、7…Si膜、8…SiO膜、9…Si基板、10…SiO膜、11a,11b…ダミーポリシリコン電極、11c…ポリシリコン膜、11d…リン添加ポリシリコン電極、11e…リン添加ポリシリコン、12…サイドウォールスペーサ、13…エッチングストップ層、14…PMD(Pre Metal Dielectric)、15a…ゲート酸化膜、15b…酸化膜、16…Si‐Fin、17…STI(Shallow Trench Isolation)、18…ソース/ドレインエピタキシャル層、19…シリコン基板、20…基板処理装置、21…溝、25…多層ハードマスク膜、26…被パターニング層、27…SiO膜、28…Si膜、29…Si膜、35…SiO膜、36…Si膜(エッチング対象膜)、37…SiO膜、38…Si膜、39…CHM膜、43…第1の溝、44…第2の溝、45…第3の溝、60…ウエハ、61…Si膜、62…TiN膜(TiN電極)、63…Si膜(電極の倒壊防止用支持部)、64…シリコン含有膜、65a…変性層、65b…界面変性層、66…埋め込み膜、67…Siハードマスク膜、100…EFEM、110…FOUP、120…ロードポート、130…大気搬送ロボット、131…ツィーザ、200…ロードロックチャンバ部、210…バッファユニット、211…ボート、212…インデックスアセンブリ、220…バッファユニット、221…ボート、222…インデックスアセンブリ、250,260…ロードロックチャンバ、300…トランスファーモジュール部、310…トランスファーモジュール、311〜314…ゲートバルブ、320…真空搬送ロボット、321…フィンガー、400…プロセスチャンバ部、410…第1の処理ユニット、411…サセプタテーブル、413…リフターピン、414…支持部、430…ガスバッファ室、431…処理容器、432…共振コイル、433…ガス導入口、445…処理室、446…側壁、448…ベースプレート、453…Oリング、454…トッププレート、454a…蓋部、454b…支持部、458…バッフルリング、459…サセプタ、461…支柱、463…ヒータ、464…サセプタ冷却剤流路、465…排気板、467…ガイドシャフト、469…底板、471…昇降板、472…昇降シャフト、473…昇降駆動部、474…第1排気室、475…排気連通孔、476…第2排気室、479…圧力調整バルブ、480…排気管、481…排気ポンプ、482…第1のガス供給ユニット(第1のガス供給部)、482a…ガス供給管、482b…第1ガス源、482c,482g…マスフローコントローラ、482d,482h…開閉弁、482e…不活性ガス供給管、482f…不活性ガス源、483…第2のガス供給ユニット(第2のガス供給部)、483a…ガス供給管、483b…第2ガス源、483c…マスフローコントローラ、483d…開閉弁、484…シャワープレート、484a…板部、484b…孔部、485…ヒータ温度制御部、486…冷却剤流量制御部、487…ヒータ電力供給線、488…温度検出部、489…外部冷却剤流路、491…冷却剤供給ユニット、492…冷却剤温度検出部、510…第2の処理ユニット、511…サセプタテーブル、513…リフターピン、514…支持部、521…共振コイル、522…可動タップ、523…固定グランド、524…可動タップ、525…高周波電源、526…周波数整合器、527…RFセンサ、530…プラズマ生成室、531…反応容器、532…外側シールド、533…ガス導入口、545…処理室、546…側壁、554…トッププレート、558…バッフルリング、559…サセプタ、561…支柱、563…ヒータ、565…排気板、567…ガイドシャフト、569…底板、571…昇降板、572…昇降シャフト、573…昇降駆動部、574…第1排気室、575…排気連通孔、576…第2排気室、579…圧力調整バルブ、580…排気管、581…排気ポンプ、582…第3のガス供給ユニット(第3のガス供給部)、582a…ガス供給管、582b…第3ガス源、582c,582g…マスフローコントローラ、582d,582h…開閉弁、582e…不活性ガス供給管、582f…不活性ガス源、584…バッフル板、600…コントローラ(制御部)、600a…CPU、600b…RAM、600c…記憶装置、600d…I/Oポート、600e…内部バス、601…入出力装置、602…外部記憶装置、610…シリコン酸化膜除去装置、630…混合室。1 ... resist pattern, 2 ... Si film (antireflection film), 3 ... CHM film, 4 ... target layer (Si film), 5 ... Si 3 N 4 film, 6 ... SiO 2 film, 7 ... Si 3 N 4 8 ... SiO 2 film, 9 ... Si substrate, 10 ... SiO 2 film, 11a, 11b ... dummy polysilicon electrode, 11c ... polysilicon film, 11d ... phosphorus doped polysilicon electrode, 11e ... phosphorus doped polysilicon, 12 ... Sidewall spacers, 13 ... Etch stop layer, 14 ... PMD (Pre Metal Dielectric), 15a ... Gate oxide film, 15b ... Oxide film, 16 ... Si-Fin, 17 ... STI (Shallow Trench Isolation), 18 ... Source / drain epitaxial layer, 19 ... silicon substrate, 20 ... substrate processing apparatus, 21 ... groove, 25 ... multilayer hard mask layer, 26 ... be patterned layer, 27 ... SiO 2 film, 8 ... Si film, 29 ... Si 3 N 4 film, 35 ... SiO 2 film, 36 ... Si film (etching target film), 37 ... SiO 2 film, 38 ... Si film, 39 ... CHM film, 43 ... first groove, 44 ... second groove, 45 ... third groove, 60 ... wafer, 61 ... Si 3 N 4 film, 62 ... TiN film (TiN electrode), 63 ... Si 3 N 4 film (for collapse prevention electrode Support part), 64 ... silicon-containing film, 65a ... modified layer, 65b ... interface modified layer, 66 ... embedded film, 67 ... Si hard mask film, 100 ... EFEM, 110 ... FOUP, 120 ... load port, 130 ... air transport Robot, 131 ... Tweezer, 200 ... Load lock chamber, 210 ... Buffer unit, 211 ... Boat, 212 ... Index assembly, 220 ... Buffer unit, 221 ... Boat, 222 ... Index Assembly, 250, 260 ... Load lock chamber, 300 ... Transfer module section, 310 ... Transfer module, 311 to 314 ... Gate valve, 320 ... Vacuum transfer robot, 321 ... Finger, 400 ... Process chamber section, 410 ... First processing Units, 411 ... susceptor table, 413 ... lifter pins, 414 ... support, 430 ... gas buffer chamber, 431 ... processing vessel, 432 ... resonance coil, 433 ... gas inlet, 445 ... processing chamber, 446 ... side wall, 448 ... Base plate, 453 ... O-ring, 454 ... Top plate, 454a ... Lid, 454b ... Support, 458 ... Baffle ring, 459 ... Susceptor, 461 ... Post, 463 ... Heater, 464 ... Susceptor coolant channel, 465 ... Exhaust Plate, 467 ... guide shaft 469 ... Bottom plate, 471 ... Elevating plate, 472 ... Elevating shaft, 473 ... Elevating drive unit, 474 ... First exhaust chamber, 475 ... Exhaust communication hole, 476 ... Second exhaust chamber, 479 ... Pressure adjusting valve, 480 ... Exhaust Pipe, 481 ... Exhaust pump, 482 ... First gas supply unit (first gas supply unit), 482a ... Gas supply pipe, 482b ... First gas source, 482c, 482g ... Mass flow controller, 482d, 482h ... Open / close valve , 482e ... inert gas supply pipe, 482f ... inert gas source, 483 ... second gas supply unit (second gas supply unit), 483a ... gas supply pipe, 483b ... second gas source, 483c ... mass flow controller. 483d: On-off valve, 484 ... Shower plate, 484a ... Plate, 484b ... Hole, 485 ... Heater temperature controller, 486 ... Coolant flow rate control 487 ... heater power supply line, 488 ... temperature detector, 489 ... external coolant channel, 491 ... coolant supply unit, 492 ... coolant temperature detector, 510 ... second processing unit, 511 ... susceptor table 513: Lifter pin, 514: Support portion, 521 ... Resonant coil, 522 ... Movable tap, 523 ... Fixed ground, 524 ... Movable tap, 525 ... High frequency power supply, 526 ... Frequency matching unit, 527 ... RF sensor, 530 ... Plasma Generation chamber, 531 ... reaction vessel, 532 ... outer shield, 533 ... gas inlet, 545 ... treatment chamber, 546 ... side wall, 554 ... top plate, 558 ... baffle ring, 559 ... susceptor, 561 ... strut, 563 ... heater, 565 ... Exhaust plate, 567 ... Guide shaft, 569 ... Bottom plate, 571 ... Elevating plate, 572 ... Elevating shaft 573 ... Elevating drive unit, 574 ... first exhaust chamber, 575 ... exhaust communication hole, 576 ... second exhaust chamber, 579 ... pressure regulating valve, 580 ... exhaust pipe, 581 ... exhaust pump, 582 ... third gas supply unit (Third gas supply unit), 582a ... gas supply pipe, 582b ... third gas source, 582c, 582g ... mass flow controller, 582d, 582h ... open / close valve, 582e ... inert gas supply pipe, 582f ... inert gas source 584 ... baffle plate, 600 ... controller (control unit), 600a ... CPU, 600b ... RAM, 600c ... storage device, 600d ... I / O port, 600e ... internal bus, 601 ... input / output device, 602 ... external storage device 610 ... Silicon oxide film removing device 630 ... Mixing chamber.

Claims (20)

基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、 前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、 前記サイドウォール形成工程後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、 を含む微細パターン形成方法であって、 前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去する微細パターン形成方法。 A core pattern forming step for forming a core pattern having a predetermined line width on the substrate surface side, a sidewall forming step for forming a sidewall with respect to the core pattern formed in the core pattern forming step, and after the sidewall forming step, A core pattern removing step of removing the core pattern while leaving the sidewall using an etching gas containing fluorine, and a fine pattern forming method including: in the core pattern removing step, In parallel with the removal, the fine pattern formation method of removing the film deposited on the back side of the substrate in the core pattern formation step. 基板表側において所定の線幅のコアパターンを形成するコアパターン形成工程と、 前記コアパターン形成工程で形成したコアパターンに対し、サイドウォールを形成するサイドウォール形成工程と、 前記サイドウォール形成工程後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するコアパターン除去工程と、 を含む半導体装置の製造方法であって、 前記コアパターン除去工程において、前記コアパターンの除去と並行して、前記コアパターン形成工程で基板裏側に堆積した膜を除去する半導体装置の製造方法。 A core pattern forming step for forming a core pattern having a predetermined line width on the substrate surface side, a sidewall forming step for forming a sidewall with respect to the core pattern formed in the core pattern forming step, and after the sidewall forming step, A core pattern removing step of removing the core pattern while leaving the sidewall using an etching gas containing fluorine, and a method of manufacturing a semiconductor device, comprising: In parallel with the removal of the semiconductor device, the semiconductor device manufacturing method of removing the film deposited on the back side of the substrate in the core pattern forming step. 所定の線幅のコアパターンと該コアパターンに対し形成されたサイドウォールとを表側に有し、前記コアパターンと同一材料で構成されるコア材質膜を裏側に有する基板を、収容する処理室と、 前記サイドウォールを残した状態で前記コアパターンを除去する、フッ素を含むエッチングガスを前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 前記コアパターンの除去と前記コア材質膜の除去とを行うよう、前記処理室へ前記エッチングガスを供給するよう前記ガス供給部を制御する制御部と、 を備える基板処理装置。 A processing chamber for containing a substrate having a core pattern of a predetermined line width and a side wall formed on the core pattern on the front side and a core material film made of the same material as the core pattern on the back side; A gas supply unit that supplies the processing chamber with an etching gas containing fluorine that removes the core pattern while leaving the sidewalls; an exhaust unit that exhausts the atmosphere in the processing chamber; and the removal of the core pattern And a controller that controls the gas supply unit to supply the etching gas to the processing chamber so as to remove the core material film. 基板表側に所定の線幅のコアパターンを形成する手順と、 前記コアパターンに対し、サイドウォールを形成する手順と、 前記サイドウォールを形成後に、フッ素を含むエッチングガスを用いて、前記サイドウォールを残した状態で前記コアパターンを除去するとともに、前記コアパターンを形成する手順実施時に基板裏側に堆積した膜を除去する手順と、 をコンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体。 A step of forming a core pattern having a predetermined line width on the front side of the substrate; a step of forming a side wall with respect to the core pattern; and after forming the side wall, using the etching gas containing fluorine, Removing the core pattern in the state of being left, removing the film deposited on the back side of the substrate when the procedure for forming the core pattern is performed, a program for causing a computer to execute, and a recording medium on which the program is recorded so as to be readable . 少なくとも、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、基板に形成する成膜工程と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、 フッ素を含むエッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記成膜工程において前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去工程と、 を含む微細パターン形成方法。 A film forming process for forming at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film on a substrate; and a resist pattern forming process for processing the resist film to form a resist pattern having a predetermined line width. A carbon film pattern forming step for forming the carbon film pattern using the resist pattern; and a silicon hard mask pattern forming step for forming the silicon hard mask film pattern using the carbon film pattern; When removing the pattern of the silicon hard mask film using an etching gas containing fluorine, a first film of the same material as the silicon antireflection film deposited on the back side of the substrate in the film forming step, Second film of the same material as the carbon film, same material as the silicon hard mask film Third film fine pattern forming method comprising, a silicon hard mask removal step of removing the. 基板に、多層ハードマスク膜、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、それぞれ堆積する成膜工程と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、 フッ素を含むエッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記成膜工程において前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去工程と、 前記多層ハードマスク膜のパターンを用いて所定のパターンを形成するパターン形成工程と、を有する半導体装置の製造方法。 A film forming process for depositing a multilayer hard mask film, a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film on a substrate, and processing the resist film to form a resist pattern having a predetermined line width. A resist pattern forming step, a carbon film pattern forming step for forming the carbon film pattern using the resist pattern, and a silicon hard mask for forming the silicon hard mask film pattern using the carbon film pattern When removing the pattern of the silicon hard mask film using a pattern forming process and an etching gas containing fluorine, a first layer of the same material as the silicon antireflection film deposited on the back side of the substrate in the film forming process is used. 1 film, a second film of the same material as the carbon film, and the silicon heart Method of manufacturing a semiconductor device having a silicon hard mask removing step of removing the third film of the mask layer and the same material, and a pattern forming step of forming a predetermined pattern by using the pattern of the multilayer hard mask layer. 少なくともシリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜が、それぞれ堆積された基板を、収容する処理室と、 前記シリコンハードマスク膜及び前記シリコンハードマスク膜と同一材料の第3の膜を除去するフッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成工程と、前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成工程と、前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成工程と、前記エッチングガスを用いて、前記シリコンハードマスク膜のパターンを除去する際、前記基板の裏面側に堆積された前記第1の膜、前記第2の膜、前記第3の膜を除去するシリコンハードマスク除去工程と、を実行する制御部と、 を備える基板処理装置。 A processing chamber for accommodating a substrate on which at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film are deposited; and a third film made of the same material as the silicon hard mask film and the silicon hard mask film A gas supply unit that supplies an etching gas containing fluorine to remove the gas to the processing chamber, an exhaust unit that exhausts the atmosphere in the processing chamber, and a resist pattern having a predetermined line width by processing the resist film A resist pattern forming step, a carbon film pattern forming step for forming the carbon film pattern using the resist pattern, and a silicon hard mask for forming the silicon hard mask film pattern using the carbon film pattern Using a pattern forming step and the etching gas, A controller for performing a silicon hard mask removing step of removing the first film, the second film, and the third film deposited on the back surface side of the substrate when removing the pattern of the mask film And a substrate processing apparatus comprising: 少なくとも、シリコンハードマスク膜、カーボン膜、シリコン反射防止膜、レジスト膜を、基板に形成する手順と、 前記レジスト膜を加工して所定の線幅のレジストパターンを形成するレジストパターン形成手順と、 前記レジストパターンを用いて、前記カーボン膜のパターンを形成するカーボン膜パターン形成手順と、 前記カーボン膜のパターンを用いて、前記シリコンハードマスク膜のパターンを形成するシリコンハードマスクパターン形成手順と、 フッ素を含むエッチングガスを用い、前記シリコンハードマスク膜のパターンを除去する際、前記基板の裏側に堆積された、前記シリコン反射防止膜と同一材料の第1の膜、前記カーボン膜と同一材料の第2の膜、前記シリコンハードマスク膜と同一材料の第3の膜を除去するシリコンハードマスク除去手順と、をコンピュータに実行させるプログラムを読取可能に記録した記録媒体。 A procedure for forming at least a silicon hard mask film, a carbon film, a silicon antireflection film, and a resist film on a substrate; a resist pattern forming procedure for processing the resist film to form a resist pattern having a predetermined line width; A carbon film pattern forming procedure for forming the carbon film pattern using a resist pattern; a silicon hard mask pattern forming procedure for forming the silicon hard mask film pattern using the carbon film pattern; A first film made of the same material as the silicon antireflection film and a second film made of the same material as the carbon film deposited on the back side of the substrate when the pattern of the silicon hard mask film is removed using an etching gas containing And a third film made of the same material as that of the silicon hard mask film. Recording medium in which the readable recording program for executing a down hard mask removal steps, to the computer. 基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板を、処理室へ搬入する基板搬入工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 前記エッチング工程を行った基板を処理室から搬出する基板搬入工程と、 を含む微細パターン形成方法。 On the substrate front side, a substrate carrying step for carrying a substrate having a silicon film formed in a groove portion in which a gate oxide film is formed on the bottom portion and a gate electrode is embedded into a processing chamber, and etching containing fluorine Etching process using gas to remove the silicon film formed in the trench without removing the gate oxide film, and removing the first film of the same material as the silicon film on the back side of the substrate And a substrate carrying-in step of carrying out the substrate subjected to the etching step from a processing chamber. 基板表面に底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜を形成する工程と、フッ素を含むエッチングガスを前記基板に接触させて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 を含む半導体装置の製造方法。 A step of forming a silicon film in a groove portion having a gate oxide film formed at the bottom on the surface of the substrate for embedding a gate electrode; and contacting the substrate with an etching gas containing fluorine, and An etching step of removing the silicon film formed in the groove without removing the film, and removing a first film of the same material as the silicon film on the back side of the substrate. Method. 基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板を、収容する処理室と、 前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去する、フッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 を備える基板処理装置。 On the front side of the substrate, a processing chamber for accommodating a substrate in which a silicon film is formed in a groove portion in which a gate oxide film is formed at a bottom portion for embedding a gate electrode, and removing the gate oxide film Without removing the silicon film, and on the back side of the substrate, the first film made of the same material as the silicon film is removed. The gas supply unit supplies an etching gas containing fluorine to the processing chamber; and A substrate processing apparatus comprising: an exhaust unit that exhausts the atmosphere of 基板表側において、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内にシリコン膜が形成された基板に対し、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング手順を、コンピュータに実行させるプログラムを読取可能に記録した記録媒体。 On the front side of the substrate, the gate oxide film is formed by using an etching gas containing fluorine on a substrate in which a gate oxide film is formed at the bottom and a silicon film is formed in the groove for embedding the gate electrode. A recording medium in which a program for causing a computer to execute an etching procedure for removing the first film of the same material as the silicon film on the back side of the substrate is recorded in a readable manner without removing the silicon film. 基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板を、処理室へ搬入する基板搬入工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 前記エッチング工程を行った基板を処理室から搬出する基板搬入工程と、 を含む微細パターン形成方法。 On the front side of the substrate, a silicon film containing a group III or V group impurity is formed in a groove portion in which a Si-Fin structure is formed and a gate oxide film is formed at a bottom portion and a gate electrode is embedded. A substrate carrying-in step of carrying the substrate into the processing chamber, and using the etching gas containing fluorine, the silicon film formed in the trench is removed without removing the gate oxide film, and the substrate On the back side, a fine pattern forming method comprising: an etching step for removing a first film made of the same material as the silicon film; and a substrate carrying-in step for carrying out the substrate subjected to the etching step from a processing chamber. 基板表面にSi−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であって、この溝部内に、III族又はV族の不純物が含まれるシリコン膜を埋め込みゲート電極を形成する工程と、 フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記溝部内に形成された前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング工程と、 を含む半導体装置の製造方法。 A step of forming a gate electrode by embedding a silicon film containing a group III or V group impurity in a groove portion in which a Si-Fin structure is formed on a substrate surface and a gate oxide film is formed on a bottom portion. And using an etching gas containing fluorine, without removing the gate oxide film, the silicon film formed in the trench is removed, and on the back side of the substrate, a first material of the same material as the silicon film is removed. An etching process for removing the film, and a method for manufacturing a semiconductor device. 基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板を収容する処理室と、 前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去する、フッ素を含むエッチングガスを、前記処理室へ供給するガス供給部と、 前記処理室内の雰囲気を排気する排気部と、 を備える基板処理装置。 On the front side of the substrate, a silicon film containing a group III or V group impurity is formed in a groove portion in which a Si-Fin structure is formed and a gate oxide film is formed at a bottom portion and a gate electrode is embedded. A processing chamber for storing the processed substrate; removing the silicon film without removing the gate oxide film; and removing fluorine on the back side of the substrate, the first film made of the same material as the silicon film A substrate processing apparatus comprising: a gas supply unit that supplies an etching gas to the processing chamber; and an exhaust unit that exhausts the atmosphere in the processing chamber. 基板表側において、Si−Fin構造が形成され、底部にゲート酸化膜が形成された溝部であってゲート電極が埋め込まれるための溝部内に、III族又はV族の不純物が含まれるシリコン膜が形成された基板に対し、フッ素を含むエッチングガスを用いて、前記ゲート酸化膜を除去することなく、前記シリコン膜を除去するとともに、基板裏側において、前記シリコン膜と同一材料の第1の膜を除去するエッチング手順を、コンピュータに実行させるプログラム及びこのプログラムを読取可能に記録した記録媒体。 On the front side of the substrate, a silicon film containing a group III or V group impurity is formed in a groove portion in which a Si-Fin structure is formed and a gate oxide film is formed at a bottom portion and a gate electrode is embedded. The silicon film is removed from the formed substrate using an etching gas containing fluorine without removing the gate oxide film, and the first film of the same material as the silicon film is removed on the back side of the substrate. A program for causing a computer to execute an etching procedure and a recording medium on which the program is recorded so as to be readable. シリコンを主成分とする膜の微細パターンを形成する工程と、前記微細パターンの間に形成された第1の溝を有する基板に酸化膜を形成して第2の溝を形成する工程と、 前記酸化膜の上に前記シリコンを主成分とする膜を形成する工程と、 前記シリコンを主成分とする膜に、フッ素を含むエッチングガスを供給し、前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去する工程と、を有する半導体装置の製造方法。 Forming a fine pattern of a film containing silicon as a main component, forming a second groove by forming an oxide film on a substrate having a first groove formed between the fine patterns, Forming a film containing silicon as a main component on an oxide film; supplying an etching gas containing fluorine to the film containing silicon as a main component; and forming the silicon on the second groove as a main component Removing a film containing silicon as a main component other than the film to be manufactured. 更に、前記エッチングガスを供給する工程の前に、前記シリコンを主成分とする膜の上に形成された自然酸化膜を除去する工程を有する請求項17記載の半導体装置の製造方法。 18. The method of manufacturing a semiconductor device according to claim 17, further comprising a step of removing a natural oxide film formed on the film containing silicon as a main component before the step of supplying the etching gas. シリコンを主成分とする膜の微細パターンの間に形成された第1の溝を有する基板を収容する処理室と、前記基板に酸化膜原料を供給する酸化膜原料供給部と、前記基板にシリコン原料を供給するシリコン原料供給部と、前記基板にフッ素を含むエッチングガスを供給する除去剤供給部と、前記酸化膜原料を前記基板に供給し、前記基板に第2の溝を形成する工程と、前記シリコン原料を前記基板に供給しシリコンを主成分とする膜を形成する工程と、前記エッチングガスを前記シリコンを主成分とする膜に供給して前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去する工程とを順に行うように前記酸化膜原料供給部と前記シリコン原料供給部と前記除去剤供給部を制御する制御部と、を有する基板処理装置。 A processing chamber for accommodating a substrate having a first groove formed between fine patterns of a film containing silicon as a main component, an oxide film material supply unit for supplying an oxide film material to the substrate, and silicon for the substrate A silicon raw material supply unit for supplying the raw material, a removal agent supply unit for supplying an etching gas containing fluorine to the substrate, a step of supplying the oxide film raw material to the substrate and forming a second groove in the substrate; Supplying the silicon raw material to the substrate to form a film containing silicon as a main component; and supplying the etching gas to the film containing silicon as a main component so that the silicon on the second groove is a main component. And a control unit that controls the oxide raw material supply unit, the silicon raw material supply unit, and the removal agent supply unit so as to sequentially perform a step of removing the silicon-based film other than the film to be formed. Base Processing apparatus. シリコンを主成分とする膜の微細パターンを形成させる手順と、前記微細パターンの間に形成された第1の溝を有する基板に、酸化膜を形成して第2の溝を形成させる手順と、前記酸化膜の上に前記シリコンを主成分とする膜を形成させる手順と、前記シリコンを主成分とする膜に、フッ素を含むエッチングガスを供給し、前記第2の溝上の前記シリコンを主成分とする膜以外の前記シリコンを主成分とする膜を除去させる手順と、をコンピュータに実行させるプログラムを読取可能な記録媒体。 A procedure for forming a fine pattern of a film containing silicon as a main component, and a procedure for forming a second groove by forming an oxide film on a substrate having a first groove formed between the fine patterns; A step of forming a film containing silicon as a main component on the oxide film; and an etching gas containing fluorine is supplied to the film containing silicon as a main component, and the silicon on the second groove is used as a main component. And a recording medium capable of reading a program for causing a computer to execute a procedure for removing the silicon-based film other than the film.
JP2015543767A 2013-10-22 2014-09-29 Fine pattern forming method, semiconductor device manufacturing method, substrate processing apparatus, and recording medium Pending JPWO2015060069A1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2013219584 2013-10-22
JP2013219584 2013-10-22
JP2013248054 2013-11-29
JP2013248054 2013-11-29
JP2014093751 2014-04-30
JP2014093751 2014-04-30
PCT/JP2014/075869 WO2015060069A1 (en) 2013-10-22 2014-09-29 Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium

Publications (1)

Publication Number Publication Date
JPWO2015060069A1 true JPWO2015060069A1 (en) 2017-03-09

Family

ID=52992672

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015543767A Pending JPWO2015060069A1 (en) 2013-10-22 2014-09-29 Fine pattern forming method, semiconductor device manufacturing method, substrate processing apparatus, and recording medium

Country Status (4)

Country Link
US (1) US20160218012A1 (en)
JP (1) JPWO2015060069A1 (en)
TW (1) TW201517123A (en)
WO (1) WO2015060069A1 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN204138341U (en) * 2013-04-18 2015-02-04 崔波 Silicon post array on silicon substrate
US20150050816A1 (en) * 2013-08-19 2015-02-19 Korea Atomic Energy Research Institute Method of electrochemically preparing silicon film
US9812336B2 (en) * 2013-10-29 2017-11-07 Globalfoundries Inc. FinFET semiconductor structures and methods of fabricating same
US20160351799A1 (en) * 2015-05-30 2016-12-01 Applied Materials, Inc. Hard mask for patterning magnetic tunnel junctions
WO2017022086A1 (en) * 2015-08-04 2017-02-09 株式会社日立国際電気 Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
WO2017026001A1 (en) * 2015-08-07 2017-02-16 株式会社日立国際電気 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US10833076B2 (en) 2016-09-30 2020-11-10 Intel Corporation Integrated circuit devices with non-collapsed fins and methods of treating the fins to prevent fin collapse
CN207396531U (en) 2017-01-31 2018-05-22 杭州探真纳米科技有限公司 A kind of cantilevered distal end nano-probe
CN108962742B (en) * 2017-05-25 2021-05-28 中芯国际集成电路制造(上海)有限公司 Method for manufacturing semiconductor structure
US10910381B2 (en) * 2018-08-01 2021-02-02 Applied Materials, Inc. Multicolor approach to DRAM STI active cut patterning
JP7170578B2 (en) * 2018-08-31 2022-11-14 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
KR102187121B1 (en) * 2019-04-30 2020-12-07 피에스케이 주식회사 A substrate processing apparatus
KR102599015B1 (en) * 2019-09-11 2023-11-06 주식회사 테스 Substrate processing method
US11508628B2 (en) * 2020-09-15 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a crystalline protective polysilicon layer
CN113506727A (en) * 2021-06-29 2021-10-15 上海华力微电子有限公司 Manufacturing method and device for improving side wall inclination of self-alignment double exposure process
US20230030906A1 (en) * 2021-07-29 2023-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Tunable resonator
JP2023140165A (en) * 2022-03-22 2023-10-04 キオクシア株式会社 Semiconductor manufacturing apparatus and semiconductor device manufacturing method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61190944A (en) * 1985-02-20 1986-08-25 Hitachi Chiyou Lsi Eng Kk Dry etching device
JPH04330723A (en) * 1991-02-05 1992-11-18 Fujitsu Ltd Semiconductor manufacturing apparatus and manufacture of semiconductor device
JPH08293609A (en) * 1995-04-21 1996-11-05 Sharp Corp Method of manufacturing semiconductor device
JP2001274389A (en) * 2000-03-28 2001-10-05 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2009117557A (en) * 2007-11-05 2009-05-28 Toshiba Corp Complementary semiconductor device and method of manufacturing the same
JP2009253300A (en) * 2008-04-04 2009-10-29 Hynix Semiconductor Inc Method of fabricating semiconductor device and semiconductor device
JP2011071279A (en) * 2009-09-25 2011-04-07 Toshiba Corp Method of fabricating semiconductor device
JP2013110139A (en) * 2011-11-17 2013-06-06 Tokyo Electron Ltd Manufacturing method for semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61190944A (en) * 1985-02-20 1986-08-25 Hitachi Chiyou Lsi Eng Kk Dry etching device
JPH04330723A (en) * 1991-02-05 1992-11-18 Fujitsu Ltd Semiconductor manufacturing apparatus and manufacture of semiconductor device
JPH08293609A (en) * 1995-04-21 1996-11-05 Sharp Corp Method of manufacturing semiconductor device
JP2001274389A (en) * 2000-03-28 2001-10-05 Toshiba Corp Semiconductor device and manufacturing method thereof
JP2009117557A (en) * 2007-11-05 2009-05-28 Toshiba Corp Complementary semiconductor device and method of manufacturing the same
JP2009253300A (en) * 2008-04-04 2009-10-29 Hynix Semiconductor Inc Method of fabricating semiconductor device and semiconductor device
JP2011071279A (en) * 2009-09-25 2011-04-07 Toshiba Corp Method of fabricating semiconductor device
JP2013110139A (en) * 2011-11-17 2013-06-06 Tokyo Electron Ltd Manufacturing method for semiconductor device

Also Published As

Publication number Publication date
TW201517123A (en) 2015-05-01
WO2015060069A1 (en) 2015-04-30
US20160218012A1 (en) 2016-07-28

Similar Documents

Publication Publication Date Title
WO2015060069A1 (en) Formation method for micropattern, manufacturing method for semiconductor device, substrate processing device, and recording medium
WO2015115002A1 (en) Fine pattern forming method, semiconductor device manufacturing method, substrate processing device, and recording medium
US5356515A (en) Dry etching method
TWI492298B (en) Double patterning etching process
KR0170557B1 (en) Manufacture of semiconductor device
US8119530B2 (en) Pattern forming method and semiconductor device manufacturing method
JP4860219B2 (en) Substrate processing method, electronic device manufacturing method, and program
WO2015016149A1 (en) Substrate processing device, method for producing semiconductor device, and recording medium
US20150072533A1 (en) Etching Method, Etching Apparatus, and Storage Medium
WO2017033754A1 (en) Plasma processing method
US8262921B2 (en) Substrate processing method, substrate processing apparatus and recording medium
JP6446563B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
KR101276262B1 (en) Apparatus and method for manufacturing semiconductor devices
TWI490912B (en) Pattern forming method and manufacturing method of semiconductor device
TW201517122A (en) Methods for patterning a hardmask layer for an ion implantation process
KR20090058002A (en) Plasma oxidizing method, plasma oxidizing apparatus, and storage medium
US10886138B2 (en) Substrate processing method and substrate processing apparatus
JP2017152531A (en) Substrate processing method
KR101276258B1 (en) Apparatus and method for manufacturing semiconductor devices
WO2017022086A1 (en) Semiconductor device manufacturing method, etching method, substrate processing device and recording medium
JP7294999B2 (en) Etching method
JP2005217240A (en) Dry etching apparatus and method therefor
WO2015011829A1 (en) Substrate treatment device and method for manufacturing semiconductor device
US10504741B2 (en) Semiconductor manufacturing method and plasma processing apparatus
JPH10189731A (en) Contact hole forming method

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161102

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20170621