JPWO2002001596A1 - Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus - Google Patents

Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus Download PDF

Info

Publication number
JPWO2002001596A1
JPWO2002001596A1 JP2002505647A JP2002505647A JPWO2002001596A1 JP WO2002001596 A1 JPWO2002001596 A1 JP WO2002001596A1 JP 2002505647 A JP2002505647 A JP 2002505647A JP 2002505647 A JP2002505647 A JP 2002505647A JP WO2002001596 A1 JPWO2002001596 A1 JP WO2002001596A1
Authority
JP
Japan
Prior art keywords
sample
inspection
charged particle
image
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002505647A
Other languages
Japanese (ja)
Other versions
JP3890015B2 (en
Inventor
中筋 護
野路 伸治
佐竹 徹
畠山 雅規
金馬 利文
曽布川 拓司
吉川 省二
村上 武司
渡辺 賢治
狩俣 努
大和田 伸
西藤 睦
山崎 裕一郎
永井 隆光
長濱 一郎太
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Toshiba Corp
Original Assignee
Ebara Corp
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp, Toshiba Corp filed Critical Ebara Corp
Priority claimed from PCT/JP2001/005495 external-priority patent/WO2002001596A1/en
Publication of JPWO2002001596A1 publication Critical patent/JPWO2002001596A1/en
Application granted granted Critical
Publication of JP3890015B2 publication Critical patent/JP3890015B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

電子線検査装置は、電子源からの一次電子線を検査対象に照射し、前記一次電子線の照射により放出された二次電子の像を投影する電子光学系及び電子光学系により投影された二次電子像を検出する検出器を有する電子光学装置70と、検査対象を保持して電子光学系に関して相対的に移動させるステージ装置50と、清浄気体を検査対象に流して前記検査対象への塵埃の付着を阻止するミニエンバイロメント装置20と、ステージ装置を収容しておりかつ真空雰囲気に制御可能になっているワーキングチャンバ31と、ミニエンバイロメント装置と前記ワーキングチャンバとの間に配置されていて、それぞれ独立して真空雰囲気に制御可能になっている少なくとも二つのローディングチャンバ41、42と、ローディングチャンバ内を通してステージ装置に検査対象を供給するローダー60と、を備えている。An electron beam inspection apparatus irradiates a primary electron beam from an electron source to an inspection object, and projects an image of secondary electrons emitted by the irradiation of the primary electron beam, and a secondary electron beam projected by the electron optical system. An electron optical device 70 having a detector for detecting a secondary electron image, a stage device 50 for holding and moving the inspection object relatively with respect to the electron optical system, and flowing a clean gas to the inspection object to remove dust to the inspection object. A mini-environment device 20 for preventing the adhesion of the semiconductor device, a working chamber 31 that houses the stage device and can be controlled to a vacuum atmosphere, and is disposed between the mini-environment device and the working chamber. Through at least two loading chambers 41 and 42, each of which can be independently controlled to a vacuum atmosphere, A loader 60 for supplying an inspection object to the stage apparatus, and a.

Description

発明の技術分野
本発明は、電子ビームを用いて検査対象の表面に形成されたパターンの欠陥等を検査する検査装置に関し、詳しくは、半導体製造工程におけるウエハの欠陥を検出する場合のように、電子ビームを検査対象に照射してその表面の性状に応じて変化する二次電子を捕捉して画像データを形成し、その画像データに基づいて検査対象の表面に形成されたパターン等を高いスループットで検査する検査装置、並びにそのような検査装置を用いて歩留まり良くデバイスを製造するデバイス製造方法に関する。より具体的には、面ビームを用いた写像投影方式による検出装置および該装置を用いたデバイス製造方法に関する。
また、半導体プロセスにおいて、デザインルールは100nmの時代を迎えようとしており、また生産形態はDRAMに代表される少品種大量生産からSOC(Silicon on chip)のように多品種少量生産へ移行しつつある。それに伴い、製造工程数が増加し、各工程毎の歩留まり向上は必須となり、プロセス起因の欠陥検査が重要になる。本発明は半導体プロセスにおける各工程後のウェーハの検査に用いられる装置に関し、電子ビームを用いた検査方法及び装置またはそれを用いたデバイス製造方法に関する。
従来の技術および発明が解決しようとする課題
半導体デバイスの高集積化、パターンの微細化に伴い、高分解能、高スループットの検査装置が要求されている。100nmデザインルールのウェーハ−基板の欠陥を調べるためには、100nm以下の分解能が必要であり、デバイスの高集積化による製造工程の増加により、検査量が増大するため、高スループットが要求されている。また、デバイスの多層化が進むにつれて、層間の配線をつなぐビアのコンタクト不良(電気的欠陥)を検出する機能も、検査装置に要求されている。現在は主に光方式の欠陥検査装置が使用されているが、分解能及び、コンタクト不良検査の点では、光方式の欠陥検査装置に代わって電子ビームを用いた欠陥検査装置が今後、検査装置の主流になると予想される。但し、電子ビーム方式欠陥検査装置にも弱点があり、それはスループットの点で光方式に劣ることである。
このため、高分解能、高スループット、且つ電気的欠陥検出が可能な検査装置の開発が要求されている。光方式での分解能は使用する光の波長の1/2が限界と言われており、実用化されている可視光の例では0.2μm程度である。
一方電子ビームを使用する方式では、通常走査型電子ビーム方式(SEM方式)が実用化されており、分解能は0.1μm、検査時間は8時間/枚(20cmウェーハ)である。電子ビーム方式はまた、電気的欠陥(配線の断線、導通不良、ビアの導通不良等)も検査可能であることが大きな特徴である。しかし、検査時間は非常に遅く、検査速度の速い欠陥検査装置の開発が期待されている。
一般に検査装置は高価でありまたスループットも他のプロセス装置に比べて低いために、現状では重要な工程の後、例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理後等に使用されている。
電子ビームを用いた走査(SEM)方式の検査装置について説明する。SEM方式の検査装置は電子ビームを細く絞って(このビーム径が分解能に相当する)これを走査してライン状に試料を照射する。一方、ステージを電子ビームの走査方向に直角の方向に移動させることにより、平面状に観察領域を電子ビームで照射する。電子ビームの走査幅は一般に数100μmである。前記細く絞られた電子ビーム(一次電子線と呼ぶ)照射により発生した試料からの二次電子を検出器(シンチレータ+フォトマルチプライヤー(光電子増倍管)又は半導体方式の検出器(PINダイオード型)等)で検出する。照射位置の座標と二次電子の量(信号強度)を合成して画像化し、記憶装置に記憶し、あるいはCRT(ブラウン管)上に画像を出力する。以上はSEM(走査型電子顕微鏡)の原理であり、この方式で得られた画像から工程途中の半導体(通常はSi)ウェーハの欠陥を検出する。検査速度(スループットに相当する)は一次電子線の量(電流値)、ビーム径、検出器の応答速度で決まる。ビーム径0.1μm(分解能と同じと考えてよい)電流値100nA、検出器の応答速度100MHzが現在の最高値で、この場合で検査速度は20cm径のウェーハ一枚あたり約8時間と言われている。この検査速度が光方式に比べてきわめて遅い(1/20以下)ことが大きな問題点(欠点)となっている。
また、本発明に関連する検査装置の従来技術については、走査電子顕微鏡(SEM)を用いた装置が既に市販されている。この装置は細く絞った電子線を非常に間隔の小さいスキャン幅で走査を行い、走査に伴って検査対象から放出される二次電子を二次電子検出器で検出してSEM画像を形成し、そのSEM画像を異なるダイの同じ場所同志を比較して欠陥を抽出するものである。
また、従来においては電子線を使用した欠陥検査装置の全体的なシステムとして完成させた装置は未だ存在しなかった。
ところで、SEMを応用した欠陥検査装置では、欠陥検査に多くの時間を必要としていた。また、高スループットにするため、ビーム電流を大きくすると空間電位効果によりビームがボケ、また、絶縁物が表面にあるウエハでは帯電して良好なSEM像が得られない問題があった。
また、電子線を照射して検査する電子光学装置と、検査対象をその電子光学装置の照射位置までクリーンな状態で供給し、アライメントする他のサブシステムとの間の関連性を考慮した検査装置全体の構造については今までほとんど明らかにされていなかった。更に、検査対象となるウエハの大口径化が進められ、サブシステムもそれに対応可能にする要請もでてきた。
そこで、本発明が解決しようとする一つの課題は、電子線を用いた電子光学系を使用すると共に、その電子光学系と、検査装置を構成するその他の構成機器との調和を図ってスループットを向上した検査装置を提供することである。
本発明が解決しようとする他の課題は、検査対象を蓄えるカセットと電子光学系に関して検査対象を位置決めするステージ装置との間で検査対象を搬送するローダー及びそれと関連する装置を改善して検査対象を効率的にかつ精度良く検査可能な検査装置を提供することである。
本発明が解決しようとする他の課題は、SEMで問題のあった帯電の問題を解決して検査対象を精度良く検査可能な検査装置を提供することである。
本発明が解決しようとする更に別の課題は、上記のような検査装置を用いてウエハ等の検査対象の検査を行うことにより歩留まりの良いデバイス製造方法を提供することである。
又,従来、半導体の高密度化や高集積化に伴い、半導体ウェーハのプロセス製造などにおける半導体ウェーハのパターン等の欠陥検査には、高感度の検査装置が必要となっている。そして、このような欠陥検査の為の検査装置として、特開平2−142045や特開平5−258703に記載されているような電子顕微鏡が使用されている。
例えば、特開平2−142045に記載された電子顕微鏡では、対物レンズによって電子銃からでた電子線を絞って試料に照射し、試料からでてくる二次電子を二次電子検出器で検出している。そして、この電子顕微鏡では、試料に負の電圧を印加し、更に、試料と二次電子検出器との間に電界と磁界を直交させたE×B型フィルタを配置している。
このような構成により、この電子顕微鏡は、試料に負の電圧を印加することにより、試料に照射される電子を減速させることにより高分解能としている。
また、試料に負の電圧を印加することにより、試料からでてくる二次電子を加速させ、更に、E×B型フィルタによって、加速された二次電子は二次電子検出器の方向に偏向されて、二次電子検出器は二次電子を効率的に検出することができる。
上記の様な従来の電子顕微鏡を用いた装置においては、電子銃からの電子線は、高電圧が印加された対物レンズ等のレンズ系によって、試料に照射される直前まで加速され高エネルギ化している。そして、試料に負の電圧を印加することにより、試料に照射される電子を低速化しつつ高分解能としている。
しかしながら、対物レンズには高電圧が印加され、更に、試料には負の電圧が印加されているので、対物レンズと試料との間において放電が生ずる恐れがあった。
また、従来の電子顕微鏡において、試料に負の電圧が印加されない場合であっても、対物レンズと試料との間の電位差が大きいと、対物レンズと試料との間において放電が生ずる恐れがある。
また、このような試料への放電に対処するために、対物レンズへの印加電圧を低くすると、電子に十分なエネルギが与えられず、分解能が低下してしまう。
また更に、試料が半導体ウェーハであって、この半導体ウェーハ表面にビア、即ち、半導体ウェーハの上層配線と下層配線とを電気的に接続し、且つ上下層配線面に対して略垂直な方向の配線パターンが存在する場合を説明する。
従来の電子顕微鏡を使用してビア付きの半導体ウェーハの欠陥等を検査すると、上記同様に対物レンズには高電圧、例えば10kVの電圧が印加される。またここでは、半導体ウェーハは接地されているものとする。よって、半導体ウェーハと対物レンズの間には電界が形成される。
このような状態であると、特に半導体ウェーハ表面のビア近傍に電界が集中し高電界となる。そして、ビアに電子線が照射されると、ビアから大量の2次電子が放出され、これら2次電子はビア近傍の高電界によって加速される。この加速された2次電子は、電子線が半導体ウェーハに照射された際に発生した残留ガスをイオン化するのに十分なエネルギ(>3eV)を持っている。よって、2次電子は残留ガスをイオン化するので、イオン化した荷電粒子が発生する。
そして、このイオン化した荷電粒子である正イオンは、ビア近傍の高電界によってビアの方向に加速され、ビアと衝突することによって、ビアから更に2次電子が放出される。この一連のポジティブフィードバックによって、ついには対物レンズと半導体ウェーハとの間に放電が生じ、この放電により半導体ウェーハのパターン等を破損してしまう問題があった。
そこで、本発明が解決しようとする他の課題は,被検査試料への放電を防止した電子銃装置と,この電子銃装置を用いたデバイス製造方法を提供することを目的とする。
又,従来、上述したように,半導体デバイス製造用のマスクパターン、あるいは半導体ウエハに形成されたパターンの欠陥検査は、1次電子線で試料表面を照射したときにその試料から放出する2次電子を検出して試料のパターン画像を得、基準画像と比較することにより行っている。そして、このような欠陥検査装置には、1次電子と2次電子を分離するE×B分離器が設けられる。
図52にE×B分離器を有する写像投影型電子線検査装置の一例の概略構成を示す。電子銃721から放出された電子線は、成形開口(図示せず)で矩形に成形され、静電レンズ722で縮小され、E×B分離器723の中心に1.25mm角の成形ビームを形成する。成形ビームは、E×B分離器723で試料Wに垂直になるように偏向され、静電レンズ724で1/5に縮小されて試料Wを照射する。試料Wから放出される2次電子は、試料W上のパターン情報に対応した強度を有しており、静電レンズ724、741で拡大され、検出器761に入射する。検出器761では、入射した2次電子の強度に対応した画像信号を生成し、基準画像と比較することにより、試料の欠陥を検出する。
E×B分離器723は、試料W面の法線(紙面の上方向)に垂直な平面内において、電界と磁界とを直交させた構造となっており、電界、磁界、電子のエネルギー及び速度の関係が、一定条件を満たすときには電子を直進させ、それ以外のときは偏向させるものである。図44の検査装置では、2次電子が直進するように設定される。
図53は、試料W面の1次電子が照射された矩形領域から放出される2次電子の動きをさらに詳細に示したものである。試料面から放出された2次電子は、静電レンズ724で拡大され、E×B分離器723の中心面723aに結像される。E×B分離器723の電界及び磁界が、2次電子が直進するような値に設定されているので、2次電子は、そのまま直進し、静電レンズ741−1、741−2、741−3で拡大され、検出器761内のターゲット761aに結像される。そして、MCP(Multi Channel Plate、図示せず)で増倍され、シンチレータ、CCD(Charge Coupled Device)等(図示せず)により像を形成する。732、733は、2次光学系に設けた開口絞りである。
従来のE×B分離器の概略構成及び発生される電界の分布を図54に示す。2個の平行平板電極723−1、723−2で電界を発生し、2個の磁極723−3、723−4で電界と直交する磁界を発生する。この構成では、磁極723−3、723−4は金属で構成されるため、アース電位となっており、電界がアース側に曲げられる。したがって、電界分布は、図54に示すようなものとなり、平行な電界は、中心の小領域でしか得られない。
このような構成のE×B分離器を、写像投影型電子線検査装置等の欠陥検査装置に用いた場合、精度のよい検査を行うためには電子線の照射領域を大きくできず、検査効率が悪いという問題があった。
そこで、本発明が解決しようとする他の課題は、電界も磁界も強度が一様で互いに直交する領域を、試料に平行な面で大きくでき、しかも、全体の外径を小さくできるE×B分離器を提供することを目的とする。また、このようなE×B分離器を欠陥検査装置に用いることにより、得られる検出画像の収差を減少させ、精度のよい欠陥検査を効率良く行うことを目的とする。
又,上述したように,従来、電子線を用いて半導体ウェーハやフォトマスクのパターン検査をおこなう場合に、半導体ウェーハやフォトマスク等の試料表面に電子線を送りスキャンし、または試料をスキャンし、その試料の表面から生じる二次荷電粒子等を検出し、その検出結果から画像データを作製し、セル毎またはダイ毎のデータを比較することによって欠陥を検査する装置が知られている。
しかしながら、上記従来の欠陥検査装置においては、電子線を照射することによって試料表面が帯電し、この帯電による電荷によって画像データが歪むため、欠陥を正しく検出できない問題があつた。また、このような画像データの歪みが問題になるので電荷による歪みが十分小さくなるようにビーム電流を小さくすると二次電子子信号のS/N比が悪くなり、誤検出の発生確率が増える欠点がある。また、S/N比を改善するため多数回走査して平均化処理等を行うとスループットが低下する問題があった。
そこで、本発明が解決しようとする他の課題は、帯電による画像歪みを生じないようにするか、あるいは画像ひずみが生じてもそれが最小限となるようにし、それによって信頼性の高い欠陥検査が行える装置および該装置を用いたデバイス製造方法を提供することを目的とする。
また、従来、基板の表面に荷電粒子ビームを照射して走査し、その基板の表面から発生する二次荷電粒子を検出してその検出結果から画像データを作成し、ダイ毎のデータと比較することよってその基板に形成された画像の欠陥等を検査する装置は、既に知られている。
しかしながら、上記公報に記載されたものを含め、従来のこの種の撮像装置では、検査対象である基板の表面の電位分布が必ずしも均一になっておらず、撮像した画像のコントラストが十分でなく、歪みを有する問題があった。
そこで、本発明が解決しようとする他の課題は、スループットを低下させることなく欠陥検出の性能を向上した撮像装置を提供することである。
また、本発明が解決しようとする他の課題は、検査対象からの二次電子の検出により得られた画像のコントラストを向上して欠陥検出の性能を向上した撮像装置を提供することである。
また、本発明が解決しようとする別の課題は、検査対象の表面の電位分布を均一化することによってその表面からの二次電子の検出により得られた画像のコントラストを向上して歪みを減少させ、欠陥検出の性能を向上した撮像装置を提供することである。
また、本発明が解決しようとする更に別の課題は、上記のような撮像装置を用いてプロセス途中の試料を評価するデバイスの製造方法を提供することである。 また、従来、半導体ウェーハ等の試料に一次電子を照射することにより発生した二次電子を検出することによって当該試料の欠陥を検査するための欠陥検査装置が、半導体製造プロセス等で利用されている。
例えば、特開平11−132975号には、試料に電子ビームを照射させる電子ビーム照射部、試料表面の形状、材質、電位の変化に応じて発生した二次電子及び反射電子の一次元像及び/又は二次元像を結像させる写像投影光学部、結像された像に基づいて検出信号を出力する電子ビーム検出部、検出信号を与えられて試料表面の電子画像を表示する画像表示部、電子ビーム照射部から照射された電子ビームの試料への入射角度と二次電子及び反射電子の写像投影光学部への取り込み角度を変化させる電子ビーム偏向部と、から構成された欠陥検査装置が開示されている。この欠陥検査装置によれば、実デバイスの試料ウェーハ表面の所定の矩形領域に二次電子ビームを照射する。
しかしながら、実デバイスの試料ウェーハの比較的広い領域に電子ビームを照射する場合、試料表面が二酸化けい素や、窒化けい素といった絶縁体で形成されているため、試料表面への電子ビーム照射と、それに伴う試料表面からの二次電子放出によって試料表面が正にチャージアップされ、この電位が作り出す電場によって二次電子線画像に様々な像障害が起るという問題点があった。
本発明は、上記事実に鑑みなされたもので、試料表面の正のチャージアップを低減し、このチャージアップに伴う像障害を解消することにより、より高精度に試料の欠陥を検査することを可能ならしめる、欠陥検査装置及び欠陥検査方法を提供することを目的とする。
更に、本発明は、半導体デバイスの製造プロセスにおいて、上記のような欠陥検査装置を用いて試料の欠陥検査を行うことにより、デバイス製品の歩留まりの向上及び欠陥製品の出荷防止を図った半導体製造方法を提供することを別の目的とする。
又,従来,半導体ウエハ等の試料表面等に電子ビーム等の荷電ビームを照射することによって、その試料表面上を半導体回路等のパターンで露光し若しくは試料表面上に形成されたパターンを検査する装置、或いは荷電ビームを照射することによって試料に対して超精密加工を施す装置においては、試料を真空中で精度良く位置決めするステージが使用されている。
かかるステージに対して非常に高精度な位置決めが要求される場合には、ステージを静圧軸受けによって非接触支持する構造が採用されている。この場合、静圧軸受けから供給される高圧ガスが直接真空チャンバに排気されないように、高圧ガスを排気する差動排気機構を静圧軸受けの範囲に形成することによって、真空チャンバの真空度を維持している。
かかる従来技術によるステージの一例が図55に示されている。同図の構造において、真空チャンバCを構成するハウジング98に、荷電ビームを発生し試料に照射する荷電ビーム装置の鏡筒71の先端部すなわち荷電ビーム照射部72が取り付けられている。鏡筒内部は真空配管710によって真空排気されており、チャンバCは真空配管911によって真空排気されている。そして、荷電ビームは鏡筒71の先端部72から、その下に置かれたウエハ等の試料Wに対して照射される。
試料Wは試料台94に公知の方法により取り外し可能に保持されており、試料台94はXYステージ(以下単にステージ)93のY方向可動部95の上面に取り付けられている。上記Y方向可動部95には、ステージ93のX方向可動部96のガイド面96aと向かい合う面(図55[A]において左右両面及び下面)に静圧軸受け90が複数取り付けられており、この静圧軸受け90の作用によりガイド面との間に微小隙間を維持しながらY方向(図55[B]で左右方向)に移動できる。さらに静圧軸受けの周りには、静圧軸受けに供給される高圧ガスが真空チャンバCの内部にリークしないように差動排気機構が設けられている。この様子を図56に示す。静圧軸受け90の周囲に二重に溝918と917が構成されており、これらの溝は図示されていない真空配管と真空ポンプにより常時真空排気されている。このような構造により、Y方向可動部95は真空中を非接触状態で支持されY方向に自在に移動することができるようになっている。これらの二重の溝918と917は可動部95の静圧軸受け90が設けられている面にその静圧軸受けを囲むようにして形成されている。なお、静圧軸受けの構造は公知のもので良いので、その詳細な説明は省略する。
このY方向可動部95を搭載しているX方向可動部96は、図55からも明らかなように、上方に開口している凹形の形状を有していて、そのX方向可動部96にもまったく同様の静圧軸受け及び溝が設けられていて、ステージ台97に対して非接触で支持されており、X方向に自在に移動することができる。
これらのY方向可動部95とX方向可動部96の移動を組み合わせることによって、試料Wを鏡筒の先端部すなわち荷電ビーム照射部72に関して水平方向任意の位置に移動させ、試料の所望の位置に荷電ビームを照射することができる。
上記の静圧軸受けと差動排気機構を組み合わせたステージでは、ステージが移動する際に、静圧軸受け90に対向するガイド面96aや97aは、静圧軸受け部の高圧ガス雰囲気とチャンバ内の真空環境の間を往復運動する。この時ガイド面では、高圧ガス雰囲気に曝されている間にガスが吸着し、真空環境に露出されると吸着していたガスが放出されるという状態が繰り返される。このためステージが移動する度に、チャンバC内の真空度が悪化するという現象が起こり、上述した荷電ビームによる露光や検査や加工等の処理が安定に行えなかった、試料が汚染されてしまうという問題があった。
そこで、本発明が解決しようとする他の課題は、真空度の低下を防止して荷電ビームによる検査や加工等の処理を安定して行える荷電ビーム装置を提供することである。
また、本発明が解決しようとする他の課題は、静圧軸受けによる非接触支持機構と差動排気による真空シール機構を有し、荷電ビームの照射領域と静圧軸受けの支持部との間に圧力差を発生させるようにした荷電ビーム装置を提供することである。
本発明が解決しようとする別の課題は、静圧軸受けに面する部品表面から放出するガスを低減した荷電ビーム装置を提供することである。
さらに、本発明が解決しようとする更に別の課題は、上記の荷電ビーム装置を用いて試料表面を検査する欠陥検査装置、或いは試料の表面にパターンを描画する露光装置を提供することである。
またさらに、本発明が解決しようとする更に別の課題は、上記のような荷電ビーム装置を用いて半導体デバイスを製造する半導体製造方法を提供することである。
また、図55に示した上記従来の静圧軸受けと差動排気機構を組み合わせたステージでは、差動排気機構を設けたため、大気中で使用される静圧軸受け式ステージに比べて構造が複雑で大型になり、ステージとしての信頼性が低く、高コストになるという問題があった。
そこで、本発明が解決しようとする他の課題は、XYステージの差動排気機構をなくして構造が簡単でコンパクト化が可能な荷電ビーム装置を提供することである。
本発明が解決しようとする他の課題は、XYステージを収容しているハウジング内を真空排気すると共に該試料面上の荷電ビームが照射される領域を排気する差動排気機構をもうけた荷電ビーム装置を提供することである。
本発明が解決しようとする更に別の課題は、上記の荷電ビーム装置を用いて試料表面を検査する欠陥検査装置、或いは試料の表面にパターンを描画する露光装置を提供することである。
本発明が解決しようとする更に別の課題は、上記のような荷電ビーム装置を用いて半導体デバイスを製造する半導体製造方法を提供することである。
又,上述したように,従来、半導体ウェーハ等の試料に一次電子を照射することにより発生した二次電子を検出することによって当該試料の欠陥を検査するための欠陥検査装置が、半導体製造プロセス等で利用されている。
このような欠陥検査装置には、画像認識技術を応用して欠陥検査の自動化及び効率化を図った技術がある。この技術では、二次電子を検出することによって取得した試料表面の被検査領域のパターン画像データと、予め記憶した試料表面の基準画像データとをコンピュータによってマッチング演算し、その演算結果に基づいて、試料の欠陥の有無を自動的に判定する。
昨今では、特に半導体製造分野においては、パターンの高精細化が進み、微細な欠陥を検出する必要が高まってきている。このような状況下では、上記のような画像認識技術を応用した欠陥検査装置においても、認識精度の更なる向上が求められている。
しかしながら、上記従来技術では、一次電子線を試料表面の被検査領域に照射して取得した二次電子線の画像と、予め用意された基準画像との間に位置ずれが発生し、欠陥検出の精度を低下させるという問題があった。この位置ずれは、一次電子線の照射領域がウェーハに対してずれ、検査パターンの一部が二次電子線の検出画像内から欠落するとき、特に大きな問題となり、単にマッチング領域を検出画像内で最適化する技術だけでは対処できない。これは、特に、高精細パターンの検査では致命的欠点となり得る。
そこで、本発明が解決しようとする他の課題は、被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防止した欠陥検査装置を提供することを目的とする。
更に、本発明は、半導体デバイスの製造プロセスにおいて、上記のような欠陥検査装置を用いて試料の欠陥検査を行うことにより、デバイス製品の歩留まりの向上及び欠陥製品の出荷防止を図った半導体製造方法を提供することを別の目的とする。
発明の概要
本発明は、基本的にSEM方式の欠点である検査速度を向上する方法として、電子線を用いた写像投影方式と呼ばれる方式を利用したものである。以下にその写像投影方式について説明をする。
写像投影方式は試料の観察領域を一次電子線で一括して照射(走査は行わず一定の面積を照射する)、照射された領域からの二次電子をレンズ系により、一括して検出器(マイクロチャンネルプレート+蛍光板)上に電子線の画像として結像させる。それを二次元CCD(固体撮像素子)又はTDI−CCD(ラインイメージセンサー、)により、画像情報を電気信号に変換し、CRT上に出力し又は記憶装置に蓄積する。この画像情報から試料ウェーハ(工程途中の半導体(Si)ウェーハ)の欠陥を検出する。CCDの場合、ステージの移動方向は短軸方向であり(長軸方向でもかまわない)、移動はステップアンドリピート方式である。TDI−CCDの場合のステージ移動は、積算方向に連続移動をする。TDI−CCDでは画像を連続的に取得できるので、欠陥検査を連続で行う場合はTDI−CCDを使用する。分解能は結像光学系(二次光学系)の倍率と精度等できまり、実施例では0.05μmの分解能が得られている。この例において、分解能が0.1μm、電子線照射条件が200μm×50μmの領域に1.6μAのとき、検査時間は20cmのウェーハ1枚当たり1時間程度であり、SEM方式の8倍が得られている。ここで使われているTDI−CCDの仕様は2048画素(ピクセル)×512段でラインレートが3.3μs(ライン周波数300kHz)である。
この例の照射面積はTDI−CCDの仕様に合わせているが、照射対象物によって、照射面積を変更することもある。
この写像方式の課題は▲1▼電子線を一括照射するために、試料表面上でチャージアップしやすいこと、▲2▼本方式で得られる電子線電流に限界が有り(1.6μA程度)検査速度向上の妨げとなっていること、である。
しかして、上記従来の課題を解決するため、本願の第一の発明は、荷電粒子又は電磁波のいづれか一つを検査対象に照射して該検査対象を検査する検査装置において、真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、荷電粒子又は電磁波のいづれか一つをビームとして発生させるビーム発生手段と、該ビームを前記ワーキングチャンバ内に保持した検査対象に導き照射し、検査対象から発生する二次荷電粒子を検出し、画像処理系へ導く電子光学系と、該二次荷電粒子により画像を形成する画像処理系と、該画像処理系の出力に基づき、検査対象の状態情報を表示及び/又は記憶する情報処理系と、前記ビームに対し相対移動可能に検査対象を保持するステージ装置とを具備することを特徴とする。
本願第二の発明は、第一の発明の検出装置において、検査対象を保全し、前記ワーキングチャンバに搬出入する搬出入機構を具備することを特徴とする。
本願の第三の発明は,本願第二の発明の検査装置において、前記搬出入機構は、
清浄気体を前記検査対象に流して前記検査対象への塵埃の付着を阻止するミニエンバイロメント装置と、前記ミニエンバイロメント装置と前記ワーキングチャンバとの間に配置されていて、それぞれ独立して真空雰囲気に制御可能になっている少なくとも二つのローディングチャンバと、前記ミニエンバイロメント装置と前記ローディングチャンバとの間で前記検査対象を移送可能な搬送ユニット及び前記一つのローディングチャンバ内と前記ステージ装置上との間で前記検査対象を移送可能な別の搬送ユニットを有するローダーと、を備え、
前記ワーキングチャンバとローディングチャンバが振動遮断装置を介して支持されていることを特徴とする。
本願の第四の発明は,本願第一の発明の検査装置において、
前記ワーキングチャンバ内の前記ステージ装置上に前記検査対象を供給するローダーと、
前記ワーキングチャンバ内に配置された前記検査対象に荷電粒子を照射して前記検査対象の帯電むらを減少するプレチャージユニット及び前記検査対象に電位を印加する電位印加機構とを備えていることを特徴とする。
本願の第五の発明は,第三の発明の検査装置において、前記ローダーが、それぞれが独立して雰囲気制御可能になっている第1のローディングチャンバ及び第2のローディングチャンバと、前記検査対象を第1のローディングチャンバ内とその外部との間で搬送する第1の搬送ユニットと、前記第2のローディングチャンバに設けられていて前記検査対象を前記第1のローディングチャンバ内と前記ステージ装置上との間で搬送する第2の搬送ユニットとを備えていることを特徴とする。
本願の第六の発明は,第一、第二又は第三の検査装置において、更に、前記電子光学系に対する前記検査対象の位置決めのために前記検査対象の表面を観察してアライメントを制御するアライメント制御装置と、前記ステージ装置上の前記検査対象の座標を検出するレーザ干渉測距装置とを備え、前記アライメント制御装置により検査対象に存在するパターンとを利用して検査対象の座標を決めることを特徴とする。
本願の第七の発明は,第一、第二又は第三の発明の検査装置において、前記検査対象の位置合わせは、前記ミニエンバイロメント空間内で行われる粗位置合わせと、前記ステージ装置上で行われるXY方向の位置合わせ及び回転方向の位置合わせとを含むことを特徴とする。
本願の第八の発明は,第一、第二、第三の発明のいずれかによる検査装置であって,前記電子光学系が,
電界と磁界が直交する場により前記2次荷電粒子を前記検出器方向に偏向するE×B偏向器と、
前記減速電界型対物レンズと前記被検査試料との間に配置され、前記ビームの照射光軸に対して略軸対称の形状であり、前記被検査試料の前記電子線の照射面における電界強度を制御する電極を備えたことを特徴とする。
本願の第九の発明は,第一、第二、第三の発明のいずれかによる検査装置であって,該装置が,荷電粒子と、荷電粒子とは略反対方向に進む二次荷電粒子とが入射され、前記荷電粒子又は二次荷電粒子を選択的に偏向させるE×B分離器であって、電界を発生させるための電極が、3対以上の非磁性導電体電極で構成され、ほぼ円筒を構成するように配置されるE×B分離器を含むことを特徴とする。
本願の第十の発明は,第一、第二、第三の発明のいずれかによる検査装置であって,該装置が、検査直前の被検査領域をあらかじめ荷電粒子により照射する荷電粒子照射部を備えたことを特徴とする。
本願第十一の発明は、第一、第二、第三の発明のいずれかによる検査装置であって,該装置が、前記検査対象に帯電した電荷を均一化若しくは低減化する手段を有することを特徴とする。
本願第十二の発明は、第一、第二、第三の発明のいずれかによる検査装置であって,前記装置が,少なくとも前記検出手段が前記二次荷電粒子像を検出する期間内に、前記荷電粒子より低エネルギーを有する電子を前記試料に供給することを特徴とする。
本願第十三の発明は、第一、第二、第三の発明のいずれかによる検査装置であって,前記ステージがXYステージであり、該XYステージはワーキングチャンバ内に収容されかつ静圧軸受けによりワーキングチャンバに対して非接触で支持されており、
該ステージが収容されたワーキングチャンバは真空排気され、
該荷電粒子ビーム装置の該試料面上に荷電粒子ビームを照射する部分の周囲には、試料面上の該荷電粒子ビームが照射される領域を排気する差動排気機構が設けられたことを特徴とする。
本願の第十四の発明は,第一、第二、第三の発明のいずれかによる検査装置であって,前記装置が,XYステージに試料を載置し、該試料を真空中で任意の位置に移動して試料面に荷電粒子ビームを照射する装置を有し、
該XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、
該試料面上の荷電粒子ビームが照射される箇所と、該XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたことを特徴とする。
本願の第十五の発明は,第一、第二、第三の発明のいずれかによる検査装置であって,
前記試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、
基準画像を記憶する記憶手段と、
前記画像取得手段により取得された複数の被検査領域の画像と、前記記憶手段に記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断手段と、
を含むことを特徴とする。
本願の第十六の発明は,デバイス製造方法において第一乃至第十五発明の検査装置を用いてプロセス途中又はその後のウエハの欠陥を検出することを特徴とする。
本願第1−16の発明によれば、次のような効果を奏することが可能である。
(イ)荷電粒子を用いた写像投影方式の検査装置の全体構成が得られ、高いスループットで検査対象を処理することができる。
(ロ)ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着を防止すると共に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(ハ)ローディングチャンバ及びワーキングチャンバを一体的に振動防止装置を介して支持したので外部の環境に影響されずにステージ装置への検査対象の供給及び検査を行うことができる。
(ニ)プレチャージユニットを設けているので、絶縁物でできたウエハも帯電による影響を受けがたい。
本願の第十七の発明は,荷電粒子を被検査試料に照射するビーム発生装置と、 前記荷電粒子を減速させると共に前記荷電粒子が前記被検査試料に照射することにより発生する2次荷電粒子を加速させる減速電界型対物レンズと、
前記2次荷電粒子を検出する検出器と、
電界と磁界が直交する場により前記2次荷電粒子を前記検出器方向に偏向するE×B偏向器と、
前記減速電界型対物レンズと前記被検査試料との間に配置され、前記荷電粒子の照射光軸に対して略軸対称の形状であり、前記被検査試料の前記荷電粒子の照射面における電界強度を制御する電極を備えたことを特徴とする。
本願の第十八の発明は,第十七の発明の検査装置において,前記被検査試料の種類によって、前記電界強度を制御する為に前記電極に与える電圧を制御することを特徴とする。
本願の第十九の発明は,第十七の発明の検査装置において,前記被検査試料は半導体ウェーハであり、前記電界強度を制御する為に前記電極に与える電圧は、前記半導体ウェーハのビアの有無によって制御することを特徴とする。
本願の第二十の発明は,第十七乃至第十九の発明のいずれかの検査装置を用いたデバイス製造方法であって、
デバイス製造途中において前記検査装置を用いて前記被検査試料であるところの半導体ウェーハの欠陥を検査することを特徴とする。
本願第17−20の発明によれば次の作用効果が得られる。
被検査試料と対物レンズとの間に、荷電粒子の照射軸に対して略軸対称の形状であり、前記被検査試料の前記荷電粒子の照射面における電界強度を制御する電極を備えたので、被検査試料と対物レンズとの間の電界を制御することができる。
また、被検査試料と対物レンズとの間に、荷電粒子の照射軸に対して略軸対称の形状であり、前記被検査試料の前記荷電粒子の照射面における電界強度を弱くする電極を備えたので、被検査試料と対物レンズとの間の放電を無くすることができる。
また、対物レンズへの印加電圧を低下させる等変更していないので、2次荷電粒子を効率的に対物レンズを通過させられるので、検出効率を向上させ、S/N比の良い信号を得ることができる。
また、被検査試料の種類によって、被検査試料の荷電粒子の照射面における電界強度を弱くする為の電圧を制御することができる。
例えば、被検査試料が、対物レンズとの間で放電し易い種類の被検査試料である場合は、電極の電圧を変化させ、被検査試料の荷電粒子の照射面における電界強度をより弱くすることで、放電を防止できる。
また、半導体ウェーハのビアの有無によって、電極に与える電圧を変更する、即ち、半導体ウェーハの電子線の照射面における電界強度を弱くする為の電圧を変更することができる。
例えば、被検査試料が、対物レンズとの間で放電し易い種類の被検査試料である場合は、電極による電界を変化させ、被検査試料の荷電粒子の照射面における電界強度をより弱くすることで、特にビアやビア周辺における放電を防止できる。
また、ビアと対物レンズとの間での放電が防止できるので、半導体ウェーハのパターン等を放電破損することはない。
また、電極に与える電位を被検査試料に与える電荷よりも低くしたので、被検査試料の荷電粒子の照射面における電界強度を弱くすることができ、被検査試料への放電が防止できる。
また、電極に与える電位を負電位とし、被検査試料は接地したので、被検査試料の荷電粒子の照射面における電界強度を弱くすることができ、被検査試料への放電が防止できる。
本願の第二十一の発明は,第1の荷電粒子線と、第1の荷電粒子線とは略反対方向に進む第2の荷電粒子線とが入射され、前記第1の荷電粒子線又は第2の荷電粒子線を選択的に偏向させるE×B分離器であって、
電界を発生させるための電極が、3対以上の非磁性導電体電極で構成され、ほぼ円筒を構成するように配置されることを特徴とする。
本願の第二十二の発明は,第二十一の発明のE×B分離器であって、
磁界を発生させる平行平板磁極を、前記3対以上の非磁性導電体電極が構成する円筒の外側に配置し、かつ前記平行平板電極の対向面周辺部に突起を形成したことを特徴とする。
本願の第二十三の発明は,第二十二の発明のE×B分離器であって、
発生した磁界の磁力線の通路のうち、前記平行平板磁極間以外の通路の大部分は、前記3対以上の非磁性導電体電極が構成する円筒と同軸の円筒形状であることを特徴とする。
本願の第二十四の発明は,第二十二又は第二十三の発明のE×B分離器であって、
前記平行平板磁極は、永久磁石であることを特徴とする。
本願の第二十五の発明は,第二十一乃至第二十四の発明のいずれかのE×B分離器を用いた検査装置であって、
前記第1の荷電粒子線又は第2の荷電粒子線の一方が、被検査試料に照射する1次荷電粒子線であり、他方が、前記1次荷電粒子線の照射により前記試料から発生する2次荷電粒子線であることを特徴とする。
本願第21−25発明によれば次の作用効果が得られる。
光軸の回りに電界、磁界がともに一様な領域を大きくとることができ、荷電粒子の照射範囲を広げても、E×B分離器を通した像の収差を問題のない値にすることができる。
また、磁界を形成する磁極の周辺部に突起を設けるとともに、この磁極を電界発生用電極の外側に設けたので、一様な磁界が発生できるとともに、磁極による電界の歪を小さくできる。また、永久磁石を用いて磁界を発生させているので、E×B分離器全体を真空中に収めることができる。さらに、電界発生用電極及び磁路形成用磁気回路を、光軸を中心軸とする同軸の円筒形状とすることにより、E×B分離器全体を小型化できる。
本願の第二十六の発明は,荷電粒子照射部、レンズ系、偏向器、EXBフィルタ(ウィーンフィルタ)、二次荷電粒子検出器を有し、前記荷電粒子照射部から荷電粒子を前記レンズ系、偏向器、EXBフィルタを介して試料の被検査領域に照射し、試料から生成する二次荷電粒子を前記二次荷電粒子検出器に前記レンズ系、偏向器、EXBフィルタにより結像させ、その電気信号を画像として検査する写像投影型電子線検査装置において、検査直前の被検査領域をあらかじめ荷電粒子により照射する荷電粒子照射部を備えたことを特徴とする。
本願の第二十七の発明は,第二十六の発明の装置において,前記荷電粒子が電子、正または負のイオン、またはプラズマであることを特徴とする。
本願の第二十八の発明は,第二十六または第二十七の発明の装置において,前記荷電粒子のエネルギーが100eV以下であることを特徴とする。
本願の第二十九の発明は,第二十六または第二十七の発明の装置において,前記荷電粒子のエネルギーが30eV以下であることを特徴とする。
本願の第三十の発明は,デバイス製造方法において,第二十六乃至第二十九の発明のいずれかによる検査装置を使用してデバイス製造プロセス途中のパターン検査をおこなうことを特徴とする。
本願第26−30の発明によれば次の作用効果が得られる。
荷電粒子照射による測定の直前処理によって、帯電による測定画像歪みが生じないか、生じてもわずかであるので欠陥を正しく測定できる。
また、従来では使用が問題となつていた量の大電流を流してステージを走査できるので、二次電子も多量に検出され、S/N比の良い検出信号が得られ、欠陥検出の信頼性が向上する。
また、S/N比が大きいので、より早くステージを走査しても良好な画像データを作製でき、検査のスループットを大きくすることができる。
本願の第三十一の発明は、ビーム発生装置から放出された荷電粒子を対象に照射し、対象から放出された二次荷電粒子を検出器を用いて検出し、前記対象の画像情報の収集、対象の欠陥の検査等を行う撮像装置において、前記対象に帯電した電荷を均一化若しくは低減化する手段を有することを特徴とする。
本願の第三十二の発明は、第三十一の発明の撮像装置において、前記手段が、前記ビーム発生装置と前記対象との間に配置されていて、前記帯電した電荷を制御可能な電極を備えることを特徴とする。
本願の第三十三の発明は、第三十一の発明の撮像装置において、前記手段は計測タイミングの空き時間に動作するようになされていることを特徴とする。
本願の第三十四の発明は、第三十一の発明の撮像装置において、複数の荷電粒子ビームを前記対象に照射する少なくとも1以上の一次光学系と、前記対象から放出された電子を少なくとも1以上の検出器に導く少なくとも1以上の二次光学系とを有し、前記複数の一次荷電粒子ビームは、互いに前記二次光学系の距離分解能より離れた位置に照射されることを特徴とする。
本願の第三十五の発明は、デバイス製造方法において、第三十一ないし第三十四の発明の撮像装置を用いてプロセス途中または終了後ののウエハの欠陥を検出する工程を含むことを特徴とする。
本願第31−35の発明によれば、次のような効果を奏することが可能である。
(イ)帯電によって発生する画像の歪みを検査対象の性状によらず低減することができる。
(ロ)従来の計測タイミングの空き時間を利用して帯電の均一化、相殺を実行するため、スループットに何ら影響を及ぼすことがない。
(ハ)リアルタイムで処理が可能であるため、事後処理の時間、メモリー等を必要としない。
(ニ)高速で精度の高い画像の観測、欠陥検出が可能である。
本願の第三十六の発明は、一次荷電粒子を試料に照射可能な荷電粒子照射手段と、一次荷電粒子の照射により試料から放出された二次荷電粒子を写像投影して結像させる写像投影手段と、写像投影手段により結像された像を試料の電子画像として検出する検出手段と、検出手段により検出された電子画像に基づいて、試料の欠陥を判断する欠陥判断手段と、を含み、少なくとも検出手段が電子画像を検出する期間内に、照射した一次荷電粒子より低エネルギーを有する電子を試料に供給することを特徴とする。
第三十七発明では、荷電粒子照射手段が試料に一次荷電粒子を照射し、写像投影手段が一次荷電粒子の照射により試料から放出された二次荷電粒子を写像投影して検出手段に結像させる。二次荷電粒子を放出した試料は、正電位にチャージアップする。検出手段は、結像された像を試料の電子画像として検出し、欠陥判断手段は、検出された電子画像に基づいて当該試料の欠陥を判断する。この場合において、少なくとも検出手段が電子画像を検出する期間内に、照射した一次荷電粒子より低エネルギーを有する電子を試料に供給する。この低エネルギーの電子は、二次荷電粒子の放出によって正にチャージアップした試料を電気的に中和させる。かくして、二次荷電粒子は、試料の正電位により実質的な影響を受けることなく結像され、検出手段は、像障害の軽減された電子画像を検出することができる。
一次荷電粒子より低エネルギーの電子として、例えばUV光電子を使用するのが好ましい。UV光電子とは、紫外線(UV)を含む光線が金属等の物質に照射されることによって光電効果に従い放出された電子をいう。また、荷電粒子照射手段とは別個の低エネルギー電子の生成手段、例えば電子銃等で一次荷電粒子より低エネルギーの電子を生成してもよい。
なお、一次荷電粒子の照射により試料から放出される電子の中には、一次荷電粒子の衝突により試料内部の電子が表面から放出されて生じる二次荷電粒子の他、一次荷電粒子が試料表面から反射されて生じる反射電子も含まれている。当然、本発明の検出手段で検出される電子画像には、このような反射電子による寄与も含まれている。
本願の第三十七の発明は、一次荷電粒子を試料に照射可能な荷電粒子照射手段と、一次荷電粒子の照射により試料から放出された二次荷電粒子を写像投影して結像させる写像投影手段と、写像投影手段により結像された像を試料の電子画像として検出する検出手段と、検出手段により検出された電子画像に基づいて、試料の欠陥を判断する欠陥判断手段と、当該試料にUV光電子を供給可能なUV光電子供給手段を更に含むことを特徴とする。
第三十七の発明では、UV光電子供給手段が(又はUV光電子供給において)、本発明の像障害の軽減という効果を奏することができる限り、任意のタイミング、任意の期間内で低エネルギー電子を試料に供給する。例えば、一次荷電粒子照射の実行前若しくは二次荷電粒子結像の実行前、更には、二次荷電粒子結像後で電子画像検出前のいずれかのタイミングでUV光電子の供給を開始してもよい。また、図24の態様のように、少なくとも二次荷電粒子検出の期間内はUV光電子供給を継続してもよいが、電子画像検出前若しくは検出中であっても十分に試料が電気的に中和されれば、UV光電子を停止してもよい。
本願の第三十八の発明は、試料の欠陥を検査する欠陥検査方法であって、一次荷電粒子を前記試料に照射する工程と、前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影工程と、前記写像投影工程で結像された像を前記試料の電子画像として検出する検出工程と、前記検出工程で検出された前記電子画像に基づいて、前記試料の欠陥を判断する欠陥判断工程と、を含み、少なくとも前記検出工程で前記電子画像を検出する期間内に、前記一次荷電粒子より低エネルギーを有する電子を前記試料に供給することを特徴とする。
本願の第三十九の発明は、試料の欠陥を検査する検査方法であって、
一次荷電粒子を試料に照射する荷電粒子照射工程と、前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影工程と、前記写像投影工程で結像された像を前記試料の電子画像として検出する検出工程と、前記検出工程で検出された電子画像に基づいて、前記試料の欠陥を判断する欠陥判断工程と、を含み、前記試料にUV光電子を供給するUV光電子供給工程を更に含むことを特徴とする。
本願の第四十の発明は、半導体製造方法において、第三十六又は第三十七の発明の検査装置を用いて、半導体デバイスの製造に必要となる試料の欠陥を検査する工程を含むことを特徴とする。
本願第36−40の発明によれば次の作用効果が得られる。
一次荷電粒子より低エネルギーを有する電子を試料に供給するようにしたので、二次荷電粒子放出に伴う試料表面の正のチャージアップが低減され、ひいては、チャージアップに伴う二次荷電粒子の像障害を解消することができ、より高精度に試料の欠陥を検査することが可能となる、という優れた効果が得られる。
更にデバイス製造方法に、上記のような欠陥検査装置を用いて試料の欠陥検査を行えば、製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、という優れた効果が得られる。
本願の第四十一の発明は,XYステージに試料を載置し、該試料を真空中で任意の位置に移動して試料面に荷電粒子ビームを照射する装置において、
該XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、
該試料面上の荷電粒子ビームが照射される箇所と、該XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたことを特徴とする。
本願の第四十二の発明は,第四十一の発明の荷電粒子ビーム装置において、前記仕切りが差動排気構造を内蔵していることを特徴とする。
本願の第四十三の発明は,第四十一又は第四十二の発明の荷電粒子ビーム装置において、前記仕切りがコールドトラップ機能を有していることを特徴とする。
本願の第四十四の発明は,第四十一乃至第四十三の発明のいずれかの荷電粒子ビーム装置において、前記仕切りが、荷電粒子ビーム照射位置の近傍と、静圧軸受け近傍の2カ所に設けられていることを特徴とする。
本願の第四十五の発明は,第四十一乃至第四十四の発明のいずれかの荷電粒子ビーム装置において、前記XYステージの静圧軸受けに供給されるガスが、窒素もしくは不活性ガスであることを特徴とする。
本願の第四十六の発明は,第四十一乃至第四十五の発明のいずれかの荷電粒子ビーム装置において、前記XYステージの、少なくとも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特徴とする。
本願の第四十七の発明は,第四十一乃至第四十六の発明のいずれかの装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ欠陥検査装置を構成したことを特徴とする。
本願の第四十八の発明は,第四十一乃至第四十六の発明のいずれかの装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置を構成したことを特徴とする。
本願の第四十九の発明は,半導体製造方法において,第四十一乃至第四十八の発明の装置を用いて半導体を製造することを特徴とする。
本願第41−49の発明によれば、次のような効果を奏することが可能である。
(イ)ステージ装置が真空内で高精度な位置決め性能を発揮することができ、更に、荷電粒子ビーム照射位置の圧力が上昇しにくい。すなわち、試料に対する荷電粒子ビームによる処理を高精度に行うことができる。
(ロ)静圧軸受け支持部から放出されたガスが仕切りを通過して荷電粒子ビーム照射領域側に通過することがほとんどできない。これによって荷電粒子ビーム照射位置の真空度を更に安定させることができる。
(ハ)荷電粒子ビーム照射領域側に放出ガスが通過することが困難になり、荷電粒子ビーム照射領域の真空度を安定に保ち易くなる。
(ニ)真空チャンバ内が、荷電粒子ビーム照射室、静圧軸受け室及びその中間室の3室に小さいコンダクタンスを介して分割された形になる。そして、それぞれの室の圧力を、低い順に荷電ビーム照射室、中間室、静圧軸受け室となるように真空排気系を構成する。中間室への圧力変動は仕切りによって更に低く抑えられ、荷電粒子ビーム照射室への圧力変動は、もう一段の仕切りによって更に低減され、圧力変動を実質的に問題ないレベルまで低減することが可能となる。
(ホ)ステージが移動した時の圧力上昇を低く抑えることが可能になる。
(ヘ)ステージが移動した時の圧力上昇を更に低く抑えることが可能である。
(ト)ステージの位置決め性能が高精度で、かつ荷電粒子ビームの照射領域の真空度が安定した検査装置を実現することができるので、検査性能が高く、試料を汚染する恐れのない検査装置を提供することができる。
(チ)ステージの位置決め性能が高精度で、かつ荷電粒子ビーム照射領域の真空度が安定した露光装置を実現することができるので、露光精度が高く、試料を汚染する恐れのない露光装置を提供することができる。
(リ)ステージの位置決め性能が高精度で、かつ荷電粒子ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
本願の第五十の発明は,試料の欠陥を検査する検査装置および方法であって、
前記試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、
基準画像を記憶する記憶手段と、
前記画像取得手段により取得された複数の被検査領域の画像と、前記記憶手段に記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断手段と、
を含む、ことを特徴とする。
本願の第五十一の発明は,第五十の発明の検査装置および方法において,一次荷電粒子線を前記複数の被検査領域に各々照射し、前記試料から二次荷電粒子線を放出させる荷電粒子照射手段を更に含み、
前記画像取得手段は、前記複数の被検査領域から放出された二次荷電粒子線を検出することによって該複数の被検査領域の画像を順次取得することを特徴とする。
本願の第五十二の発明は,第五十一の発明の検査装置および方法において,前記荷電粒子照射手段は、
一次荷電粒子を放出する粒子源と、一次荷電粒子を偏向させる偏向手段とを備え、
前記粒子源から放出された一次荷電粒子を前記偏向手段で偏向させることによって、該一次荷電粒子を前記複数の被検査領域に順次照射することを特徴とする。
本願の第五十三の発明は,第五十乃至第五十二の発明の検査装置および方法において,一次荷電粒子線を試料に照射する1次光学系と、
二次荷電粒子を検出器に導く2次光学系とを有することを特徴とする。
本願の第五十四の発明は,半導体製造方法において,第五十乃至第五十三の発明のいずれかの検査装置を用いて、加工中又は完成品のウェーハの欠陥を検査する工程を含むことを特徴とする。
本願第50−54の発明によれば、次の作用効果を奏することができる。
試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得し、これらの被検査領域の画像と基準画像とを比較することによって、試料の欠陥を検査するようにしたので、被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防止できる、という優れた効果が得られる。
更に本発明のデバイス製造方法によれば、上記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、という優れた効果が得られる。
本願の第五十五の発明は、XYステージ上に載置された試料に荷電粒子ビームを照射する装置において、該XYステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、該ステージが収容されたハウジングは真空排気され、該荷電粒子ビーム装置の該試料面上に荷電粒子ビームを照射する部分の周囲には、試料面上の該荷電ビームが照射される領域を排気する差動排気機構が設けられたことを特徴とする。
この発明によれば、真空チャンバ内に漏れ出た静圧軸受け用の高圧ガスは、まず真空チャンバに接続された真空排気用配管によって排気される。そして荷電粒子ビームが照射される領域を排気する差動排気機構を荷電粒子ビームを照射する部分の周囲に設けることによって、荷電粒子ビーム照射領域の圧力を真空チャンバ内の圧力より大幅に減少させ、荷電粒子ビームによる試料への処理が問題なく実施できる真空度を安定して達成することができる。すなわち、大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステージ(差動排気機構を持たない静圧軸受け支持のステージ)を使用して、ステージ上の試料に対して荷電粒子ビームによる処理を安定に行うことができる。
本願の第五十六の発明は、第五十五の発明の荷電粒子ビーム装置において、前記XYステージの静圧軸受けに供給されるガスは窒素もしくは不活性ガスであり、該窒素もしくは不活性ガスは、該ステージを収納するハウジングから排気された後加圧され、再び前記静圧軸受けに供給されることを特徴とする。
この発明によれば、真空のハウジング内の残留ガス成分は高純度の不活性ガスとなるので、試料表面やハウジングにより形成される真空チャンバ内の構造物の表面を水分や油分等で汚染する恐れがない上に、試料表面に不活性ガス分子が吸着しても、差動排気機構或いは荷電粒子ビーム照射領域の高真空部に晒されれば速やかに試料表面から離脱するので、荷電粒子ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり、荷電粒子ビームによる試料への処理を安定化させることができる。
本願の第五十七の発明は、第五十五又は第五十六の発明の装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ欠陥検査装置にある。
これにより、ステージの位置決め性能が高精度で、かつ荷電粒子ビームの照射領域の真空度が安定した検査装置を安価に提供することができる。
本願の五十八の発明は、第五十五又は第五十六の発明の装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置にある。
これにより、ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を安価に提供することができる。
本願の第五十九の発明は、第五十五ないし第五十八の発明の装置を用いて半導体を製造する半導体製造方法にある。
ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。本願第55−59の発明によれば、次の作用効果を奏することができる。
(イ)大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステージ(差動排気機構を持たない静圧軸受け支持のステージ)を使用して、ステージ上の試料に対して荷電粒子ビームによる処理を安定に行うことができる。
(ロ)荷電粒子ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり、荷電粒子ビームによる試料への処理を安定化させることができる。
(ハ)ステージの位置決め性能が高精度で、かつ荷電粒子ビームの照射領域の真空度が安定した検査装置を安価に提供することができる。
(ニ)ステージの位置決め性能が高精度で、かつ荷電粒子ビーム照射領域の真空度が安定した露光装置を安価に提供することができる。
(ホ)ステージの位置決め性能が高精度で、かつ荷電粒子ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
本願第六十の発明は、荷電粒子又は電磁波のいづれか一つを検査対象に照射して該検査対象を検査する検査方法において、
真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、
荷電粒子又は電磁波のいづれか一つをビームとして発生させるビーム発生手段と、
該ビームを前記ワーキングチャンバ内に保持した検査対象に導き照射し、検査対象から発生する二次荷電粒子を検出し、画像処理系へ導く電子光学系と、
該二次荷電粒子により画像を形成する画像処理系と、
該画像処理系の出力に基づき、検査対象の状態情報を表示および/又は記憶する情報処理系と、
前記ビームに対し相対移動可能に検査対象を保持するステージ装置とを備え、
検査対象の位置を測定することで、前記ビームを検査対象上に正確に位置付け、
測定された前記検査対象の所望の位置に前記ビームを偏向させ、
前記検査対象表面の前記所望位置を前記ビームで照射し、
前記検査対象から生じる二次荷電粒子を検出し、
前記二次荷電粒子により画像を形成し、
前記画像処理系の出力に基づき、検査対象の状態情報を表示および/又は記憶することを特徴とする。
発明を実施するための最良の形態
以下、図面を参照して、本発明好ましい実施形態について、検査対象として表面にパターンが形成された基板すなわちウエハを検査する半導体検査装置として説明する。
図1及び図2Aにおいて、本実施形態の半導体検査装置1の主要構成要素が立面及び平面で示されている。
本実施形態の半導体検査装置1は、複数枚のウエハを収納したカセットを保持するカセットホルダ10と、ミニエンバイロメント装置20と、ワーキングチャンバを画成する主ハウジング30と、ミニエンバイロメント装置20と主ハウジング30との間に配置されていて、二つのローディングチャンバを画成するローダハウジング40と、ウエハをカセットホルダ10から主ハウジング30内に配置されたステージ装置50上に装填するローダー60と、真空ハウジングに取り付けられた電子光学装置70と、を備え、それらは図1及び図2Aに示されるような位置関係で配置されている。半導体検査装置1は、更に、真空の主ハウジング30内に配置されたプレチャージユニット81と、ウエハに電位を印加する電位印加機構83(図29に図示)と、電子ビームキャリブレーション機構85(図30に図示)と、ステージ装置上でのウエハの位置決めを行うためのアライメント制御装置87を構成する光学顕微鏡871とを備えている。
カセットホルダ
カセットホルダ10は、複数枚(例えば25枚)のウエハが上下方向に平行に並べられた状態で収納されたカセットc(例えば、アシスト社製のSMIF、FOUPのようなクローズドカセット)を複数個(この実施形態では2個)保持するようになっている。このカセットホルダとしては、カセットをロボット等により搬送してきて自動的にカセットホルダ10に装填する場合にはそれに適した構造のものを、また人手により装填する場合にはそれに適したオープンカセット構造のものをそれぞれ任意に選択して設置できるようになっている。カセットホルダ10は、この実施形態では、自動的にカセットcが装填される形式であり、例えば昇降テーブル11と、その昇降テール11を上下移動させる昇降機構12とを備え、カセットcは昇降テーブル上に図2Aで鎖線図示の状態で自動的にセット可能になっていて、セット後、図2Aで実線図示の状態に自動的に回転されてミニエンバイロメント装置内の第1の搬送ユニットの回動軸線に向けられる。また、昇降テーブル11は図1で鎖線図示の状態に降下される。このように、自動的に装填する場合に使用するカセットホルダ、或いは人手により装填する場合に使用するカセットホルダはいずれも公知の構造のものを適宜使用すれば良いので、その構造及び機能の詳細な説明は省略する。
別の実施の態様では、図2Bに示すように、複数の300mm基板を箱本体501の内側に固定した溝型ポケット(記載せず)に収納した状態で収容し、搬送、保管等を行うものである。この基板搬送箱24は、角筒状の箱本体501と基板搬出入ドア自動開閉装置に連絡されて箱本体501の側面の開口部を機械により開閉可能な基板搬出入ドア502と、開口部と反対側に位置し、フィルタ類およびファンモータの着脱を行うための開口部を覆う蓋体503と、基板Wを保持するための溝型ポケット(図示せず)、ULPAフィルタ505、ケミカルフィルタ506、ファンモータ507とから構成されている。この実施の態様では、ローダー60のロボット式の第1の搬送ユニット612により、基板を出し入れする。
なお、カセットc内に収納される基板すなわちウエハは、検査を受けるウエハであり、そのような検査は、半導体製造工程中でウエハを処理するプロセスの後、若しくはプロセスの途中で行われる。具体的には、成膜工程、CMP、イオン注入等を受けた基板すなわちウエハ、表面に配線パターンが形成されたウエハ、又は配線パターンが未だに形成されていないウエハが、カセット内に収納される。カセットc内に収容されるウエハは多数枚上下方向に隔ててかつ平行に並べて配置されているため、任意の位置のウエハと後述する第1の搬送ユニットで保持できるように、第1の搬送ユニットのアームを上下移動できるようになっている。
ミニエンバイロメント装置
図1ないし図3において、ミニエンバイロメント装置20は、雰囲気制御されるようになっているミニエンバイロメント空間21を画成するハウジング22と、ミニエンバイロメント空間21内で清浄空気のような気体を循環して雰囲気制御するための気体循環装置23と、ミニエンバイロメント空間21内に供給された空気の一部を回収して排出する排出装置24と、ミニエンバイロメント空間21内に配設されていて検査対象としての基板すなわちウエハを粗位置決めするプリアライナー25とを備えている。
ハウジング22は、頂壁221、底壁222及び四周を囲む周壁223を有していてい、ミニエンバイロメント空間21を外部から遮断する構造になっている。ミニエンバイロメント空間を雰囲気制御するために、気体循環装置23は、図3に示されるように、ミニエンバイロメント空間21内において、頂壁221に取り付けられていて、気体(この実施形態では空気)を清浄にして一つ又はそれ以上の気体吹き出し口(図示せず)を通して清浄空気を真下に向かって層流状に流す気体供給ユニット231と、ミニエンバイロメント空間内において底壁222の上に配置されていて、底に向かって流れ下った空気を回収する回収ダクト232と、回収ダクト232と気体供給ユニット231とを接続して回収された空気を気体供給ユニット231に戻す導管233とを備えている。この実施形態では、気体供給ユニット231は供給する空気の約20%をハウジング22の外部から取り入れて清浄にするようになっているが、この外部から取り入れられる気体の割合は任意に選択可能である。気体供給ユニット231は、清浄空気をつくりだすための公知の構造のHEPA若しくはULPAフィルタを備えている。清浄空気の層流状の下方向の流れすなわちダウンフローは、主に、ミニエンバイロメント空間21内に配置された後述する第1の搬送ユニットによる搬送面を通して流れるように供給され、搬送ユニットにより発生する虞のある塵埃がウエハに付着するのを防止するようになっている。したがって、ダウンフローの噴出口は必ずしも図示のように頂壁に近い位置である必要はなく、搬送ユニットによる搬送面より上側にあればよい。また、ミニエンバイロメント空間全面に亘って流す必要もない。なお、場合によっては、清浄空気としてイオン風を使用することによって清浄度を確保することができる。また、ミニエンバイロメント空間内には清浄度を観察するためのセンサを設け、清浄度が悪化したときに装置をシャットダウンすることもできる。ハウジング22の周壁223のうちカセットホルダ10に隣接する部分には出入り口225が形成されている。出入り口225近傍には公知の構造のシャッタ装置を設けて出入り口225をミニエンバイロメント装置側から閉じるようにしてもよい。ウエハ近傍でつくる層流のダウンフローは、例えば0.3ないし0.4m/secの流速でよい。気体供給ユニットはミニエンバイロメント空間内でなくその外側に設けてもよい。
排出装置24は、前記搬送ユニットのウエハ搬送面より下側の位置で搬送ユニットの下部に配置された吸入ダクト241と、ハウジング22の外側に配置されたブロワー242と、吸入ダクト241とブロワー242とを接続する導管243と、を備えている。この排出装置24は、搬送ユニットの周囲を流れ下り搬送ユニットにより発生する可能性のある塵埃を含んだ気体を、吸入ダクト241により吸引し、導管243、244及びブロワー242を介してハウジング22の外側に排出する。この場合、ハウジング22の近くに引かれた排気管(図示せず)内に排出してもよい。
ミニエンバイロメント空間21内に配置されたアライナー25は、ウエハに形成されたオリエンテーションフラット(円形のウエハの外周に形成された平坦部分を言い、以下においてオリフラと呼ぶ)や、ウエハの外周縁に形成された一つ又はそれ以上のV型の切欠きすなわちノッチを光学的に或いは機械的に検出してウエハの軸線O−Oの周りの回転方向の位置を約±1度の精度で予め位置決めしておくようになっている。プリアライナーは請求項に記載された発明の検査対象の座標を決める機構の一部を構成し、検査対象の粗位置決めを担当する。このプリアライナー自体は公知の構造のものでよいので、その構造、動作の説明は省略する。
なお、図示しないが、プリアライナーの下部にも排出装置用の回収ダクトを設けて、プリアライナーから排出された塵埃を含んだ空気を外部に排出するようにしてもよい。
主ハウジング
図1及び図2において、ワーキングチャンバ31を画成する主ハウジング30は、ハウジング本体32を備え、そのハウジング本体32は、台フレーム36上に配置された振動遮断装置すなわち防振装置37の上に載せられたハウジング支持装置33によって支持されている。ハウジング支持装置33は矩形に組まれたフレーム構造体331を備えている。ハウジング本体32はフレーム構造体331上に配設固定されていて、フレーム構造体上に載せられた底壁321と、頂壁322と、底壁321及び頂壁322に接続されて四周を囲む周壁323とを備えていてワーキングチャンバ31を外部から隔離している。底壁321は、この実施形態では、上に載置されるステージ装置等の機器による加重で歪みの発生しないように比較的肉厚の厚い鋼板で構成されているが、その他の構造にしてもよい。この実施形態において、ハウジング本体及びハウジング支持装置33は、剛構造に組み立てられていて、台フレーム36が設置されている床からの振動がこの剛構造に伝達されるのを防振装置37で阻止するようになっている。ハウジング本体32の周壁323のうち後述するローダハウジングに隣接する周壁にはウエハ出し入れ用の出入り口325が形成されている。
なお、防振装置は、空気バネ、磁気軸受け等を有するアクティブ式のものでも、或いはこれらを有するパッシブ式のもよい。いずれも公知の構造のものでよいので、それ自体の構造及び機能の説明は省略する。ワーキングチャンバ31は公知の構造の真空装置(図示せず)により真空雰囲気に保たれるようになっている。台フレーム36の下には装置全体の動作を制御する制御装置2が配置されている。
ローダハウジング
図1、図2及び図4において、ローダハウジング40は、第1のローディングチャンバ41と第2のローディングチャンバ42とを画成するハウジング本体43を備えている。ハウジング本体43は底壁431と、頂壁432と、四周を囲む周壁433と、第1のローディングチャンバ41と第2のローディングチャンバ42とを仕切る仕切壁434とを有していて、両ローディングチャンバを外部から隔離できるようになっている。仕切壁434には両ローディングチャンバ間でウエハのやり取りを行うための開口すなわち出入り口435が形成されている。また、周壁433のミニエンバイロメント装置及び主ハウジングに隣接した部分には出入り口436及び437が形成されている。このローダハウジング40のハウジング本体43は、ハウジング支持装置33のフレーム構造体331上に載置されてそれによって支持されている。したがって、このローダハウジング40にも床の振動が伝達されないようになっている。ローダハウジング40の出入り口436とミニエンバイロメント装置のハウジング22の出入り口226とは整合されていて、そこにはミニエンバイロメント空間21と第1のローディングチャンバ41との連通を選択的に阻止するシャッタ装置27が設けられている。シャッタ装置27は、出入り口226及び436の周囲を囲んで側壁433と密に接触して固定されたシール材271、シール材271と協働して出入り口を介しての空気の流通を阻止する扉272と、その扉を動かす駆動装置273とを有している。また、ローダハウジング40の出入り口437とハウジング本体32の出入り口325とは整合されていて、そこには第2のローディングチャンバ42とワーキンググチャンバ31との連通を選択的に密封阻止するシャッタ装置45が設けられている。シャッタ装置45は、出入り口437及び325の周囲を囲んで側壁433及び323と密に接触してそれらに固定されたシール材451、シール材451と協働して出入り口を介しての空気の流通を阻止する扉452と、その扉を動かす駆動装置453とを有している。更に、仕切壁434に形成された開口には、扉461によりそれを閉じて第1及び第2のローディングチャンバ間の連通を選択的に密封阻止するシャッタ装置46が設けられている。これらのシャッタ装置27、45及び46は、閉じ状態にあるとき各チャンバを気密シールできるようになっている。これらのシャッタ装置は公知のものでよいので、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20のハウジング22の支持方法とローダハウジングの支持方法が異なり、ミニエンバイロメント装置を介して床からの振動がローダハウジング40、主ハウジング30に伝達されるのを防止するために、ハウジング22とローダハウジング40との間には出入り口の周囲を気密に囲むように防振用のクッション材を配置しておけば良い。
第1のローディングチャンバ41内には、複数(この実施形態では2枚)のウエハを上下に隔てて水平の状態で支持するウエハラック47が配設されている。ウエハラック47は、図5の示されるように、矩形の基板471の四隅に互いに隔てて直立状態で固定された支柱472を備え、各支柱472にはそれぞれ2段の支持部473及び474が形成され、その支持部の上にウエハWの周縁を載せて保持するようになっている。そして後述する第1及び第2の搬送ユニットのアームの先端を隣接する支柱間からウエハに接近させてアームによりウエハを把持するようになっている。
ローディングチャンバ41及び42は、図示しない真空ポンプを含む公知の構造の真空排気装置(図示せず)によって高真空状態(真空度としては10−5〜10−6Pa)に雰囲気制御され得るようになっている。この場合、第1のローディングチャンバ41を低真空チャンバとして低真空雰囲気に保ち、第2のローディングチャンバ42を高真空チャンバとして高真空雰囲気に保ち、ウエハの汚染防止を効果的に行うこともできる。このような構造を採用することによってローディングチャンバ内に収容されていて次に欠陥検査されるウエハをワーキングチャンバ内に遅滞なく搬送することができる。このようなローディングチャンバを採用することによって、後述するマルチビーム型電子装置原理と共に、欠陥検査のスループットを向上させ、更に保管状態が高真空状態であることを要求される電子源周辺の真空度を可能な限り高真空度状態にすることができる。
第1及び第2のローディングチャンバ41及び42は、それぞれ真空排気配管と不活性ガス(例えば乾燥純窒素)用のベント配管(それぞれ図示せず)が接続されている。これによって、各ローディングチャンバ内の大気圧状態は不活性ガスベント(不活性ガスを注入して不活性ガス以外の酸素ガス等が表面に付着するのを防止する)によって達成される。このような不活性ガスベントを行う装置自体は公知の構造のものでよいので、その詳細な説明は省略する。
なお、電子線を使用する本発明の検査装置において、後述する電子光学系の電子源として使用される代表的な六硼化ランタン(LaB)等は一度熱電子を放出する程度まで高温状態に加熱された場合には、酸素等に可能な限り接触させないことがその寿命を縮めないために肝要であるが、電子光学系が配置されているワーキングチャンバにウエハを搬入する前段階で上記のような雰囲気制御を行うことにより、より確実に実行できる。
ステージ装置
ステージ装置50は、主ハウジング30の底壁321上に配置された固定テーブル51と、固定テーブル上でY方向(図1において紙面に垂直の方向)に移動するYテーブル52と、Yテーブル上でX方向(図1において左右方向)に移動するXテーブル53と、Xテーブル上で回転可能な回転テーブル54と、回転テーブル54上に配置されたホルダ55とを備えている。そのホルダ55のウエハ載置面551上にウエハを解放可能に保持する。ホルダは、ウエハを機械的に或いは静電チャック方式で解放可能に把持できる公知の構造のものでよい。ステージ装置50は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用いて、上記のような複数のテーブルを動作させることにより、載置面551上でホルダに保持されたウエハを電子光学装置から照射される電子ビームに対してX方向、Y方向及びZ方向(図1において上下方向)に、更にウエハの支持面に鉛直な軸線の回り方向(θ方向)に高い精度で位置決めできるようになっている。なお、Z方向の位置決めは、例えばホルダ上の載置面の位置をZ方向に微調整可能にしておけばよい。この場合、載置面の基準位置を微細径レーザによる位置測定装置(干渉計の原理を使用したレーザ干渉測距装置)によって検知し、その位置を図示しないフィードバック回路によって制御したり、それと共に或いはそれに代えてウエハのノッチ或いはオリフラの位置を測定してウエハの電子ビームに対する平面位置、回転位置を検知し、回転テーブルを微小角度制御可能なステッピングモータなどにより回転させて制御する。ワーキングチャンバ内での塵埃の発生を極力防止するために、ステージ装置用のサーボモータ521、531及びエンコーダ522、532は、主ハウジング30の外側に配置されている。なお、ステージ装置50は、例えばステッパー等で使用されている公知の構造のもので良いので、その構造及び動作の詳細な説明は省略する。また、上記レーザ干渉測距装置も公知の構造のものでよいので、その構造、動作の詳細な説明は省略する。
電子ビームに対するウエハの回転位置やX、Y位置を予め後述する信号検出系或いは画像処理系に入力することで得られる信号の基準化を図ることもできる。更に、このホルダに設けられたウエハチャック機構は、ウエハをチャックするための電圧を静電チャックの電極に与えられるようになっていて、ウエハの外周部の3点(好ましくは周方向に等隔に隔てられた)を押さえて位置決めするようになっている。ウエハチャック機構は、二つの固定位置決めピンと、一つの押圧式クランクピンとを備えている。クランプピンは、自動チャック及び自動リリースを実現できるようになっており、かつ電圧印加の導通箇所を構成している。
なお、この実施形態では図2で左右方向に移動するテーブルをXテーブルとし、上下方向に移動するテーブルをYテーブルとしたが、同図で左右方向に移動するテーブルをYテーブルとし、上下方向に移動するテーブルをXテーブルとしてもよい。
ローダー
ローダー60は、ミニエンバイロメント装置20のハウジング22内に配置されたロボット式の第1の搬送ユニット61と、第2のローディングチャンバ42内に配置されたロボット式の第2の搬送ユニット63とを備えている。
第1の搬送ユニット61は、駆動部611に関して軸線O−Oの回りで回転可能になっている多節のアーム612を有している。多節のアームとしては任意の構造のものを使用できるが、この実施形態では、互いに回動可能に取り付けられた三つの部分を有している。第1の搬送ユニット61のアーム612の一つの部分すなわち最も駆動部611側の第1の部分は、駆動部611内に設けられた公知の構造の駆動機構(図示せず)により回転可能な軸613に取り付けられている。アーム612は、軸613により軸線O−Oの回りで回動できると共に、部分間の相対回転により全体として軸線O−Oに関して半径方向に伸縮可能になっている。アーム612の軸613から最も離れた第3の部分の先端には、には公知の構造の機械式チャック又は静電チャック等のウエハを把持する把持装置616が設けられている。駆動部611は、公知の構造の昇降機構615により上下方向に移動可能になっている。
この第1の搬送ユニット61は、アーム612がカセットホルダに保持された二つのカセットcの内いずれか一方の方向M1又はM2に向かってアームが伸び、カセットc内に収容されたウエハを1枚アームの上に載せ或いはアームの先端に取り付けたチャック(図示せず)により把持して取り出す。その後アームが縮み(図2に示すような状態)、アームがプリアライナー25の方向M3に向かって伸長できる位置まで回転してその位置で停止する。するとアームが再び伸びてアームに保持されたウエハをプリアライナー25に載せる。プリアライナーから前記と逆にしてウエハを受け取った後はアームは更に回転し第2のローディングチャンバ41に向かって伸長できる位置(向きM4)で停止し、第2のローディングチャンバ41内のウエハ受け47にウエハを受け渡す。なお、機械的にウエハを把持する場合にはウエハの周縁部(周縁から約5mmの範囲)を把持する。これはウエハには周縁部を除いて全面にデバイス(回路配線)が形成されており、この部分を把持するとデバイスの破壊、欠陥の発生を生じさせるからである。
第2の搬送ユニット63も第1の搬送ユニットと構造が基本的に同じであり、ウエハの搬送をウエハラック47とステージ装置の載置面上との間で行う点でのみ相違するだけであるから、詳細な説明は省略する。
上記ローダー60では、第1及び第2の搬送ユニット61及び63は、カセットホルダに保持されたカセットからワーキングチャンバ31内に配置されたステージ装置50上への及びその逆のウエハの搬送をほぼ水平状態に保ったままで行い、搬送ユニットのアームが上下動するのは、単に、ウエハのカセットからの取り出し及びそれへの挿入、ウエハのウエハラックへの載置及びそこからの取り出し及びウエハのステージ装置への載置及びそこからの取り出しのときるだけである。したがって、大型のウエハ、例えば直径30cmのウエハの移動もスムースに行うことができる。
ウエハの搬送
次にカセットホルダに支持されたカセットcからワーキングチャンバ31内に配置されたステージ装置50までへのウエハの搬送を順を追って説明する。
カセットホルダ10は、前述のように人手によりカセットをセットする場合にはそれに適した構造のものが、また自動的にカセットをセットする場合にはそれに適した構造のものが使用される。この実施形態において、カセットcがカセットホルダ10の昇降テーブル11の上にセットされると、昇降テーブル11は昇降機構12によって降下されカセットcが出入り口225に整合される。
カセットが出入り口225に整合されると、カセットに設けられたカバー(図示せず)が開きまたカセットcとミニエンバイロメントの出入り口225との間には筒状の覆いが配置されてカセット内及びミニエンバイロメント空間内を外部から遮断する。これらの構造は公知のものであるから、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置20側に出入り口225を開閉するシャッタ装置が設けられている場合にはそのシャッタ装置が動作して出入り口225を開く。
一方第1の搬送ユニット61のアーム612は方向M1又はM2のいずれかに向いた状態(この説明ではM1の方向)で停止しており、出入り口225が開くとアームが伸びて先端でカセット内に収容されているウエハのうち1枚を受け取る。なお、アームと、カセットから取り出されるべきウエハとの上下方向の位置調整は、この実施形態では第1の搬送ユニット61の駆動部611及びアーム612の上下移動で行うが、カセットホルダの昇降テーブルの上下動行っても或いはその両者で行ってもよい。
アーム612によるウエハの受け取りが完了すると、アームは縮み、シャッタ装置を動作して出入り口を閉じ(シャッタ装置がある場合)、次にアーム612は軸線O−Oの回りで回動して方向M3に向けて伸長できる状態になる。すると、アームは伸びて先端に載せられ或いはチャックで把持されたウエハをプリアライナー25の上に載せ、そのプリアライナーによってウエハの回転方向の向き(ウエハ平面に垂直な中心軸線の回りの向き)を所定の範囲内に位置決めする。位置決めが完了すると搬送ユニット61はアームの先端にプリアライナー25からウエハを受け取ったのちアームを縮ませ、方向M4に向けてアームを伸長できる姿勢になる。するとシャッタ装置27の扉272が動いて出入り口226及び436を開き、アーム612が伸びてウエハを第1のローディングチャンバ41内のウエハラック47の上段側又は下段側に載せる。なお、前記のようにシャッタ装置27が開いてウエハラック47にウエハが受け渡される前に、仕切壁434に形成された開口435はシャッタ装置46の扉461により気密状態で閉じられている。
上記第1の搬送ユニットによるウエハの搬送過程において、ミニエンバイロメント装置のハウジングの上に設けられた気体供給ユニット231からは清浄空気が層流状に流れ(ダウンフローとして)、搬送途中で塵埃がウエハの上面に付着するのを防止する。搬送ユニット周辺の空気の一部(この実施形態では供給ユニットから供給される空気の約20%で主に汚れた空気)は排出装置24の吸入ダクト241から吸引されてハウジング外に排出される。残りの空気はハウジングの底部に設けられた回収ダクト232を介して回収され再び気体供給ユニット231に戻される。
ローダハウジング40の第1のローディングチャンバ41内のウエハラック47内に第1の搬送ユニット61によりウエハが載せられると、シャッタ装置27が閉じて、ローディングチャンバ41内を密閉する。すると、第1のローディングチャンバ41内には不活性ガスが充填されて空気が追い出された後、その不活性ガスも排出されてそのローディングチャンバ41内は真空雰囲気にされる。この第1のローディングチャンバの真空雰囲気は低真空度でよい。ローディングチャンバ41内の真空度がある程度得られると、シャッタ装置46が動作して扉461で密閉していた出入り口434を開き、第2の搬送ユニット63のアーム632が伸びて先端の把持装置でウエハ受け47から1枚のウエハを受け取る(先端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウエハの受け取りが完了するとアームが縮み、シャッタ装置46が再び動作して扉461で出入り口435を閉じる。なお、シャッタ装置46が開く前にアーム632は予めウエハラック47の方向N1に向けて伸長できる姿勢になる。また、前記のようにシャッタ装置46が開く前にシャッタ装置45の扉452で出入り口437、325を閉じていて、第2のローディングチャンバ42内とワーキングチャンバ31内との連通を気密状態で阻止しており、第2のローディングチャンバ42内は真空排気される。
シャッタ装置46が出入り口435を閉じると、第2のローディングチャンバ内は再度真空排気され、第1のローディングチャンバ内よりも高真空度で真空にされる。その間に、第2の搬送ユニット61のアームはワーキングチャンバ31内のステージ装置50の方向に向いて伸長できる位置に回転される。一方ワーキングチャンバ31内のステージ装置では、Yテーブル52が、Xテーブル53の中心線X−Xが第2の搬送ユニット63の回動軸線O−Oを通るX軸線X−Xとほぼ一致する位置まで、図2で上方に移動し、また、Xテーブル53は図2で最も左側の位置に接近する位置まで移動し、この状態で待機している。第2のローディングチャンバがワーキングチャンバの真空状態と略同じになると、シャッタ装置45の扉452が動いて出入り口437、325を開き、アームが伸びてウエハを保持したアームの先端がワーキングチャンバ31内のステージ装置に接近する。そしてステージ装置50の載置面551上にウエハを載置する。ウエハの載置が完了するとアームが縮み、シャッタ装置45が出入り口437、325を閉じる。
以上は、カセットc内のウエハをステージ装置上に搬送するまでの動作に付いて説明したが、ステージ装置に載せられて処理が完了したウエハをステージ装置からカセットc内に戻すには前述と逆の動作を行って戻す。また、ウエハラック47に複数のウエハを載置しておくため、第2の搬送ユニットでウエハラックとステージ装置との間でウエハの搬送を行う間に、第1の搬送ユニットでカセットとウエハラックとの間でウエハの搬送を行うことができ、検査処理を効率良く行うことができる。
具体的には、第2の搬送ユニットのウェーハラック47に、既に処理済のウェーハAと未処理のウェーハBがある場合、
▲1▼ まず、ステージ装置50に未処理のウェーハBを移動し、処理を開始する。
▲2▼ この処理中に、処理済ウェーハAを、アームによりステージ装置50からウェーハラック47に移動し、未処理のウェーハCを同じくアームによりウェーハラックから抜き出し、プリアライナで位置決めした後、ローディングチャンバ41のウェーハラック47に移動する。
このようにすることで、ウェーハラック47の中は、ウェーハBを処理中に、処理済のウェーハAが未処理のウェーハCに置き換えることができる。
また、検査や評価を行うこのような装置の利用の仕方によっては、ステージ装置50を複数台並列に置き、それぞれの装置に一つのウェーハラック47からウェーハを移動することで、複数枚のウェーハを同じ処理することもできる。
図6において、主ハウジングの支持方法の変形例がで示されている。図6に示された変形例では、ハウジング支持装置33aを厚肉で矩形の鋼板331aで構成し、その鋼板の上にハウジング本体32aが載せられている。したがって、ハウジング本体32aの底壁321aは、前記実施形態の底壁に比較して薄い構造になっている。図7に示された変形例では、ハウジング支持装置33bのフレーム構造体336bによりハウジング本体32b及びローダハウジング40bを吊り下げて状態で支持するようになっている。フレーム構造体336bに固定された複数の縦フレーム337bの下端は、ハウジング本体32bの底壁321bの四隅に固定され、その底壁により周壁及び頂壁を支持するようになっている。そして防振装置37bは、フレーム構造体336bと台フレーム36bとの間に配置されている。また、ローダハウジング40もフレーム構造体336に固定された吊り下げ部材49bによって吊り下げられている。ハウジング本体32bのこの図7に示された変形例では、吊り下げ式に支えるので主ハウジング及びその中に設けられた各種機器全体の低重心化が可能である。上記変形例を含めた主ハウジング及びローダハウジングの支持方法では主ハウジング及びローダハウジングに床からの振動が伝わらないようになっている。
図示しない別の変形例では、主ハウジングのハウジング本外のみがハウジング支持装置によって下から支えられ、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。また、図示しない更に別の変形例では、主ハウジングのハウジング本体のみがフレーム構造体に吊り下げ式で支持され、ローダハウジングは隣接するミニエンバイロメント装置と同じ方法で床上に配置され得る。
上記の実施例によれば、次のような効果を奏することが可能である。
(イ)電子線を用いた写像投影方式の検査装置の全体構成が得られ、高いスループットで検査対象を処理することができる。
(ロ)ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着を防止すると共に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(ハ)ローディングチャンバ及びワーキングチャンバを一体的に振動防止装置を介して支持したので外部の環境に影響されずにステージ装置への検査対象の供給及び検査を行うことができる。
電子光学装置
電子光学装置70は、ハウジング本体32に固定された鏡筒71を備え、その中には、図8に概略図示するような、一次電子光学系(以下単に一次光学系)72と、二次電子光学系(以下単に二次光学系)74とを備える電子光学系と、検出系76とが設けられている。一次光学系72は、電子線を検査対象であるウエハWの表面に照射する光学系で、電子線を放出する電子銃721と、電子銃721から放出された一次電子線を集束する静電レンズからなるレンズ系722と、ウイーンフィルタすなわちE×B分離器723と、対物レンズ系724と、を備え、それらは、図8に示されるように電子銃721を最上部にして順に配置されている。この実施形態の対物レンズ系724を構成するレンズは減速電界型対物レンズである。この実施形態では、電子銃721から放出される一次電子線の光軸は、検査対象であるウエハWに照射される照射光軸(ウエハの表面に垂直になっている)に関して斜めになっている。対物レンズ系724と検査対象であるウエハWとの間には電極725が配置されている。この電極725は一次電子線の照射光軸に関して軸対称の形状になっていて、電源726によって電圧制御されるようになっている。
二次光学系74は、E×B型偏向器724により一次光学系から分離された二次電子を通す静電レンズから成るレンズ系741を備えている。このレンズ系741は二次電子像を拡大する拡大レンズとして機能する。
検出系76は、レンズ系741の結像面に配置された検出器761及び画像処理部763を備えている。
電子銃(電子線源)
電子線源として熱電子線源を使用している。電子放出(エミッタ)材はLである。高融点(高温での蒸気圧が低い)で仕事関数の小さい材料であれば、他の材料を使用することが可能である。先端を円錐形状にしたもの又は円錐の先端を切り落とした円錐台形状のものを使用している。円錐台先端の直径は100μm程度である。他の方式としては電界放出型の電子線源あるいは熱電界放出型のものが使用されているが、本発明の場合のように比較的広い領域(例えば100×25〜400×100μm)を大きな電流(1μA程度)で照射する場合はLを用いた熱電子源が最適である。(SEM方式では一般に熱電界電子線源が使用されている)。尚、熱電子線源は電子放出材を加熱することにより電子を放出する方式であり、熱電界放出電子線源とは、電子放出材に高電界をかけることにより電子を放出させ、更に電子線放出部を加熱することにより、電子放出を安定させた方式である。
一次電子光学系
電子銃より照射される電子ビームを形成し、ウエハ面上に矩形、又は円形(楕円)電子ビームを照射する部分で一次電子光学系と呼ぶ。一次電子光学系のレンズ条件を制御する事によりビームサイズや電流密度を制御できる。また、一次/二次電子光学系連結部のE×B(ウィーンフィルター)フィルターにより、一次電子ビームはウエハに垂直に入射される。
カソードから放出した熱電子を、ウェーネルト、トリプルアノードレンズでガン絞り上にクロスオーバー像として結像させる。照明視野絞りでレンズへの入射角を適正化した電子ビームを一次系静電レンズを制御することによって、回転非対称の形でNA絞り上に結像させ、その後ウエハ面上に面照射する。一次系静電レンズの後段は3段4極子(QL)と、1段の開口収差補正用電極で構成されている。4極子レンズはアライメント精度が厳しいといった制約はあるが、回転対称レンズに比べ強い収束作用を持つ特徴があり、回転対称レンズの球面収差に相当する開口収差を開口収差補正電極に適切な電圧を印加するとで補正を行うことができる。これにより、所定の領域に均一な面ビームを照射出来る。
二次電子光学系
ウエハ上に照射された電子ビームにより発生する二次元の二次電子画像を、対物レンズに相当する静電レンズ(CL、TL)により視野絞り位置で結像させ後段のレンズ(PL)で拡大投影する。この結像投影光学系を二次電子光学系と呼ぶ。
このとき、ウエハにはマイナスのバイアス電圧(減速電界電圧)が印加されている。減速電界は照射ビームに対して減速の効果を持ち、試料のダメージを低減させるとともに、CLとウエハ間の電位差で試料面上から発生した二次電子を加速させ、色収差を低減させる効果を持つ。CLによって収束した電子はTLでFA上に結像させ、その像をPLで拡大投影し、二次電子検出器(MCP)上に結像させる。本光学系ではCL−TL間にNAを配置し、これを最適化することで軸外収差低減が可能な光学系を構成している。
また、電子光学系の製造上の誤差や、E×Bフィルター(ウィーンフィルター)を通過することによって発生する像の非点収差や異方性倍率を補正するため、静電8極子(STIG)を配置し補正を行い、軸ズレに対しては各レンズ間に配置した偏向器(OP)で補正を行う。これにより視野内における均一な分解能での写像光学系が達成できる。
E×Bユニット(ウィーンフィルター)
電極と磁極を直交方向に配置し、電界と磁界を直交させた電磁プリズム光学系のユニットである。電磁界を選択的に与えると、一方向からその場に入射する電子ビームは偏向させ、ぞの反対方向から入射する電子ビームは、電界から受ける力と磁界から受ける力の影響が相殺される条件(ウィーン条件)を作ることが可能で、これにより一次電子ビームは偏向され、ウエハ上に垂直に照射し、二次電子ビームは検出器に向け直進することができる。
電子ビーム偏向部723の詳細な構造について、図9、及び図9のA−A線に沿う縦断面を示した図10を用いて説明する。図9に示すように、電子ビーム偏向部の場は、上記写像投影光学部の光軸に垂直な平面内において、電界と磁界とを直交させた構造、即ちE×B構造とする。
ここで、電界は凹免状の曲面を持つ電極723−1及び723−2により発生させる。電極723−1及び723−2が発生する電界は、それぞれ制御部723a及び723dにより制御される。一方、電界発生用の電極723−1及び723−2と直交するように、電磁コイル723−1a及び723−2aを配置させることにより、磁界を発生させている。尚、電解発生用の電極723−1、723−2は点対象である。(同心円でも構わない。)
この場合は磁界の均一性を向上させるために、平行平板形状を有するポールピースを持たせて、磁路42を形成している。A−A線に沿う縦断面における電子ビームの挙動は、図10に示されるようである。照射された電子ビーム711a及び711bは、電極723−1及び723−2が発生する電界と、電磁コイル723−1a及び723−2aが発生する磁界とによって偏向された後、試料面上に対して垂直方向に入射する。
ここで、照射電子ビーム711a及び711bの電子ビーム偏向部723への入射位置及び角度は、電子のエネルギーが決定されると一義的に決定される。さらに、二次電子712a及び712bが直進するように、電界及び磁界の条件、即ちvB=Eとなるように電極723−1及び723−2が発生する電界と、電磁コイル723−1a及び723−2aが発生する磁界とを、それぞれの制御部723a及び723d、723c及び723bが制御することで、二次電子は電子ビーム偏向部723を直進して、上記写像投影光学部に入射する。ここで、Vは電子712の速度(m/s)、Bは磁場(T)、eは電荷量(C)、Eは電界(V/m)である。
検出器
二次光学系で結像されるウエハからの二次電子画像は、まずマイクロチャンネルプレート(MCP)で増幅されたのち、蛍光スクリーンにあたり光の像に変換される。MCPの原理としては直径6〜25μm、長さ0.24〜1.0mmという非常に細い導電性のガラスキャピラリを数百万本束ね、薄い板状に整形したもので、所定の電圧印加を行うことで、一本一本のキャピラリが、独立した二次電子増幅器として働き、全体として二次電子増幅器を形成する。
この検出器により光に変換された画像は、真空透過窓を介して大気中に置かれたFOP系でTDI−CCD上に1対1で投影される。
次に、上記構成の電子光学装置70の動作に付いて説明する。
図8に示すように、電子銃721から放出された一次電子線は、レンズ系722によって集束される。収束された一次電子線はE×B型偏向器723に入射され、ウエハWの表面に垂直に照射されるように偏向され、対物レンズ系724によってウエハWの表面上に結像される。
一次電子線の照射によってウエハから放出された二次電子は、対物レンズ系724によって加速され、E×B型偏向器723に入射し、その偏向器を直進して二次光学系のレンズ系741により検出器761に導かれる。そして、その検出器761によって検出され、その検出信号が画像処理部763に送られる。
なお、この実施形態において、対物レンズ系724は、10ないし20kVの高電圧が印加され、ウエハは設置されているものとする。
ここで、ウエハWにビアbがある場合に、電極725に与える電圧を−200Vとすると、ウエハの電子線照射面の電界は、0〜−0.1V/mm(−はウエハW側が高電位であることを示す)となった。この状態で、対物レンズ系724とウエハWとの間に放電は発生せずに、ウエハWの欠陥検査は行えたが、二次電子の検出効率が若干下がってしまう。したがって、電子線を照射し二次電子を検出する一連の動作を、例えば4回行い、得られた4回分の検出結果を累積加算や平均化等の処理を施して所定の検出感度を得た。
また、ウエハにビアbがない場合に、電極725に与える電圧を+350Vとしても、対物レンズ系724とウエハとの間に放電は発生せずに、ウエハWの欠陥検査は行えた。この場合、電極725に与えた電圧によって二次電子が集束され、対物レンズ724によっても更に集束されるので、検出器761における二次電子の検出効率は向上した。よって、ウエハ欠陥装置としての処理も高速となり、高いスループットで検査が行えた。
写像投影方式の主な機能の関係とその全体像の説明
図11に本実施の形態の全体構成図を示す。但し、一部構成を省略図示している。
図11において、検査装置は一次コラム71−1、二次コラム71−2およびチャンバー32を有している。一次コラム71−1の内部には、電子銃721が設けられており、電子銃721から照射される電子ビーム(一次ビーム)の光軸上に一次光学系72が配置される。また、チャンバー32の内部には、ステージ50が設置され、ステージ50上には試料Wが載置される。
一方、二次コラム71−2の内部には、試料Wから発生する二次ビームの光軸上に、カソードレンズ724、ニューメニカルアパーチャNA−2、ウィーンフィルタ723、第2レンズ741−1、フィールドアパーチャNA−3、第3レンズ741−2、第4レンズ741−3および検出器761が配置される。なお、ニューメニカルアパーチャNA−2は、開口絞りに相当するもので、円形の穴が開いた金属製(Mo等)の薄板である。そして、開口部が一次ビームの集束位置およびカソードレンズ724の焦点位置になるように配置されている。したがって、カソードレンズ724とニューメニカルアパーチャNA−2とは、テレセントリックな電子光学系を構成している。
一方、検出器761の出力は、コントロールユニット780に入力され、コントロールユニット780の出力は、CPU781に入力される。CPU781の制御信号は、一次コラム制御ユニット71a、二次コラム制御ユニット71bおよびステージ駆動機構56に入力される。一次コラム制御ユニット71aは、一次光学系72のレンズ電圧制御を行い、二次コラム制御ユニット71bは、カソードレンズ724、第2レンズ741−1〜第4レンズ741−3のレンズ電圧制御およびウィーンフィルタ723に印加する電磁界制御を行う。
また、ステージ駆動機構56は、ステージの位置情報をCPU781に伝達する。さらに、一次コラム71−1、二次コラム71−2、チャンバー32は、真空排気系(不図示)と繋がっており、真空排気系のターボポンプにより排気されて、内部は真空状態を維持している。
(一次ビーム)電子銃721からの一次ビームは、一次光学系72によってレンズ作用を受けながら、ウィーンフィルタ723に入射する。ここでは、電子銃のチップとしては、矩形陰極で大電流を取り出すことができるLを用いる。また、一次光学系72は、回転軸非対称の四重極または八重極の静電(または電磁)レンズを使用する。これは、いわゆるシリンドリカルズレンズと同様にX軸、Y軸各々で集束と発散とを引き起こすことができる。このレンズを2段、3段で構成し、各レンズ条件を最適化することによって、照射電子を損失することなく、試料面上のビーム照射領域を、任意の矩形状、または楕円形状に整形することができる。
具体的には、静電レンズを用いた場合、4つの円柱ロッドを使用する。対向する電極同士を等電位にし、互いに逆の電圧特性を与える。
なお、四重極レンズとして円柱形ではなく、静電偏向器で、通常使用される円形板を4分割した形状のレンズを用いてもよい。この場合レンズの小型化を図ることができる。一次光学系72を通過した一次ビームは、ウィーンフィルタ723の偏向作用により軌道が曲げられる。ウィーンフィルタ723は、磁界と電界を直交させ、電界をE、磁界をB、荷電粒子の速度をvとした場合、E=vBのウィーン条件を満たす荷電粒子のみを直進させ、それ以外の荷電粒子の軌道を曲げる。一次ビームに対しては、磁界による力FBと電界による力FEとが発生し、ビーム軌道は曲げられる。一方、二次ビームに対しては、力FBと力FEとが逆方向に働くため、互いに相殺されるので二次ビームはそのまま直進する。
一次光学系72のレンズ電圧は、一次ビームがニューメニカルアパーチャNA−2の開口部で結像するように、予め設定されている。このニューメニカルアパーチャNA−2は、装置内に散乱する余計な電子ビームが試料面に到達することを阻止し、試料Wのチャージアップや汚染を防いでいる。さらに、ニューメニカルアパーチャNA−2とカソードレンズ724とはテレセントリックな電子光学系を構成しているので、カソードレンズ724を透過した一次ビームは平行ビームになり、試料Wに均一かつ一様に照射する。すなわち、光学顕微鏡でいうケーラー照明が実現される。
(二次ビーム)一次ビームが試料に照射されると、試料のビーム照射面からは、二次ビームとして、二次電子、反射電子または後方散乱電子が発生する。
二次ビームは、カソードレンズ724によるレンズ作用を受けながら、レンズを透過する。
ところで、カソードレンズ724は、3枚の電極で構成されている。一番下の電極は、試料W側の電位との間で、正の電界を形成し、電子(特に、指向性が小さい二次電子)を引き込み、効率よくレンズ内に導くように設計されている。
また、レンズ作用は、カソードレンズ724の1番目、2番目の電極に電圧を印加し、3番目の電極をゼロ電位にすることで行われる。一方、ニューメニカルアパーチャNA−2は、カソードレンズ724の焦点位置、すなわち試料Wからのバックフォーカス位置に配置されている。したがって、視野中心外(軸外)から出た電子ビームの光束も、平行ビームとなって、このニューメニカルアパーチャNA−2の中心位置を、けられが生じることなく通過する。
なお、ニューメニカルアパーチャNA−2は、二次ビームに対しては、第2レンズ741−1〜第4レンズ741−3のレンズ収差を抑える役割を果たしている。ニューメニカルアパーチャNA−2を通過した二次ビームは、ウィーンフィルタ723の偏向作用を受けずに、そのまま直進して通過する。なお、ウィーンフィルタ723に印加する電磁界を変えることで、二次ビームから、特定のエネルギーを持つ電子(例えば2次電子、又は反射電子、又は後方散乱電子)のみを検出器761に導くことができる。
二次ビームを、カソードレンズ724のみで結像させると、レンズ作用が強くなり収差が発生しやすい。そこで、第2レンズ741−1と合わせて、1回の結像を行わせる。二次ビームは、カソードレンズ724および第2レンズ741−1により、フィールドアパーチャNA−3上で中間結像を得る。この場合、通常、二次光学系として必要な拡大倍率が、不足することが多いため、中間像を拡大するためのレンズとして、第3レンズ741−2、第4レンズ741−3を加えた構成にする。二次ビームは、第3レンズ741−2、第4レンズ741−3各々により拡大結像し、ここでは、合計3回結像する。なお、第3レンズ741−2と第4レンズ741−3とを合わせて1回(合計2回)結像させてもよい。
また、第2レンズ741−1〜第4レンズ741−3はすべて、ユニポテンシャルレンズまたはアインツェルレンズとよばれる回転軸対称型のレンズである。各レンズは、3枚電極の構成で、通常は外側の2電極をゼロ電位とし、中央の電極に印加する電圧で、レンズ作用を行わせて制御する。また、中間の結像点には、フィールドアパーチャNA−3が配置されている。フィールドアパーチャNA−3は光学顕微鏡の視野絞りと同様に、視野を必要範囲に制限しているが、電子ビームの場合、余計なビームを、後段の第3レンズ741−2および第4レンズ741−3と共に遮断して、検出器761のチャージアップや汚染を防いでいる。なお、拡大倍率は、この第3レンズ741−2および第4レンズ741−3のレンズ条件(焦点距離)を変えることで設定される。
二次ビームは、二次光学系により拡大投影され、検出器761の検出面に結像する。検出器761は、電子を増幅するMCPと、電子を光に変換する蛍光板と、真空系と外部との中継および光学像を伝達させるためのレンズやその他の光学素子と、撮像素子(CCD等)とから構成される。二次ビームは、MCP検出面で結像し、増幅され、蛍光板によって電子は光信号に変換され、撮像素子によって光電信号に変換される。
コントロールユニット780は、検出器761から試料の画像信号を読み出し、CPU781に伝達する。CPU781は、画像信号からテンプレートマッチング等によってパターンの欠陥検査を実施する。また、ステージ50は、ステージ駆動機構56により、XY方向に移動可能となっている。CPU781は、ステージ50の位置を読み取り、ステージ駆動機構56に駆動制御信号を出力し、ステージ50を駆動させ、順次画像の検出、検査を行う。
このように、本実施形態の検査装置では、ニューメニカルアパーチャNA−2とカソードレンズ724とが、テレセントリックな電子光学系を構成しているので、一次ビームに対しては、ビームを試料に均一に照射させることができる。すなわち、ケーラー照明を容易に実現することができる。
さらに、二次ビームに対しては、試料Wからの全ての主光線が、カソードレンズ724に垂直(レンズ光軸に平行)に入射し、ニューメニカルアパーチャNA−2を通過するので、周辺光もけられることがなく、試料周辺部の画像輝度が低下することがない。また、電子が有するエネルギーのばらつきによって、結像する位置が異なる、いわゆる倍率色収差が起こる(特に、二次電子は、エネルギーのばらつきが大きいため、倍率色収差が大きい)が、カソードレンズ724の焦点位置に、ニューメニカルアパーチャNA−2を配置することで、この倍率色収差を抑えることができる。
また、拡大倍率の変更は、ニューメニカルアパーチャNA−2の通過後に行われるので、第3レンズ741−2、第4レンズ741−3のレンズ条件の設定倍率を変えても、検出側での視野全面に均一な像が得られる。なお、本実施形態では、むらのない均一な像を取得することができるが、通常、拡大倍率を高倍にすると、像の明るさが低下するという問題点が生じた。そこで、これを改善するために、二次光学系のレンズ条件を変えて拡大倍率を変更する際、それに伴って決まる試料面上の有効視野と、試料面上に照射される電子ビームとを、同一の大きさになるように一次光学系のレンズ条件を設定する。
すなわち、倍率を上げていけば、それに伴って視野が狭くなるが、それと同時に電子ビームの照射エネルギー密度を上げていくことで、二次光学系で拡大投影されても、検出電子の信号密度は、常に一定に保たれ、像の明るさは低下しない。
また、本実施形態の検査装置では、一次ビームの軌道を曲げて、二次ビームを直進させるウィーンフィルタ723を用いたが、それに限定されず、一次ビームの軌道を直進させ、二次ビームの軌道を曲げるウィーンフィルタを用いた構成の検査装置でもよい。また、本実施形態では、矩形陰極と四極子レンズとから矩形ビームを形成したが、それに限定されず、例えば円形ビームから矩形ビームや楕円形ビームを作り出してもよいし、円形ビームをスリットに通して矩形ビームを取り出してもよい。
電極
対物レンズ724とウェーハWとの間には、電子線の照射光軸に対して略軸対称である形状の電極725が配置されている。電極725の形状の一例を図12,13に示す。
図12,13は、電極725の斜視図であり、図12は、電極725が軸対称に円筒形状である場合を示す斜視図であり、図13は、電極725が軸対称に円盤形状である場合を示す斜視図である。
本実施形態では、図12に示すように電極725が円筒形状として説明するが、電子線の照射光軸に対して略軸対称であれば、図13に示すような円盤形状であってもよい。
更に、電極725には、対物レンズ724とウェーハWとの間における放電を防止する電界を発生する為に、ウェーハWへの印加電圧(本実施形態では接地されているので、電位は0V)よりも低い所定の電圧(負電位)が電源726によって印加されている。この時のウェーハWと対物レンズ724との間の電位分布を図14を参照して説明する。
図14は、ウェーハWと対物レンズ724との間の電圧分布を示すグラフである。
図14において、電子線の照射光軸における位置を横軸として、ウェーハWから対物レンズ724の位置までの電圧分布を示している。
電極725が無かった従来の電子線装置においては、対物レンズ724からウェーハWまでの電圧分布は、対物レンズ724に印加した電圧を最大値として、接地しているウェーハWまでなだらかに変化している。(図14の細線)
一方、本実施形態の電子線装置においては、対物レンズ724とウェーハWとの間に電極725が配置され、且つ電極725には、ウェーハWへの印加電圧よりも低い所定の電圧(負電位)が電源726によって印加されているので、ウェーハWの電界が弱められる。(図14の太線)
よって、本実施形態の電子線装置においては、ウェーハWにおけるビアb近傍に電界が集中せずに高電界とはならない。そして、ビアbに電子線が照射されて2次電子が放出されても、この放出された2次電子は、残留ガスをイオン化する程には加速されないので、対物レンズ724とウェーハWとの間に放電が防止できる。
また、対物レンズ724とビアbとの間での放電が防止できるので、ウェーハWのパターン等を放電破損することはない。
また、上記実施形態においては、対物レンズ724とビアbのあるウェーハWとの間の放電が防止できるが、電極725に負電位を印加しているので、負電位の大きさによっては、検出器761による2次電子の検出感度が低下する場合もある。よって検出感度が低下した場合は、上述したように,電子線を照射し2次電子を検出する一連の動作を複数回に渡って行い、得られた複数の検出結果を累積加算や平均化等の処理を施して所定の検出感度(信号のS/N比)を得るようにすればよい。
本実施形態では、一例として、検出感度を信号対雑音比(S/N比)として説明している。
ここで、上記の2次電子検出動作について、図15を参照して説明する。
図15は、電子線装置の2次電子検出動作を示すフローチャートである。
まず、検出器761によって被検査試料からの2次電子を検出する(ステップ1)。次に、信号対雑音比(S/N比)が所定の値以上であるかの判断を行う(ステップ2)。ステップ2において、信号対雑音比が所定値以上である場合は、検出器761による2次電子の検出は十分であるので、2次電子検出動作は完了する。
一方、ステップ2において、信号対雑音比が所定値未満である場合は、電子線を照射し2次電子を検出する一連の動作を4N回行い、平均化処理を行う(ステップ3)。ここで、Nの初期値は「1」に設定されているので、ステップ3において初回は、2次電子の検出動作が4回行われる。
次に、Nに「1」を加算してカウントアップして(ステップ4)、ステップ2において再度、信号対雑音比が所定の値以上であるかの判断を行う。ここで、信号対雑音比が所定値未満である場合は、再度ステップ3に進み、今度は2次電子の検出動作を8回行う。そして、Nをカウントアップして、信号対雑音比が所定値以上となるまで、ステップ2〜4を繰り返す。
また、本実施形態では、電極725にウェーハWへの印加電圧よりも低い所定の電圧(負電位)を印加することにより、ビアbのあるウェーハWに対する放電防止について述べたが、2次電子の検出効率が低下する場合がある。
よって、被検査試料がビアの無いウェーハ等、対物レンズ724との間で放電が生じにくい種類の被検査試料であった場合は、検出器761における2次電子の検出効率が高くなるように、電極725に印加する電圧を制御することができる。
具体的には、被試験試料が接地されている場合であっても、電極725に印加する電圧を、被試験試料への印加電圧よりも高い所定の電圧にする、例えば、+10Vとする。また、この時、電極725と被検査試料との距離は、電極725と被検査試料との間に放電が生じない距離に配置する。
この場合、被検査試料への電子線の照射によって発生した2次電子は、電極725に印加された電圧によって発生した電界によって、電子線源721側に加速される。そして、対物レンズ724に印加された電圧によって発生した電界によって、更に、電子線源721側に加速されて収束作用を受けるので、検出器761に多くの2次電子が入射し検出効率を高くすることができる。
また更に、電極725は軸対称であるので、被検査試料に照射する電子線を収束するレンズ作用も持つ。従って、電極725に印加する電圧によって、1次電子線をより細く絞ることもできる。また、電極725によって1次電子線を細く絞ることもできるので、対物レンズ724との組み合わせにより、より低収差の対物レンズ系を構成することもできる。このようなレンズ作用が可能な程度に、電極725は略軸対称であればよい。
上記実施例の電子線装置によれば、被検査試料と対物レンズとの間に、電子線の照射軸に対して略軸対称の形状であり、前記被検査試料の前記電子線の照射面における電界強度を制御する電極を備えたので、被検査試料と対物レンズとの間の電界を制御することができる。
また、被検査試料と対物レンズとの間に、電子線の照射軸に対して略軸対称の形状であり、前記被検査試料の前記電子線の照射面における電界強度を弱くする電極を備えたので、被検査試料と対物レンズとの間の放電を無くすることができる。
また、対物レンズへの印加電圧を低下させる等変更していないので、2次電子を効率的に対物レンズを通過させられるので、検出効率を向上させ、S/N比の良い信号を得ることができる。
また、被検査試料の種類によって、被検査試料の電子線の照射面における電界強度を弱くする為の電圧を制御することができる。
例えば、被検査試料が、対物レンズとの間で放電し易い種類の被検査試料である場合は、電極の電圧を変化させ、被検査試料の電子線の照射面における電界強度をより弱くすることで、放電を防止できる。
また、半導体ウェーハのビアの有無によって、電極に与える電圧を変更する、即ち、半導体ウェーハの電子線の照射面における電界強度を弱くする為の電圧を変更することができる。
例えば、被検査試料が、対物レンズとの間で放電し易い種類の被検査試料である場合は、電極による電界を変化させ、被検査試料の電子線の照射面における電界強度をより弱くすることで、特にビアやビア周辺における放電を防止できる。
また、ビアと対物レンズとの間での放電が防止できるので、半導体ウェーハのパターン等を放電破損することはない。
また、電極に与える電位を被検査試料に与える電荷よりも低くしたので、被検査試料の電子線の照射面における電界強度を弱くすることができ、被検査試料への放電が防止できる。
また、電極に与える電位を負電位とし、被検査試料は接地したので、被検査試料の電子線の照射面における電界強度を弱くすることができ、被検査試料への放電が防止できる。
EXB分離器の変形例
図16は、本発明の実施の形態のE×B分離器を示す。図16は、光軸に垂直な面で切った断面図である。電界を発生させるための4対の電極701と708、702と707、703と706、704と705は、非磁性導電体で形成され、全体としてほぼ円筒形状であり、絶縁材料で形成された電極支持用円筒713の内面にネジ(図示せず)等により固定される。電極支持用円筒713の軸及び電極が形成する円筒の軸は、光軸716に一致させる。各電極701、702、703、704、705、706、707、708の間の電極支持用円筒713内面には、光軸716と平行の溝714が設けられる。そして、その内面の領域は、導電体715でコーティングされ、アース電位に設定される。
電界を発生させる際に、電極702、703に「cosθ1」、電極706、707に「−cosθ1」、電極701、704に「cosθ2」、電極705、708に「−cosθ2」に比例する電圧を与えると、電極の内径の60%程度の領域でほぼ一様な平行電界が得られる。図17に電界分布のシミュレーション結果を示す。なお、この例では、4対の電極を用いたが、3対でも内径の40%程度の領域で一様な平行電界が得られる。
磁界の発生は、電極支持用円筒713の外側に2つの矩形状の白金合金永久磁石709、710を平行に配置することによって行う。永久磁石709、710の光軸716側の面の周辺には、磁性材料で構成される突起712が設けられる。この突起712は、光軸716側の磁力線が外側に凸に歪むのを補償するものであり。その大きさ及び形状は、シミュレーション解析によって定めることができる。
永久磁石709、710の外側は、永久磁石709、710による磁力線の光軸716と反対側の通路が、電極支持用円筒713と同軸の円筒となるように、強磁性体材料で構成される磁気回路711が設けられる。
図16に示したようなE×B分離器は、図8に示すような写像投影型電子線検査装置だけでなく、走査型電子線検査装置にも適用することができる。
以上の説明から明らかなように、本実施例によれば、光軸の回りに電界、磁界がともに一様な領域を大きくとることができ、1次電子線の照射範囲を広げても、E×B分離器を通した像の収差を問題のない値にすることができる。
また、磁界を形成する磁極の周辺部に突起を設けるとともに、この磁極を電界発生用電極の外側に設けたので、一様な磁界が発生できるとともに、磁極による電界の歪を小さくできる。また、永久磁石を用いて磁界を発生させているので、E×B分離器全体を真空中に収めることができる。さらに、電界発生用電極及び磁路形成用磁気回路を、光軸を中心軸とする同軸の円筒形状とすることにより、E×B分離器全体を小型化できる。
プレチャージユニット
プレチャージユニット81は、図1に示されるように、ワーキングチャンバ31内で電子光学装置70の鏡筒71に隣接して配設されている。本検査装置では検査対象である基板すなわちウエハに電子線を照射することによりウエハ表面に形成されたデバイスパターン等を検査する形式の装置であるから、電子線の照射により生じる二次電子等の情報をウエハ表面の情報とするが、ウエハ材料、照射電子のエネルギ等の条件によってウエハ表面が帯電(チャージアップ)することがある。更に、ウエハ表面でも強く帯電する箇所、弱い帯電箇所が生じる可能性がある。ウエハ表面の帯電量にむらがあると二次電子情報もむらを生じ、正確な情報を得ることができない。そこで、本実施形態では、このむらを防止するために、荷電粒子照射部811を有するプレチャージユニット81が設けられている。検査するウエハの所定の箇所に検査電子を照射する前に、帯電むらをなくすためにこのプレチャージユニットの荷電粒子照射部811から荷電粒子を照射して帯電のむらを無くす。このウエハ表面のチャージアップは予め検出対称であるウエハ面の画像を形成し、その画像を評価することで検出し、その検出に基づいてプレチャージユニット81を動作させる。
また、このプレチャージユニットでは一次電子線をぼかして照射してもよい。
図18は、本発明によるプレチャージユニットの一実施の形態の要部を示す。
荷電粒子818は荷電粒子照射線源819から試料基板Wへ、バイアス電源820で設定された電圧で加速されて照射される。被検査領域815は領域816とともに既に前処理の荷電粒子照射を行った場所を示し、領域817は荷電粒子照射を行っている場所を示す。この図では試料基板Wを図の矢印の方向に走査しているが、往復走査を行う場合は、図示点線で示すように、荷電粒子線源819をもう一台一次電子線源の反対側に設置し、試料基板Wの走査方向に同期して交互に荷電粒子線源819、819をオン、オフすれば良い。この場合、荷電粒子のエネルギーが高すぎると試料基板Wの絶縁部からの二次電子収率が1を超えてしまい、表面が正に帯電し、またそれ以下でも二次電子が生成すると現象が複雑となり、照射効果が減少するので、二次電子の生成が激減する100eV以下(理想的には0eV以上で30eV以下)のランディング電圧に設定するのが効果的である。
図19は本発明によるプレチャージユニットの第2の実施形態を示す。本図は荷電粒子線として電子線825を照射するタイプの照射線源を示す。照射線源は、熱フィラメント821、引きだし電極824、シールドケース826、フィラメント電源827、電子引き出し電源823から構成されている。引き出し電極824は厚さ0.1mmで、幅0.2mm、長さ1.0mmのスリットが設けられており、直径0.1mmのフィラメント821との位置関係は3電極電子銃の形態となっている。シールドケース826には幅1mm長さ2mmのスリットが設けられており、引出し出極824とは距離1mmの間隔とし、両者のスリットセンターが一致する様に組み上げられている。フィラメントの材質はタングステン(W)で2Aの電流を流し、引き出し電圧20V、バイアス電圧−30Vで数μAの電子電流が得られている。
ここに示した例は一つの例であり、例えば、フィラメント材質はTa、Ir、Re等の高融点金属や、トリアコートW、酸化物陰極等が使用でき、その材質、線径、長さによりフィラメント電流が変わることは言うまでもない。また、その他の種類の電子銃でも電子線照射領域、電子電流、エネルギーが適当な値に設定できるものであれば使用する事が可能である。
図20は第3の実施例を示す。本荷電粒子線としてイオン829を照射するタイプの照射線源を示す。本照射線源はフィラメント821、フィラメント電源822、放電電源827、陽極シールドケース826から構成されており、陽極828とシールドケース826には1mm×2mmの同じサイズのスリットが明けられており、1mm間隔で両スリットの中心が一致する様に組み立てられている。シールドケース826内にパイプ831を介してArガス830を1Pa程度導入し、熱フィラメント821によるアーク放電タイプで動作させる。バイアス電圧は正の値に設定する。
図21は第4の実施例であるプラズマ照射方式の場合を示す。構造は図20と同様である。動作も上記と同様、熱フィラメント821によるアーク放電タイプで動作させるが、バイアス電位を0Vにすることにより、ガス圧によりプラズマ832がスリットからしみだし、試料基板に照射される。プラズマ照射の場合は他の方法に比べて正負両方の電荷を持つ粒子の集団のため試料基板表面の正負どちらの表面電位も0に近づけることが可能となる。
試料基板Wに接近して配置された荷電粒子照射部819は、図18ないし図21に示す構造のものであり、試料基板Wの酸化膜や窒化膜の表面構造の違いや、異なる工程後毎のそれぞれの試料基板に対して適当な条件により、荷電粒子818を照射するようになっており、試料基板に対して最適な照射条件で照射を行つた後、すなわち、試料基板Wの表面の電位を平滑化、もしくは荷電粒子により飽和させた後に、電子線711,712により画像を形成し、欠陥を検出するようになっている。
以上説明した通り、本実施例においては、荷電粒子照射による測定の直前処理によって、帯電による測定画像歪みが生じないか、生じてもわずかであるので欠陥を正しく測定できる。
また、従来では使用が問題となっていた量の大電流を流してステージを走査できるので、二次電子も多量に検出され、S/N比の良い検出信号が得られ、欠陥検出の信頼性が向上する。
また、S/N比が大きいので、より早くステージを走査しても良好な画像データを作製でき、検査のスループットを大きくすることができる。
図22において、本実施の形態によるプレチャージユニットを備えた撮像装置が模式的に示されている。この撮像装置は、一次光学系72と、二次光学系74と、検出系76と、対象に帯電した電荷を均一化若しくは低減化する電荷制御手段840とを備えている。一次光学系72は、電子線を検査対象(以下対象)Wの表面に照射する光学系で、電子線を放出する電子銃721と、電子銃721から放出された一次電子ビーム711を偏向する静電レンズ722と、一次電子ビームをその光軸が対象の面に垂直になるように偏向するウイーンフィルタすなわちE×B偏光器723と、電子線を偏向する静電レンズ724と、を備え、それらは、図22に示されるように電子銃721を最上部にして順に、しかも電子銃から放出される一次電子線711の光軸が対象Wの表面(試料面)に鉛直な線に対して傾斜して配置されている。E×B偏向器723は電極723−1及び磁石723−2を備えている。
二次光学系74は一次光学系のE×B型偏向器723の上側に配置された静電レンズ741を備えている。検出系76は、二次電子712を光信号に変換するシンチレータ及びマイクロチャンネルプレート(MCP)の組み合わせ751と、光信号を電気信号に変換するCCD762と、画像処理装置763とを備えている。上記一次光学系72、二次光学系74及び検出系76の各構成要素の構造及び機能は従来のものと同じであるから、それらについての詳細な説明は省略する。
対象に帯電した電荷を均一化若しくは低減化する電荷制御手段840は、この実施例では、対象Wとその対象Wに最も近接した一次光学系72の静電偏向レンズ724との間で対象Wに接近させて配置された電極841と、電極841に電気的に接続された切換スイッチ842と、その切換スイッチ842の一方の端子843に電気的に接続された電圧発生器844と、切換スイッチ842の他方の端子845に電気的に接続された電荷検出器846とを備えている。電荷検出器846は高いインピーダンスを有している。電荷低減手段840は、更に、一次光学系72の電子銃721と静電レンズ722との間に配置されたグリッド847と、グリッド847に電気的に接続されている電圧発生器848とを備えている。タイミングジェネレータ849が、検出系76のCCD762及び画像処理装置763、電荷低減手段840の切換スイッチ842、電圧発生器844並びに電荷検出器846及び848に動作タイミングを指令するようになっている。
次に、上記構成の電子線装置の動作に付いて説明する。
電子銃721から放出された一次電子ビーム711は、一次光学系72の静電レンズ722を経てE×B偏光器723に達し、そのE×B偏光器723により対象Wの面に対して鉛直になるように偏向され、更に静電偏光器724を介して対象Wの表面(対象面)WFを照射される。対象Wの表面WFからは対象の性状に応じて二次電子712が放出される。この二次電子712は二次光学系74の静電レンズ741を介して検出系76のシンチレータ及びMCPの組み合わせ751に送られ、そのシンチレータにより光に変換され、その光はCCD762により光電変換され、その変換された電気信号により画像処理装置763が二次元画像(階調を有する)を形成する。なお、通常のこの種の検査装置と同様に、対象に照射される一次電子ビームは、一次電子ビームを公知の偏向手段(図示せず)により走査させることによって、又は、対象を支持するテーブルTをX、Yの二次元方向に移動させることにより、或いは、それらの組合せにより、対象面WF上の必要箇所全体を照射させてその対象面のデータを収集できるようになっている。
対象Wに照射された一次電子線711により対象Wの表面近傍には電荷が生じ、正に帯電する。その結果、対象Wの表面WFから発生する二次電子712は、この電荷とのクーロン力により、電荷の状況に応じて軌道が変化する。その結果、画像処理装置763に形成される画像に歪みが発生することになる。対象面WFの帯電は、対象Wの性状により変化するため、対象としてウエハを用いた場合、同一ウエハでも必ずしも同一ではないし、時間的にも変化する。従って、ウエハ上の2カ所のパターンを比較する場合に誤検出が発生する虞がある。
そこで、本発明によるこの実施の形態では、検出系76のCCD762が画像を1走査分捕捉した後の空き時間を利用して、高インピーダンスを有する電荷検出器846により、対象Wの近傍に配置された電極841の帯電量を計測する。そして計測した帯電量に応じた電子を照射させる電圧を電圧発生器844で発生させ、計測後に切換スイッチ842を動作させて電極841を電圧発生器844に接続し、電圧発生器により発生された電圧を電極841に印加することにより帯電した電化を相殺する。これにより画像処理装置763に形成される画像に歪みが発生しないようになっている。具体的には、電極841に通常の電圧が与えられている時は集束した電子線を対象Wに照射するが、電極841に別の電圧を与えると合焦条件が大きくずれ、帯電が予想される広い領域に小さい電流密度で照射が行われ、正に帯電した対象の正電荷を中和させることで、帯電が予想される広い領域の電圧を特定の正(負)電圧に均一化したり、均一化かつ低減化することでより低い正(負)電圧(ゼロボルトも含む)にできる。上記のような相殺の動作は走査毎に実施される。
ウェーネルト電極すなわちグリッド847は、空き時間のタイミング中に電子銃721から照射される電子ビームを停止させ、帯電量の計測及び帯電の相殺動作を安定に実行する機能を有する。上記動作のタイミングは、タイミングジェネレータ849によって指令され、例えば、図23のタイミングチャートに示されるようなタイミングである。なお、帯電量は対象としてウエハを使用した場合、その位置によって異なるため、CCDの走査方向に電極841、切換スイッチ842、電圧発生器844及び電荷検出器846を複数組設けて細分化し、より精度の高い制御を行うことも可能である。
本実施例によれば、次のような効果を奏することが可能である。
(イ)帯電によって発生する画像の歪みを検査対象の性状によらず低減することができる。
(ロ)従来の計測タイミングの空き時間を利用して帯電の均一化、相殺を実行するため、スループットに何ら影響を及ぼすことがない。
(ハ)リアルタイムで処理が可能であるため、事後処理の時間、メモリー等を必要としない。
(ニ)高速で精度の高い画像の観測、欠陥検出が可能である。
図24には、本発明の他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成が示されている。この欠陥検査装置は、一次電子線を放出する電子銃721、放出された一次電子線を偏向、成形させる静電レンズ722、図示しないポンプにより真空に排気可能な試料室32、該試料室内に配置され、半導体ウェーハWなどの試料を載置した状態で水平面内を移動可能なステージ50、一次電子線の照射によりウェーハWから放出された二次電子線及び/又は反射電子線を所定の倍率で写像投影して結像させる写像投影系の静電レンズ741、結像された像をウェーハの二次電子画像として検出する検出器770、及び、装置全体を制御すると共に、検出器770により検出された二次電子画像に基づいてウェーハWの欠陥を検出する処理を実行する制御部1016を含んで構成される。なお、上記二次電子画像には、二次電子だけでなく反射電子による寄与も含まれているが、ここでは二次電子画像と称することにする。
また、試料室32内には、ウェーハWの上方に、紫外光を含む波長域の光線を発するUVランプ1111が設置されている。このUVランプ1111のガラス表面には、UVランプ1111から放射された光線によって光電効果に起因する光電子eを発する光電子放出材1110がコーティングされている。このUVランプ1111は、光電子放出材1110から光電子を放出させる能力を持つ波長域の光線を放射する光源であれば任意のものから選択することができる。一般には、254nmの紫外線を放射する低圧水銀ランプを用いるのがコスト的に有利である。また、光電子放出材1110は、光電子を放出させる能力がある限り任意の金属から選択することができ、例えばAuなどが好ましい。
上述した光電子は、一次電子線より低エネルギーである。ここで、低エネルギーとは、数eV〜数十eVのオーダー、好ましくは0〜10eVのことを意味する。本発明は、このような低エネルギーの電子を生成する任意の手段を用いることができる。例えば、UVランプ1111を代用して図示しない低エネルギー電子銃を備えることでも達成できる。
更に、本実施形態の欠陥検査装置は、電源1113を備えている。この電源1113の負極は光電子放出材1110に接続され、その正極はステージ50に接続されている。従って、光電子放出材1110は、ステージ50即ちウェーハWの電圧に対して負の電圧がかけられた状態となる。
検出器770は、静電レンズ741によって結像された二次電子画像を後処理可能な信号に変換することができる限り、任意の構成とすることができる。例えば、図46にその詳細を示すように、検出器770は、マルチチャンネルプレート771と、蛍光面772と、リレー光学系773と、多数のCCD素子からなる撮像センサ774と、を含んで構成することができる。マルチチャンネルプレート771は、プレート内に多数のチャンネルを備えており、静電レンズ741によって結像された二次電子が該チャンネル内を通過する間に、更に多数の電子を生成させる。即ち、二次電子を増幅させる。蛍光面772は、増幅された二次電子によって蛍光を発することにより二次電子を光に変換する。リレーレンズ773がこの蛍光をCCD撮像センサ774に導き、CCD撮像センサ774は、ウェーハW表面上の二次電子の強度分布を素子毎の電気信号即ちデジタル画像データに変換して制御部1016に出力する。
制御部1016は、図24に例示されたように、汎用的なパーソナルコンピュータ等から構成することができる。このコンピュータは、所定のプログラムに従って各種制御、演算処理を実行する制御部本体1014と、本体1014の処理結果を表示するCRT1015と、オペレータが命令を入力するためのキーボードやマウス等の入力部1018と、を備える、勿論、欠陥検査装置専用のハードウェア、或いは、ワークステーションなどから制御部1016を構成してもよい。
制御部本体1014は、図示しないCPU、RAM、ROM、ハードディスク、ビデオ基板等の各種制御基板等から構成される。RAM若しくはハードディスクなどのメモリ上には、検出器770から受信した電気信号即ちウェーハWの二次電子画像のデジタル画像データを記憶する二次電子画像記憶領域8が割り当てられている。また、ハードディスク上には、欠陥検査装置全体を制御する制御プログラムの他、記憶領域1008から二次電子画像データを読み出し、該画像データに基づき所定のアルゴリズムに従ってウェーハWの欠陥を自動的に検出する欠陥検出プログラム1009が格納されている。この欠陥検出プログラム1009は、例えば、ウェーハWの当該検査箇所と、別の検査箇所とを比較し、他の大部分の箇所のパターンと相違したパターンを欠陥としてオペレータに警告表示する機能を有する。更に、CRT1015の表示部に二次電子画像1017を表示し、オペレータの目視によってウェーハWの欠陥を検出するようにしてもよい。
次に、図24実施形態に係る電子線装置の作用を図27のフローチャートを例にして説明する。
先ず、検査対象となるウェーハWをステージ50の上にセットする(ステップ1200)。これは、図示しないローダーに多数格納されたウェーハWを一枚毎に自動的にステージ50にセットする形態であってもよい。次に、電子銃721から一次電子線を放出し、静電レンズ722を通して、セットされたウェーハW表面上の所定の検査領域に照射する(ステップ1202)。一次電子線が照射されたウェーハWからは二次電子及び/又は反射電子(以下、「二次電子」のみ称する)が放出され、その結果、ウェーハWは正電位にチャージアップする。次に、発生した二次電子線を拡大投影系の静電レンズ741により所定の倍率で検出器770に結像させる(ステップ1204)。このとき、光電子放出材1110にステージ50より負の電圧をかけた状態で、UVランプ1111を発光させる(ステップ1206)。その結果、UVランプ1111から発せられた振動数νの紫外線がそのエネルギー量子hν(hはプランク定数)によって光電子放出材1110から光電子を放出させる。これらの光電子eは、負に帯電した光電子放出材1110から正にチャージアップしたウェーハWに向かって照射され、当該ウェーハWを電気的に中和させる。かくして、二次電子線は、ウェーハWの正電位により実質的な影響を受けることなく、検出器770上に結像される。
このように電気的に中和されたウェーハWから放出された像障害の軽減された二次電子線の画像を検出器770が検出し、デジタル画像データに変換出力する(ステップ1208)。次に、制御部1016が、欠陥検出プログラム1009に従って、検出された画像データに基づきウェーハWの欠陥検出処理を実行する(ステップ1210)。この欠陥検出処理では、制御部1016は、同じダイを多数有するウェーハの場合、前述のように、検出されたダイ同士の検出画像を比較することによって欠陥部分を抽出する。メモリに予め蓄えられていた欠陥の存在しないウェーハの基準二次電子線画像と、実際に検出された二次電子線画像とを比較照合して、欠陥部分を自動的に検出してもよい。このとき、検出画像をCRT1015に表示すると共に欠陥部分と判定された部分をマーク表示してもよく、これによって、オペレータは、ウェーハWが実際に欠陥を持つか否かを最終的に確認、評価することができる。この欠陥検出方法の具体例については更に後述する。
ステップ1210の欠陥検出処理の結果、ウェーハWに欠陥有りと判定された場合(ステップ1212肯定判定)、オペレータに欠陥の存在を警告する(ステップ1218)。警告の方法として、例えば、CRT1015の表示部に欠陥の存在を知らせるメッセージを表示したり、これと同時に欠陥の存在するパターンの拡大画像1017を表示してもよい。このような欠陥ウェーハを直ちに試料室32から取り出し、欠陥の無いウェーハとは別の保管場所に格納してもよい(ステップ1219)。
ステップ1210の欠陥検出処理の結果、ウェーハWに欠陥が無いと判定された場合(ステップ1212否定判定)、現在検査対象となっているウェーハWについて、検査すべき領域が未だ残っているか否かが判定される(ステップ1214)。検査すべき領域が残っている場合(ステップ1214肯定判定)、ステージ50を駆動し、これから検査すべき他の領域が一次電子線の照射領域内に入るようにウェーハWを移動させる(ステップ1216)。その後、ステップ1202に戻って当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合(ステップ1214否定判定)、或いは、欠陥ウェーハの抜き取り工程(ステップ1219)の後、現在検査対象となっているウェーハWが、最終のウェーハであるか否か、即ち図示しないローダーに未検査のウェーハが残っていないか否かが判定される(ステップ1220)。最終のウェーハでない場合(ステップ1220否定判定)、検査済みウェーハを所定の格納箇所に保管し、その代わりに新しい未検査のウェーハをステージ50にセットする(ステップ1222)。その後、ステップ1202に戻って当該ウェーハに関して同様の処理を繰り返す。最終のウェーハであった場合(ステップ1220肯定判定)、検査済みウェーハを所定の格納箇所に保管し、全工程を終了する。
UV光電子照射(ステップ1206)は、ウェーハWの正のチャージアップが回避され、像障害が軽減された状態で二次電子画像検出(ステップ1206)ができれば、任意のタイミング、任意の期間内で行うことができる。図27の処理が継続されている間、常時UVランプ1111を点灯した状態にしてもよいが、1枚のウェーハ毎に期間を定めて発光、消灯を繰り返してもよい。後者の場合、発光のタイミングとして、図27に示したタイミングの他、二次電子線結像(ステップ1204)の実行前、更には、一次電子線照射(ステップ1202)の実行前から開始してもよい。少なくとも二次電子検出の期間内はUV光電子照射を継続することが好ましいが、二次電子画像検出前若しくは検出中であっても十分にウェーハが電気的に中和されれば、UV光電子の照射を停止してもよい。
ステップ1210の欠陥検出方法の具体例を図28(a)〜(c)に示す。まず、図28(a)には、1番目に検出されたダイの画像1231及び2番目に検出された他のダイの画像1232が示されている。3番目に検出された別のダイの画像が1番目の画像1231と同じか又は類似と判断されれば、2番目のダイ画像1232の1233の部分が欠陥を有すると判定され、欠陥部分を検出できる。
図28(b)には、ウェーハ上に形成されたパターンの線幅を測定する例が示されている。ウェーハ上の実際のパターン1234を1235の方向に走査したときの実際の二次電子の強度信号が1236であり、この信号が予め較正して定められたスレッショールドレベル1237を連続的に超える部分の幅1238をパターン1234の線幅として測定することができる。このように測定された線幅が所定の範囲内にない場合、当該パターンが欠陥を有すると判定することができる。
図28(c)には、ウェーハ上に形成されたパターンの電位コントラストを測定する例が示されている。図24に示す構成において、ウェーハWの上方に軸対称の電極1239を設け、例えばウェーハ電位0Vに対して−10Vの電位を与えておく。このときの−2Vの等電位面は1240で示されるような形状とする。ここで、ウェーハに形成されたパターン1241及び1242は、夫々−4Vと0Vの電位であるとする。この場合、パターン1241から放出された二次電子は−2V等電位面1240で2eVの運動エネルギーに相当する上向きの速度を持っているので、このポテンシャル障壁1240を越え、軌道1243に示すように電極1239から脱出し、検出器770で検出される。一方、パターン1242から放出された二次電子は−2Vの電位障壁を越えられず、軌道1244に示すようにウェーハ面に追い戻されるので、検出されない。従って、パターン1241の検出画像は明るく、パターン1242の検出画像は暗くなる。かくして、電位コントラストが得られる。検出画像の明るさと電位とを予め較正しておけば、検出画像からパターンの電位を測定することができる。そして、この電位分布からパターンの欠陥部分を評価することができる。
本発明の他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成を図25に示す。なお、図24の実施形態と同様の構成要素については同一の符号を附して詳細な説明を省略する。
この実施形態では、図25に示すように、UVランプ1111のガラス表面に光電子放出材がコーティングされていない。その代わりに、光電子放出プレート1110bが試料室322内でウェーハWの上方に配置され、UVランプ1111は、放射した紫外線が光電子放出プレート1110bに照射される位置に配置される。光電子放出プレート1110bには、電源13の負極が接続され、ステージ50には電源の正極が接続されている。この光電子放出プレート1110bは、Au等の金属で作られ、或いは、そのような金属がコーティングされたプレートとして作られてもよい。
図25の実施形態の作用は図24の実施形態と同様である。この図25の実施形態においても光電子をウェーハWの表面上に適時照射することが可能なので、図24の実施形態と同様の効果を奏する。
本発明のさらに他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成を図26に示す。なお、図24及び図25の実施形態と同様の構成要素については同一の符号を附して詳細な説明を省略する。
図26の実施形態では、図26に示すように、試料室32の側面壁に透明な窓材1112を設け、UVランプ1111から放射された紫外線がこの窓材1112を通して試料室32内でウェーハWの上方に配置された光電子放出プレート1110bに照射されるように、UVランプ1111が試料室32の外部に配置されている。図26の実施形態では、真空となる試料室32の外部にUVランプ1111を配置したので、UVランプ1111の耐真空性能を考慮する必要がなくなり、図24及び図25の実施形態と比較してUVランプ1111の選択肢を広げることができる。
図26の実施形態の他の作用は図24及び図25の実施形態と同様である。図26の実施形態においても光電子をウェーハWの表面上に適時照射することが可能なので、図24及び図25の実施形態と同様の効果を奏する。
以上が上記各実施形態であるが、本発明によるプレチャージユニットを備えた欠陥検査装置は、上記例にのみ限定されるものではなく本発明の要旨の範囲内で任意好適に変更可能である。
例えば、被検査試料として半導体ウェーハWを例に掲げたが、本発明の被検査試料はこれに限定されず、電子線によって欠陥を検出することができる任意のものが選択可能である。例えばウェーハへの露光用パターンが形成されたマスク等を検査対象とすることもできる。
また、欠陥検査用の電子線装置として、図24乃至図26の構成を示したが、電子光学系等は任意好適に変更可能である。例えば、図示された欠陥検査装置の電子線照射手段(721,722)は、ウェーハWの表面に対して斜め上方から一次電子線を入射させる形式であるが、静電レンズ741の下方に一次電子線の偏向手段を設け、一次電子線をウェーハWの表面に垂直に入射させるようにしてもよい。このような偏向手段として、例えば電場と磁場の直交する場E×Bによって一次電子線を偏向させるウィーンフィルターなどがある。
更に、光電子を放射する手段として、図24乃至図26に示した、UVランプ1111及び光電子放出部材1110若しくは光電子放出プレート1110bの組み合わせ以外の任意手段を採用することができることは勿論である。
また、図27のフローチャートの流れも、これに限定されない。例えば、ステップ1212で欠陥有りと判定された試料について、他の領域の欠陥検査は行わないことにしたが、全領域を網羅して欠陥を検出するように処理の流れを変更してもよい。また、一次電子線の照射領域を拡大し1回の照射で試料の全検査領域をカバーできれば、ステップ1214及びステップ1216を省略することができる。
更に、図27では、ステップ1212でウェーハに欠陥有りと判定した場合、ステップ1218で直ちにオペレータに欠陥の存在を警告し事後処理(ステップ1219)したが、欠陥情報を記録しておいてバッチ処理終了後(ステップ1220肯定判定の後)、欠陥を持つウェーハの欠陥情報を報告するように処理の流れを変更してもよい。
以上詳細に説明したように、図24ないし図26の実施例による欠陥検査装置及び欠陥検査方法によれば、一次電子線より低エネルギーを有する電子を試料に供給するようにしたので、二次電子放出に伴う試料表面の正のチャージアップが低減され、ひいては、チャージアップに伴う二次電子線の像障害を解消することができ、より高精度に試料の欠陥を検査することが可能となる、という優れた効果が得られる。
更に図24ないし図26の欠陥検査装置を用いたデバイス製造方法によれば、上記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、という優れた効果が得られる。
電位印加機構
図29において、電位印加機構83は、ウエハから放出される二次電子情報(二次電子発生率)が、ウエハの電位に依存すると言う事実に基づいて、ウエハを載置するステージの設置台に±数Vの電位を印加することにより二次電子の発生を制御するものである。また、この電位印加機構は、照射電子が当初有しているエネルギーを減速し、ウエハに100〜500eV程度の照射電子エネルギーとするための用途も果たす。
電位印加機構83は、図29に示されるように、ステージ装置50の載置面541と電気的に接続された電圧印加装置831と、チャージアップ調査及び電圧決定システム(以下調査及び決定システム)832とを備えている。調査及び決定システム832は、電子光学装置70の検出系76の画像形成部763に電気的に接続されたモニター833と、モニター833に接続されたオペレータ834と、オベレータ834に接続されたCPU835とを備えている。CPU835は、前記電圧印加装置831に信号を供給するようになっている。
上記電位印加機構は、検査対象であるウエハが帯電し難い電位を探し、その電位を印加するように設計されている。
検査試料の電気的欠陥を検査する方法としては、本来電気的に絶縁されている部分とその部分が通電状態にある場合では、その部分の電圧が異なることを利用することもできる。
それは、まず、試料に事前に電荷を付与することで、本来電気的に絶縁されている部分の電圧と、本来電気的に絶縁されている部分であるが、何らかの原因で通電状態にある部分の電圧とに電圧差を生じさせ、その後本発明のビームを照射することで、電圧差があるデータを取得し、この取得データを解析して、通電状態となっていることを検出する。
電子ビームキャリブレーション機構
図30において、電子ビームキャリブレーション機構85は、前記回転テーブル54上でウエハの載置面541の側部の複数箇所に設置された、ビーム電流測定用のそれぞれ複数のファラデーカップ851及び852を備えている。ファラデーカップ851は細いビーム用(約φ2μm)で、ファラデーカップ852は太いビーム用(約φ30μm)である。細いビーム用のファラデーカップ851では回転テーブル54をステップ送りすることで、ビームプロフィルを測定し。太いビーム用のファラデーカップ852ではビームの総電流量を計測する。ファラデーカップ851及び852は、上表面が載置面541上に載せられたウエハWの上表面と同じレベルになるように配置されている。このようにして電子銃から放出される一次電子線を常時監視する。これは、電子銃が常時一定の電子線を放出できるわけでなく、使用しているうちにその放出量が変化するためである。
アライメント制御装置
アライメント制御装置87は、ステージ装置50を用いてウエハWを電子光学装置70に対して位置決めさせる装置であって、ウエハを光学顕微鏡871を用いた広視野観察による概略合わせ(電子光学系によるよりも倍率が低い測定)、電子光学装置70の電子光学系を用いた高倍率合わせ、焦点調整、検査領域設定、パターンアライメント等の制御を行うようになっている。このように光学系を用いて低倍率でウエハを検査するのは、ウエハのパターンの検査を自動的に行うためには、電子線を用いた狭視野でウエハのパターンを観察してウエハアライメントを行う時に、電子線によりアライメントマークを容易に検出する必要があるからである。
光学顕微鏡871は、ハウジングに設けられ(ハウジング内で移動可能な設けられていてもよい)ており、光学顕微鏡を動作させるための光源も図示しないがハウジング内に設けられている。また高倍率の観察を行う電子光学系は電子光学装置70の電子光学系(一次光学系72及び二次光学系74)を共用するものである。その構成を概略図示すれば、図31に示されるようになる。ウエハ上の被観察点を低倍率で観察するには、ステージ装置50のXステージ53をX方向に動かすことによってウエハの被観察点を光学顕微鏡の視野内に移動させる。光学顕微鏡871で広視野でウエハを視認してそのウエハ上の観察すべき位置をCCD872を介してモニタ873に表示させ、観察位置をおおよそ決定する。この場合光学顕微鏡の倍率を低倍率から高倍率に変化させていってもよい。
次に、ステージ装置50を電子光学装置70の光軸と光学顕微鏡871の光軸との間隔δxに相当する距離だけ移動させて光学顕微鏡で予め決めたウエハ上の被観察点を電子光学装置の視野位置に移動させる。この場合、電子光学装置の軸線O−Oと光学顕微鏡871の光軸O−Oとの間の距離(この実施形態ではX軸線に沿った方向にのみ両者は位置ずれしているものとするが、Y軸方向及びY軸方向に位置ずれしていてもよい)δxは予めわかっているのでその値δxだけ移動させれば被観察点を視認位置に移動させることができる。電子光学装置の視認位置への被観察点の移動が完了した後、電子光学系により高倍率で被観察点をSEM撮像して画像を記憶したり又はCCD761を介してモニタ765に表示させる。
このようにして電子光学系による高倍率でウエハの観察点をモニタに表した表示させた後、公知の方法によりステージ装置50の回転テーブル54の回転中心に関するウエハの回転方向の位置ずれ電子光学系の光軸O−Oに対するウエハの回転方向のずれδθを検出し、また電子光学装置に関する所定のパターのX軸及びY軸方向の位置ずれを検出する。そしてその検出値並びに別途得られたウエハに設けられた検査マークのデータ或いはウエハのパターンの形状等に関するデータに基づいてステージ装置50の動作を制御してウエハのアライメントを行う。
真空排気系
真空排気系は真空ポンプ、真空バルブ、真空ゲージ、真空配管等から構成され、電子光学系、検出器部、試料室、ロードロック室を所定のシーケンスに従い真空排気を行う。各部においては必要な真空度を達成するように真空バルブが制御される。常時、真空度のモニターを行い、異常時には、インターロック機能により隔離バルブ等の緊急制御を行い、真空度の確保をする。真空ポンプとしては主排気にターボ分子ポンプ、
粗引き用としてルーツ式のドライポンプを使用する。検査場所(電子線照射部)の圧力は10−3〜10−5Pa、好ましくはその1桁下の10−4〜10−6Paが実用的である。
制御系
制御系は主にメインコントローラ、制御コントローラ、ステージコントローラから構成されている。
メインコントローラにはマン−マシンインターフェースが備えられており、オペレータの操作はここを通して行われる(種々の指示/命令、レシピなどの入力、検査スタートの指示、自動と手動検査モードの切り替え、手動検査モード時のときの必要な全てのコマンドの入力等)。その他、工場のホストコンピュータとのコミュニケーション、真空排気系の制御、ウェーハ等の試料搬送、位置合わせの制御、他の制御コントローラやステージコントローラへのコマンドの伝達や情報の受け取り等もメインコントローラで行われる。また、光学顕微鏡からの画像信号の取得、ステージの変動信号を電子光学系にフィードバックさせて像の悪化を補正するステージ振動補正機能、試料観察位置のZ方向(二次光学系の軸方向)の変位を検出して、電子光学系へフィードバックし、自動的に焦点を補正する自動焦点補正機能を備えている。電子光学系へのフィードバック信号等の授受、及びステージからの信号の授受は、それぞれ制御コントローラ及びステージコントローラを介して行われる。
制御コントローラは主に電子線光学系の制御(電子銃、レンズ、アライナー、ウィーンフィルター用などの高精度電源の制御等)を担う。具体的には照射領域に、倍率が変わったときにも常に一定の電子電流が照射されるようにすること、各倍率に対応した各レンズ系やアライナーへの自動電圧設定等の、各オペレーションモードに対応した各レンズ系やアライナーへの自動電圧設定等の制御(連動制御)が行われる。
ステージコントローラは主にステージの移動に関する制御を行い精密なX方向およびY方向のμmオーダーの移動(±0.5μm程度の誤差)を可能にしている。また、本ステージでは誤差精度±0.3秒程度以内で、回転方向の制御(θ制御)も行われる。
電極の清掃
本発明の電子ビーム装置が作動すると、近接相互作用(表面近くでの粒子の帯電)により標的物質が浮遊して高圧領域に引きつけられるので、電子ビームの形成や偏向に使用される様々な電極には有機物質が堆積する。表面の帯電により徐々に堆積していく絶縁体は電子ビームの形成や偏向機構に悪影響を及ぼすので、堆積した絶縁体は周期的に除去しなければならない。絶縁体の周期的な除去は絶縁体の堆積する領域の近傍の電極を利用して真空中で水素や酸素あるいはフッ素及びそれらを含む化合物HF,O,HO,C等のプラズマを作り出し、空間内のプラズマ電位を電極面にスパッタが生じる電位(数kV、例えば20V〜5kV)に維持することで、有機物質のみ酸化、水素化、フッ素化により除去する。
ステージ装置の変形例
図32は,本発明による検出装置におけるステージ装置の一変形例を示す。
ステージ93のY方向可動部95の上面には+Y方向と−Y方向(図32[B]で左右方向)に大きくほぼ水平に張り出した仕切り板914が取り付けられ、X方向可動部96の上面との間に常にコンダクタンスが小さい絞り部950が構成されるようになっている。また、X方向可動部96の上面にも同様の仕切り板912が±X方向(図32[A]で左右方向)に張り出すように構成されており、ステージ台97の上面との間に常に絞り部951が形成されるようになっている。ステージ台97は、ハウジング98内において底壁の上に公知の方法で固定されている。
このため、試料台94がどの位置に移動しても常に絞り部950と951が形成されるので、可動部95及び96の移動時にガイド面96aや97aからガスが放出されても、絞り部950と951によって放出ガスの移動が妨げられるため、荷電ビームが照射される試料近傍の空間924の圧力上昇を非常に小さく押さえることができる。
ステージの可動部95の側面及び下面並びに可動部96の下面には、静圧軸受け90の周囲に、図56に示されるような差動排気用の溝が形成されていてこの溝によって真空排気されるため、絞り部950、951が形成されている場合は、ガイド面からの放出ガスはこれらの差動排気部によって主に排気されることになる。このため、ステージ内部の空間913や915の圧力は、チャンバC内の圧力よりも高い状態になっている。したがって、空間913や915を、差動排気溝917や918で排気するだけでなく、真空排気する箇所を別に設ければ空間913や915の圧力を下げることができ、試料近傍924の圧力上昇を更に小さくすることができる。このための真空排気通路91−1と91−2とが設けられている。排気通路はステージ台97及びハウジング98を貫通してハウジング98の外部に通じている。また、排気通路91−2はX方向可動部96に形成されX方向可動部96の下面に開口している。
また、仕切り板912及び914を設置すると、チャンバCと仕切り板が干渉しないようにチャンバを大きくする必要が生じるが、仕切り板を伸縮可能な材料や構造にすることによってこの点を改善することが可能である。この実施例としては、仕切り板をゴムで構成したり蛇腹状にして、その移動方向の端部を、仕切り板914の場合はX方向可動部96に、仕切り板912の場合はハウジング98の内壁にそれぞれ固定する構成とすることが考えられる。
図33において、ステージ装置の第2の変形例が示されている。
この実施態様では、鏡筒の先端部すなわち荷電ビーム照射部72の周囲に、試料Wの上面との間に絞り部ができるように円筒状の仕切り916が構成されている。このような構成では、XYステージからガスが放出されてチャンバC内の圧力が上昇しても、仕切りの内部924は仕切り916で仕切られており真空配管910で排気されているので、チャンバC内と仕切りの内部924との間に圧力差が生じ、仕切り内部の空間924の圧力上昇を低く抑えられる。仕切り916と試料面との隙間は、チャンバC内と照射部72周辺の圧力をどの程度に維持するかによって変わるが、凡そ数十μmないし数mm程度が適当である。なお、仕切り916内と真空配管とは公知の方法により連通されている。
また、荷電ビーム照射装置では、試料Wに数kV程度の高電圧を印加することがあり、導電性の材料を試料の近傍に設置すると放電を起こす恐れがある。この場合には、仕切り916の材質をセラミックス等の絶縁物で構成すれば、試料Wと仕切り916との間で放電を起こすことがなくなる。
なお、試料W(ウエハ)の周囲に配置したリング部材94−1は試料台94に固定された板状の調整部品であり、ウエハのような試料の端部に荷電ビームを照射する場合であっても、仕切り916の先端部全周に亘って微小隙間952が形成されるように、ウエハと同一の高さに設定されている。これによって、試料Wのどの位置に荷電ビームが照射しても、仕切り916の先端部には常に一定の微小隙間952が形成され、鏡筒先端部周囲の空間924の圧力を安定に保つことができる。
図34において、別の変形例が示されている。
鏡筒71の荷電ビーム照射部72の周囲に差動排気構造を内蔵した仕切り919が設けられている。仕切り919は円筒状の形状をしており、その内部に円周溝920が形成され、その円周溝からは上方に排気通路921が延びている。その排気通路は内部空間922を経由して真空配管923に繋がれている。仕切り919の下端は試料Wの上面との間に数十μmないし数mm程度の微小隙間を形成している。
このような構成では、ステージの移動に伴ってステージからガスが放出されてチャンバC内の圧力が上昇し先端部すなわち荷電ビーム照射部72にガスが流入しようとしても、仕切り919が試料Wとの隙間を絞ってコンダクタンスを非常に小さくしているためガスは流入を邪魔され流入量は減少する。更に、流入したガスは、円周溝920から真空配管923へ排気されるため、荷電ビーム照射部72の周囲の空間924へ流入するガスはほとんどなくなり、荷電ビーム照射部72の圧力を所望の高真空のまま維持することができる。
図35において、さらに他の変形例が示されている。
チャンバCと荷電ビーム照射部72の周囲には仕切り926が設けられ、荷電ビーム照射部72をチャンバCから隔てている。この仕切り926は、銅やアルミニュウム等の熱伝導性の良い材料からなる支持部材929を介して冷凍機930に連結されており、−100℃ないし−200℃程度に冷却されている。部材927は冷却されている仕切り926と鏡筒の間の熱伝導を阻害するためのものであり、セラミックスや樹脂材等の熱伝導性の悪い材料から成っている。また、部材928はセラミックス等の非絶縁体から成り、仕切り926の下端に形成され試料Wと仕切り926が放電することを防ぐ役割を持っている。
このような構成により、チャンバC内から荷電ビーム照射部に流入しようとするガス分子は、仕切り926で流入を阻害される上、流入しても仕切り926の表面に凍結捕集されてしまうため、荷電ビーム照射部924の圧力を低く保つことができる。
なお、冷凍機としては、液体窒素による冷却や、He冷凍機、パルスチューブ式冷凍機等の様様な冷凍機が使用できる。
図36において、さらに他の変形例が示されている。
ステージ93の両可動部には、図32に示したのと同様に仕切り板912、914が設けられており、試料台94が任意の位置に移動しても、これらの仕切りによってステージ内の空間913とチャンバC内とが絞り950、951を介して仕切られる。更に、荷電ビーム照射部72の周りには図33に示したのと同様の仕切り916が形成されており、チャンバC内と荷電ビーム照射部72のある空間924が絞り952を介して仕切られている。このため、ステージ移動時、ステージに吸着しているガスが空間913に放出されてこの部分の圧力を上昇させても、チャンバCの圧力上昇は低く抑えられ、空間924の圧力上昇は更に低く抑えられる。これにより、荷電ビーム照射空間924の圧力を低い状態に保つことができる。また、仕切り916に示したように差動排気機構を内蔵した仕切り919としたり、図34に示したように冷凍機で冷却された仕切り926とすることによって、空間924を更に低い圧力で安定に維持することができるようになる。
これらの実施例によれば、次のような効果を奏することが可能である。
(イ)ステージ装置が真空内で高精度な位置決め性能を発揮することができ、更に、荷電ビーム照射位置の圧力が上昇しにくい。すなわち、試料に対する荷電ビームによる処理を高精度に行うことができる。
(ロ)静圧軸受け支持部から放出されたガスが仕切りを通過して荷電ビーム照射領域側に通過することがほとんどできない。これによって荷電ビーム照射位置の真空度を更に安定させることができる。
(ハ)荷電ビーム照射領域側に放出ガスが通過することが困難になり、荷電ビーム照射領域の真空度を安定に保ち易くなる。
(ニ)真空チャンバ内が、荷電ビーム照射室、静圧軸受け室及びその中間室の3室に小さいコンダクタンスを介して分割された形になる。そして、それぞれの室の圧力を、低い順に荷電ビーム照射室、中間室、静圧軸受け室となるように真空排気系を構成する。中間室への圧力変動は仕切りによって更に低く抑えられ、荷電ビーム照射室への圧力変動は、もう一段の仕切りによって更に低減され、圧力変動を実質的に問題ないレベルまで低減することが可能となる。
(ホ)ステージが移動した時の圧力上昇を低く抑えることが可能になる。
(ヘ)ステージが移動した時の圧力上昇を更に低く抑えることが可能である。
(ト)ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を実現することができるので、検査性能が高く、試料を汚染する恐れのない検査装置を提供することができる。
(チ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を実現することができるので、露光精度が高く、試料を汚染する恐れのない露光装置を提供することができる。
(リ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
なお,図32−36のステージ装置を図1のステージ50に適用できることは明らかである。
図37ないし図39を参照して本発明によるXYステージの他の実施形態を説明する。なお、図55の従来例及び実施形態において共通する構成部材を示す参照番号は同じになっている。なお、この明細書中で「真空」とは当該技術分野において呼ばれる真空であって、必ずしも絶対真空を指すものではない。
図37において、XYステージの他の実施態様が示されている。
荷電ビームを試料に向かって照射する鏡筒71の先端部すなわち荷電ビーム照射部72が真空チャンバCを画成するハウジング98に取り付けられている。鏡筒71の直下には、XYステージ93のX方向(図37において左右方向)の可動テーブル上に載置されている試料Wが配置されるようになっている。この試料Wは高精度なXYステージ93によって、その試料面上の任意の位置に対して正確に荷電ビームを照射させることができる。
XYステージ93の台座906はハウジング98の底壁に固定され、Y方向(図37において紙面に垂直の方向)に移動するYテーブル95が台座906の上に載っている。Yテーブル95の両側面(図37において左右側面)には、台座906に載置された一対のY方向ガイド907a及び907bのYテーブルに面した側に形成された凹溝内に突出する突部が形成されている。その凹溝はY方向ガイドのほぼ全長に亘ってY方向に伸びている。凹溝内に突出する突部の上、下面及び側面には公知の構造の静圧軸受け911a、909a、911b、909b、がそれぞれ設けられ、これらの静圧軸受けを介して高圧ガスを吹き出すことにより、Yテーブル95はY方向ガイド907a、907bに対して非接触で支持され、Y方向に円滑に往復運動できるようになっている。また、台座906とYテーブル95との間には、公知の構造のリニアモータ932が配置されており、Y方向の駆動をそのリニアモータで行うようになっている。Yテーブルには、高圧ガス供給用のフレキシブル配管934によって高圧ガスが供給され、Yテーブル内に形成されたガス通路(図示せず)を通じて上記静圧軸受け909aないし911a及び909bないし911bに対して高圧ガスが供給される。静圧軸受けに供給された高圧ガスは、Y方向ガイドの対向する案内面との間に形成された数ミクロンから数十ミクロンの隙間に噴出してYテーブルを案内面に対してX方向とZ方向(図37において上下方向)に正確に位置決めする役割を果たす。 Yテーブル上にはXテーブル96がX方向(図37において左右方向)に移動可能に載置されている。Yテーブル95上にはYテーブル用のY方向ガイド907a、907bと同じ構造の一対のX方向ガイド908a、908b(908aのみ図示)がXテーブル96を間に挟んで設けられている。X方向ガイドのXテーブルに面した側にも凹溝が形成され、Xテーブルの側部(X方向ガイドに面した側部)には凹溝内に突出する突部が形成されている。その凹溝はX方向ガイドのほぼ全長に亘って伸びている。凹溝内に突出するX方向テーブル96の突部の上、下面及び側面には前記静圧軸受け911a、909a、910a、911b、909b、910bと同様の静圧軸受け(図示せず)が同様の配置で設けられている。Yテーブル95とXテーブル96との間には、公知の構造のリニアモータ933が配置されており、XテーブルのX方向の駆動をそのリニアモータで行うようにしている。そして、Xテーブル96にはフレキシブル配管931によって高圧ガスが供給され、静圧軸受けに高圧ガスを供給するようになっている。この高圧ガスが静圧軸受けからX方向ガイドの案内面に対して噴出されることによって、Xテーブル96がY方向ガイドに対して高精度に非接触で支持されている。真空チャンバCは公知の構造の真空ポンプ等に接続された真空配管919、920a、920bによって排気されている。配管920a、920bの入口側(真空チャンバ内側)は台座906を貫通してその上面において、XYステージ93から高圧ガスが排出される位置の近くで開口しており、真空チャンバ内の圧力が静圧軸受けから噴出される高圧ガスにより上昇するのを極力防止している。
鏡筒71の先端部すなわち荷電ビーム照射部72の周囲には、差動排気機構925が設けられ、真空チャンバC内の圧力が高くても荷電ビーム照射空間930の圧力が十分低くなるようにしてある。すなわち、荷電ビーム照射部72周囲に取り付けられた差動排気機構925の環状部材926は、その下面(試料W側の面)と試料との間で微少隙間(数ミクロンから数百ミクロン)940が形成されるように、ハウジング98に対して位置決めされており、その下面には環状溝927が形成されている。環状溝927は排気管928により図示しない真空ポンプ等に接続されている。したがって、微少隙間940は環状溝927及び排気口928を介して排気され、真空チャンバCから環状部材926によって囲まれた空間930内にガス分子が侵入しようとしても、排気されてしまう。これにより、荷電ビーム照射空間930内の圧力を低く保つことができ、荷電ビームを問題なく照射することができる。
この環状溝は、チャンバ内の圧力、荷電ビーム照射空間930内の圧力によっては、二重構造或いは三重構造にしてもよい。
静圧軸受けに供給する高圧ガスは、一般にドライ窒素が使用される。しかしながら、可能ならば、更に高純度の不活性ガスにすることが好ましい。これは、水分や油分等の不純物がガス中に含まれると、これらの不純物分子が真空チャンバを画成するハウジングの内面やステージ構成部品の表面に付着して真空度を悪化させたり、試料表面に付着して荷電ビーム照射空間の真空度を悪化させてしまうからである。
なお、以上の説明において、試料Wは通常Xテーブル上に直接載置されるのでなく、試料を取り外し可能に保持したりXYステージ93に対して微少な位置変更を行うなどの機能を持たせた試料台の上に載置されているが、試料台の有無及びその構造は本実施例の要旨には関係ないので、説明を簡素化するために省略されている。
以上に説明した荷電ビーム装置では、大気中で用いられる静圧軸受けのステージ機構をほぼそのまま使用できるので、露光装置等で用いられる大気用の高精度ステージと同等の高精度のXYステージを、ほぼ同等のコスト及び大きさで荷電ビーム装置用のXYステージに対して実現できる。
なお、以上説明した静圧ガイドの構造や配置及びアクチュエータ(リニアモータ)はあくまでも一実施例であり、大気中で使用可能な静圧ガイドやアクチュエータならば何でも適用できる。
次に差動排気機構の環状部材926及びそれに形成される環状溝の大きさの数値例を図38に示す。なお、この例では環状溝は927a及び927bの二重構造を有しており、それらは半径方向に隔てられている。
静圧軸受けに供給される高圧ガスの流量は、通常おおよそ20L/min(大気圧換算)程度である。真空チャンバCを、内径50mmで長さ2mの真空配管を介して20000L/minの排気速度を有するドライポンプで排気すると仮定すると、真空チャンバ内の圧力は、約160Pa(約1.2Torr)となる。この時、差動排気機構の環状部材926及び環状溝等の寸法を、図38に示されるようにすれば、荷電ビーム照射空間930内の圧力を10−4Pa(10−6Torr)にすることができる。
図39において、XYステージの他の実施態様が示されている。ハウジング98によって画成された真空チャンバCには、真空配管974、975を介してドライ真空ポンプ953が接続されている。また、差動排気機構925の環状溝927は排気口928に接続された真空配管970を介して超高真空ポンプであるターボ分子ポンプ951が接続されている。更に、鏡筒71の内部は、排気口710に接続された真空配管971を介して、ターボ分子ポンプ952が接続されている。これらのターボ分子ポンプ951、952は、真空配管972、973によってドライ真空ポンプ953に接続されている。(本図では、ターボ分子ポンプの粗引きポンプと真空チャンバの真空排気用ポンプを1台のドライ真空ポンプで兼用したが、XYステージの静圧軸受けに供給する高圧ガスの流量、真空チャンバの容積や内表面積、真空配管の内径や長さに応じて、それらを別系統のドライ真空ポンプで排気する場合も考えられる。)
XYステージ93の静圧軸受けには、フレキシブル配管921、922を通して高純度の不活性ガス(N2ガス、Arガス等)が供給される。静圧軸受けから噴出したこれらのガス分子は真空チャンバ内に拡散し、排気口919、920a、920bを通してドライ真空ポンプ953によって排気される。また、差動排気機構や荷電ビーム照射空間に侵入したこれらのガス分子は環状溝927或いは鏡筒71の先端部から吸引され、排気口928及び710を通ってターボ分子ポンプ951及び952によって排気され、ターボ分子ポンプから排出された後ドライ真空ポンプ953によって排気される。
このように、静圧軸受けに供給された高純度不活性ガスはドライ真空ポンプに集められて排出される。
一方、ドライ真空ポンプ953の排気口は、配管976を介して圧縮機954に接続され、圧縮機954の排気口は配管977、978、979及びレギュレータ961、962を介してフレキシブル配管931、932に接続されている。このため、ドライ真空ポンプ953から排出された高純度不活性ガスは、圧縮機954によって再び加圧されレギュレータ961、962で適正な圧力に調整された後、再びXYテーブルの静圧軸受けに供給される。
なお、静圧軸受けに供給されるガスは上述したようにできるだけ高純度にし、水分や油分が極力含まれないようにする必要があるため、ターボ分子ポンプ、ドライポンプ及び圧縮機は、ガス流路に水分や油分が混入しないような構造であることが求められる。また、圧縮機の排出側配管977の途中にコールドトラップやフィルタ等(960)を設け、循環するガス中に混入した水分や油分等の不純物質をトラップして静圧軸受けに供給されないようにすることも有効である。
こうすることによって、高純度不活性ガスを循環させて再利用できるので、高純度不活性ガスを節約でき、また、本装置が設置された部屋に不活性ガスをたれ流さないので、不活性ガスによる窒息等の事故が発生する恐れもなくすことができる。
なお、循環配管系には高純度不活性ガス供給系963が接続されており、ガスの循環を始める際に、真空チャンバCや真空配管970〜975及び加圧側配管976〜980を含む全ての循環系に高純度不活性ガスを満たす役割と、何らかの原因で循環するガスの流量が減少した際に不足分を供給する役割とを担っている。
また、ドライ真空ポンプ953に大気圧以上まで圧縮する機能を持たせることによって、ドライ真空ポンプ953と圧縮機954を1台のポンプで兼ねさせることも可能である。
更に、鏡筒の排気に用いる超高真空ポンプには、ターボ分子ポンプの代わりにイオンポンプやゲッタポンプ等のポンプを使用することも可能である。ただし、これらの溜込み式ポンプを用いた場合は、
この部分には循環配管系を構築することはできないことになる。また、ドライ真空ポンプの代わりに、ダイヤフラム式ドライポンプ等、他方式のドライポンプを使用することももちろん可能である。
図40において、本実施の形態による荷電ビーム装置の光学系及び検出器が模式的に示されている。光学系は鏡筒71内に設けられているがこの光学系及び検出器はあくまでも例示であり、必要に応じて任意の光学系、検出器を使用できる。荷電ビーム装置の光学系760は、荷電ビームをステージ50上に載置された試料Wに照射する一次光学系72と、試料から放出された二次電子が投入される二次光学系74と、を備えている。一次光学系72は、荷電ビームを放出する電子銃721と、電子銃721から放出された荷電ビームを集束する2段の静電レンズからなるレンズ系722と、偏向器730と、荷電ビームをその光軸が対象の面に垂直になるように偏向するウイーンフィルタすなわちE×B分離器723と、2段の静電レンズからなるレンズ系724と、を備え、それらは、図40に示されるように電子銃721を最上部にして順に、荷電ビームの光軸が試料Wの表面(試料面)に鉛直な線に対して傾斜して配置されている。E×B偏向器723は電極723−1及び磁石723−2を備えている。
二次光学系74は試料Wから放出された二次電子が投入される光学系で、一次光学系のE×B型偏向器723の上側に配置された2段の静電レンズからなるレンズ系741を備えている。検出器761は、二次光学系74を介して送られた二次電子を検出する。上記光学系760及び検出器761の各構成要素の構造及び機能は従来のものと同じであるから、それらについての詳細な説明は省略する。
電子銃721から放出された荷電ビームは、電子銃の正方形開口で整形され、2段のレンズ系722によって縮小され、偏光器730で光軸を調整されてE×B偏向器723の偏向中心面に一辺が1.925mmの正方形に結像される。E×B偏向器723は、試料の法線に垂直な平面内において、電界と磁界とを直交させた構造となっており、電界、磁界、電子のエネルギの関係が一定の条件を満たす時には電子を直進させ、それ以外の時にはこれら電界、磁界及び電界のエネルギの相互の関係により所定方向に偏向されるようになっている。図40においては、電子銃からの荷電ビームを試料Wに垂直に入射させ、また試料から放出された二次電子を検出器761の方向に直進させるように設定されている。E×B偏光器で偏向された成形ビームはレンズ系724で1/5に縮小されて試料Wに投影される。試料Wから放出されたパターン画像の情報を持った二次電子はレンズ系724及び741で拡大され、検出器761で二次電子画像を形成する。この4段の拡大レンズは、レンズ系724が対称タブレットレンズを形成し、レンズ系741もやはり対称タブレットレンズを形成しているので無歪みレンズとなっている。
本実施例によれば、次のような効果を奏することが可能である。
(イ)大気中で一般に用いられる静圧軸受け式のステージと同様の構造を持ったステージ(差動排気機構を持たない静圧軸受け支持のステージ)を使用して、ステージ上の試料に対して荷電ビームによる処理を安定に行うことができる。
(ロ)荷電ビーム照射領域の真空度に対する影響を最小限に抑えることが可能になり、荷電ビームによる試料への処理を安定化させることができる。
(ハ)ステージの位置決め性能が高精度で、かつ荷電ビームの照射領域の真空度が安定した検査装置を安価に提供することができる。
(ニ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した露光装置を安価に提供することができる。
(ホ)ステージの位置決め性能が高精度で、かつ荷電ビーム照射領域の真空度が安定した装置によって半導体を製造することにより、微細な半導体回路を形成できる。
検査装置の変形例
図41は、本発明の変形例による欠陥検査装置の概略構成を示す。
この欠陥検査装置は、上述した写像投影型の検査装置で、一次電子線を放出する電子銃721、放出された一次電子線を偏向、成形させる静電レンズ722、成形された一次電子線を電場E及び磁場Bの直交する場で半導体ウェーハWに略垂直に当たるように偏向させるE×B偏向器723、偏向された一次電子線をウェーハW上に結像させる対物レンズ724、真空に排気可能な図示しない試料室内に設けられ、ウェーハWを載置した状態で水平面内を移動可能なステージ50、一次電子線の照射によりウェーハWから放出された二次電子線及び/又は反射電子線を所定の倍率で写像投影して結像させる写像投影系の静電レンズ741、結像された像をウェーハの二次電子画像として検出する検出器770、及び、装置全体を制御すると共に、検出器770により検出された二次電子画像に基づいてウェーハWの欠陥を検出する処理を実行する制御部1016を含んで構成される。なお、上記二次電子画像には、二次電子だけでなく散乱電子や反射電子による寄与も含まれているが、ここでは二次電子画像と称することにする。
また、対物レンズ724とウェーハWとの間には、一次電子線のウェーハWへの入射角度を電場等によって偏向させる偏向電極1011が介在されている。この偏向電極1011には、該偏向電極の電場を制御する偏向制御器1012が接続されている。この偏向制御器1012は、制御部1016に接続され、制御部1016からの指令に応じた電場が偏向電極1011で生成されるように該偏向電極を制御する。なお、偏向制御器1012は、偏向電極1011に与える電圧を制御する電圧制御装置として構成することができる。
検出器770は、静電レンズ741によって結像された二次電子画像を後処理可能な信号に変換することができる限り、任意の構成とすることができる。例えば、図46にその詳細を示すように、検出器770は、マルチチャンネルプレート771と、蛍光面772と、リレー光学系773と、多数のCCD素子からなる撮像センサ56と、を含んで構成することができる。マルチチャンネルプレート771は、プレート内に多数のチャンネルを備えており、静電レンズ741によって結像された二次電子が該チャンネル内を通過する間に、更に多数の電子を生成させる。即ち、二次電子を増幅させる。蛍光面772は、増幅された二次電子によって蛍光を発することにより二次電子を光に変換する。リレーレンズ773がこの蛍光をCCD撮像センサ774に導き、CCD撮像センサ774は、ウェーハW表面上の二次電子の強度分布を素子毎の電気信号即ちデジタル画像データに変換して制御部1016に出力する。
制御部1016は、図41に例示されたように、汎用的なパーソナルコンピュータ等から構成することができる。このコンピュータは、所定のプログラムに従って各種制御、演算処理を実行する制御部本体1014と、本体1014の処理結果を表示するCRT1015と、オペレータが命令を入力するためのキーボードやマウス等の入力部1018と、を備える、勿論、欠陥検査装置専用のハードウェア、或いは、ワークステーションなどから制御部1016を構成してもよい。
制御部本体1014は、図示しないCPU、RAM、ROM、ハードディスク、ビデオ基板等の各種制御基板等から構成される。RAM若しくはハードディスクなどのメモリ上には、検出器770から受信した電気信号即ちウェーハWの二次電子画像のデジタル画像データを記憶するための二次電子画像記憶領域1008が割り当てられている。また、ハードディスク上には、予め欠陥の存在しないウェーハの基準画像データを記憶しておく基準画像記憶部1013が存在する。更に、ハードディスク上には、欠陥検査装置全体を制御する制御プログラムの他、記憶領域1008から二次電子画像データを読み出し、該画像データに基づき所定のアルゴリズムに従ってウェーハWの欠陥を自動的に検出する欠陥検出プログラム1009が格納されている。この欠陥検出プログラム1009は、詳細を更に後述するように、基準画像記憶部1013から読み出した基準画像と、実際に検出された二次電子線画像とをマッチングして、欠陥部分を自動的に検出し、欠陥有りと判定した場合、オペレータに警告表示する機能を有する。このとき、CRT1015の表示部に二次電子画像1017を表示するようにしてもよい。 次に、該実施例による欠陥検査装置の作用を図43乃至図45のフローチャートを例にして説明する。
先ず、図43のメインルーチンの流れに示すように、検査対象となるウェーハWをステージ50の上にセットする(ステップ1300)。これは、前述したようにローダーに多数格納されたウェーハ全てを一枚毎に自動的にステージ50にセットする形態であってもよい。
次に、ウェーハW表面のXY平面上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する(ステップ1304)。これら画像取得すべき複数の被検査領域とは、図47に示すように、例えばウェーハ検査表面1034上に、参照番号1032a、1032b、...1032k、...で示す矩形領域のことであり、これらは、ウェーハの検査パターン1030の回りで、部分的に重なり合いながら位置がずらされていることがわかる。例えば、図42に示されたように、16個の被検査領域の画像1032(被検査画像)が取得される。ここで、図42に示す画像は、矩形の桝目が1画素(或いは、画素より大きいブロック単位でもよい)に相当し、このうち黒塗りの桝目がウェーハW上のパターンの画像部分に相当する。このステップ1304の詳細は図44のフローチャートで後述する。
次に、ステップ1304で取得した複数の被検査領域の画像データを記憶部1013に記憶された基準画像データと、各々比較照合し(図43のステップ1308)、上記複数の被検査領域により網羅されるウェーハ検査面に欠陥が有るか否かが判定される。この工程では、いわゆる画像データ同士のマッチング処理を実行するが、その詳細については図45のフローチャートで後述する。
ステップ1308の比較結果より、上記複数の被検査領域により網羅されるウェーハ検査面に欠陥が有ると判定された場合(ステップ1312肯定判定)、オペレータに欠陥の存在を警告する(ステップ1318)。警告の方法として、例えば、CRT1015の表示部に欠陥の存在を知らせるメッセージを表示したり、これと同時に欠陥の存在するパターンの拡大画像1017を表示してもよい。このような欠陥ウェーハを直ちに試料室31から取り出し、欠陥の無いウェーハとは別の保管場所に格納してもよい(ステップ1319)。
ステップ1308の比較処理の結果、ウェーハWに欠陥が無いと判定された場合(ステップ1312否定判定)、現在検査対象となっているウェーハWについて、検査すべき領域が未だ残っているか否かが判定される(ステップ1314)。検査すべき領域が残っている場合(ステップ1314肯定判定)、ステージ50を駆動し、これから検査すべき他の領域が一次電子線の照射領域内に入るようにウェーハWを移動させる(ステップ1316)。その後、ステップ1302に戻って当該他の検査領域に関して同様の処理を繰り返す。
検査すべき領域が残っていない場合(ステップ1314否定判定)、或いは、欠陥ウェーハの抜き取り工程(ステップ1319)の後、現在検査対象となっているウェーハWが、最終のウェーハであるか否か、即ち図示しないローダーに未検査のウェーハが残っていないか否かが判定される(ステップ320)。最終のウェーハでない場合(ステップ1320否定判定)、検査済みウェーハを所定の格納箇所に保管し、その代わりに新しい未検査のウェーハをステージ50にセットする(ステップ1322)。その後、ステップ1302に戻って当該ウェーハに関して同様の処理を繰り返す。最終のウェーハであった場合(ステップ1320肯定判定)、検査済みウェーハを所定の格納箇所に保管し、全工程を終了する。
次に、ステップ1304の処理の流れを図44のフローチャートに従って説明する。
図44では、先ず、画像番号iを初期値1にセットする(ステップ1330)。この画像番号は、複数の被検査領域画像の各々に順次付与された識別番号である。次に、セットされた画像番号iの被検査領域について画像位置(X,Y)を決定する(ステップ1332)。この画像位置は、被検査領域を画定させるための該領域内の特定位置、例えば該領域内の中心位置として定義される。現時点では、i=1であるから画像位置(X,Y)となり、これは例えば図47に示された被検査領域1032aの中心位置に該当する。全ての被検査画像領域の画像位置は予め定められており、例えば制御部1016のハードディスク上に記憶され、ステップ1332で読み出される。
次に、図41の偏向電極1011を通過する一次電子線がステップ1332で決定された画像位置(X,Y)の被検査画像領域に照射されるように、偏向制御器1012が偏向電極1011に電位を加える(図44のステップ1334)。
次に、電子銃721から一次電子線を放出し、静電レンズ722、E×B偏向器723、対物レンズ724及び偏向電極1011を通して、セットされたウェーハW表面上に照射する(ステップ1336)。このとき、一次電子線は、偏向電極1011の作り出す電場によって偏向され、ウェーハ検査表面1034上の画像位置(X,Y)の被検査画像領域全体に亘って照射される。画像番号i=1の場合、被検査領域は1032aとなる。
一次電子線が照射された被検査領域からは二次電子及び/又は反射電子(以下、「二次電子」のみ称する)が放出される。そこで、発生した二次電子線を拡大投影系の静電レンズ741により所定の倍率で検出器770に結像させる。検出器770は、結像された二次電子線を検出し、検出素子毎の電気信号即ちデジタル画像データに変換出力する(ステップ1338)。そして、検出した画像番号iのデジタル画像データを二次電子画像記憶領域8に転送する(ステップ1340)。
次に、画像番号iを1だけインクリメントし(ステップ1342)、インクリメントした画像番号(i+1)が一定値iMAXを越えているか否かを判定する(ステップ1344)。このiMAXは、取得すべき被検査画像の数であり、図42の上述した例では、「16」である。
画像番号iが一定値iMAXを越えていない場合(ステップ1344否定判定)、再びステップ1332に戻り、インクリメントした画像番号(i+1)について画像位置(Xi+1,Yi+1)を再び決定する。この画像位置は、前のルーチンで決定した画像位置(X,Y)からX方向及び/又はY方向に所定距離(ΔX,ΔY)だけ移動させた位置である。図41の例では、被検査領域は、(X,Y)からY方向にのみ移動した位置(X,Y)となり、破線で示した矩形領域1032bとなる。なお、(ΔX,ΔY)(i=1,2,...iMAX)の値は、ウェーハ検査面1034のパターン1030が検出器770の視野から実際に経験的にどれだけずれるかというデータと、被検査領域の数及び面積から適宜定めておくことができる。
そして、ステップ1332乃至1342の処理をiMAX個の被検査領域について順次繰り返し実行する。これらの被検査領域は、図47に示すように、k回移動した画像位置(X,Y)では被検査画像領域1032kとなるように、ウェーハの検査面1034上で、部分的に重なり合いながら位置がずらされていく。このようにして、図42に例示した16個の被検査画像データが画像記憶領域1008に取得される。取得した複数の被検査領城の画像1032(被検査画像)は、図42に例示されたように、ウェーハ検査面1034上のパターン1030の画像1030aを部分的若しくは完全に取り込んでいることがわかる。
インクリメントした画像番号iがiMAXを越えた場合(ステップ1344肯定判定)、このサブルーチンをリターンして図37のメインルーチンの比較工程(ステップ308)に移行する。
なお、ステップ1340でメモリ転送された画像データは、検出器770により検出された各画素毎の二次電子の強度値(いわゆるベタデータ)からなるが、後段の比較工程(図37のステップ1308)で基準画像とマッチング演算を行うため、様々な演算処理を施した状態で記憶領域1008に格納しておくことができる。このような演算処理には、例えば、画像データのサイズ及び/又は濃度を基準画像データのサイズ及び/又は濃度に一致させるための正規化処理や、所定画素数以下の孤立した画素群をノイズとして除去する処理などがある。更には、単純なベタデータではなく、高精細パターンの検出精度を低下させない範囲で検出パターンの特徴を抽出した特徴マトリクスにデータ圧縮変換しておいてもよい。このような特徴マトリクスとして、例えば、M×N画素からなる2次元の被検査領域を、m×n(m<M,n<N)ブロックに分割し、各ブロックに含まれる画素の二次電子強度値の総和(若しくはこの総和値を被検査領域全体の総画素数で割った正規化値)を、各マトリックス成分としてなる、m×n特徴マトリックスなどがある。この場合、基準画像データもこれと同じ表現で記憶しておく。本発明の実施形態でいう画像データとは、単なるベタデータは勿論のこと、このように任意のアルゴリズムで特徴抽出された画像データを包含する。
次に、ステップ1308の処理の流れを図45のフローチャートに従って説明する。
先ず、制御部1016のCPUは、基準画像記憶部1013(図41)から基準画像データをRAM等のワーキングメモリ上に読み出す(ステップ1350)。この基準画像は、図42では参照番号1036で表される。そして、画像番号iを1にリセットし(ステップ1352)、記憶領域1008から画像番号iの被検査画像データをワーキングメモリ上に読み出す(ステップ1354)。
次に、読み出した基準画像データと、画像iのデータとをマッチングして、両者間の距離値Dを算出する(ステップ1356)。この距離値Dは、基準画像と、被検査画像iとの間の類似度を表し、距離値が大きいほど基準画像と被検査画像との差異が大きいことを表している。この距離値Dとして類似度を表す量であれば任意のものを採用することができる。例えば、画像データがM×N画素からなる場合、各画素の二次電子強度(又は特徴量)をM×N次元空間の各位置ベクトル成分とみなし、このM×N次元空間上における基準画像ベクトル及び画像iベクトル間のユークリッド距離又は相関係数を演算してもよい。勿論、ユークリッド距離以外の距離、例えばいわゆる市街地距離等を演算することもできる。更には、画素数が大きい場合、演算量が膨大になるので、上記したようにm×n特徴ベクトルで表した画像データ同士の距離値を演算してもよい。
次に、算出した距離値Dが所定の閾値Thより小さいか否かを判定する(ステップ1358)。この閾値Thは、基準画像と被検査画像との間の十分な一致を判定する際の基準として実験的に求められる。
距離値Dが所定の閾値Thより小さい場合(ステップ1358肯定判定)、当該ウェーハWの当該検査面1034には「欠陥無し」と判定し(ステップ1360)、本サブルーチンをリターンする。即ち、被検査画像のうち1つでも基準画像と略一致したものがあれば、「欠陥無し」と判定する。このように全ての被検査画像とのマッチングを行う必要が無いので、高速判定が可能となる。図42の例の場合、3行3列目の被検査画像が、基準画像に対して位置ずれが無く略一致していることがわかる。
距離値Dが所定の閾値Th以上の場合(ステップ1358否定判定)、画像番号iを1だけインクリメントし(ステップ1362)、インクリメントした画像番号(i+1)が一定値iMAXを越えているか否かを判定する(ステップ1364)。
画像番号iが一定値iMAXを越えていない場合(ステップ1364否定判定)、再びステップ1354に戻り、インクリメントした画像番号(i+1)について画像データを読み出し、同様の処理を繰り返す。
画像番号iが一定値iMAXを越えた場合(ステップ1364肯定判定)、当該ウェーハWの当該検査面1034には「欠陥有り」と判定し(ステップ1366)、本サブルーチンをリターンする。即ち、被検査画像の全てが基準画像と略一致していなければ、「欠陥有り」と判定する。
以上がステージ装置の各実施形態であるが、本発明は、上記例にのみ限定されるものではなく本発明の要旨の範囲内で任意好適に変更可能である。
例えば、被検査試料として半導体ウェーハWを例に掲げたが、本発明の被検査試料はこれに限定されず、電子線によって欠陥を検出することができる任意のものが選択可能である。例えばウェーハへの露光用パターンが形成されたマスク等を検査対象とすることもできる。
また、本発明は、電子以外の荷電粒子線を用いて欠陥検出を行う装置にも適用できるばかりでなく、試料の欠陥を検査可能な画像を取得できる任意の装置にも適用可能である。
更に、偏向電極1011は、対物レンズ724とウェーハWとの間のみならず、一次電子線の照射領域を変更できる限り任意の位置に置くことができる。例えば、E×B偏向器723と対物レンズ724との間、電子銃721とE×B偏向器723との間などがある。更には、E×B偏向器723が生成する場を制御することによって、その偏向方向を制御するようにしてもよい。即ち、E×B偏向器723に偏向電極1011の機能を兼用させてもよい。
また、上記実施形態では、画像データ同士のマッチングを行う際に、画素間のマッチング及び特徴ベクトル間のマッチングのいずれかとしたが、両者を組み合わせることもできる。例えば、最初、演算量の少ない特徴ベクトルで高速マッチングを行い、その結果、類似度の高い被検査画像については、より詳細な画素データでマッチングを行うという2段階の処理によって、高速化と精度とを両立させることができる。
また、本発明の実施形態では、被検査画像の位置ずれを一次電子線の照射領域の位置ずらしのみで対応したが、マッチング処理の前若しくはその間で画像データ上で最適マッチング領域を検索する処理(例えば相関係数の高い領域同士を検出してマッチングさせる)と本発明とを組み合わせることもできる。これによれば、被検査画像の大きな位置ずれを本発明による一次電子線の照射領域の位置ずらしで対応すると共に、比較的小さな位置ずれを後段のデジタル画像処理で吸収することができるので、欠陥検出の精度を向上させることができる。
更に、欠陥検査用の電子線装置として、図41の構成を示したが、電子光学系等は任意好適に変更可能である。例えば、図41に示された欠陥検査装置の電子線照射手段(721、722、723)は、ウェーハWの表面に対して垂直上方から一次電子線を入射させる形式であるが、E×B偏向器723を省略し、一次電子線をウェーハWの表面に斜めに入射させるようにしてもよい。
また、図43のフローチャートの流れも、これに限定されない。例えば、ステップ1312で欠陥有りと判定された試料について、他の領域の欠陥検査は行わないことにしたが、全領域を網羅して欠陥を検出するように処理の流れを変更してもよい。また、一次電子線の照射領域を拡大し1回の照射で試料のほぼ全検査領域をカバーできれば、ステップ1314及びステップ1316を省略することができる。
以上詳細に説明したように本実施例の欠陥検査装置によれば、試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得し、これらの被検査領域の画像と基準画像とを比較することによって、試料の欠陥を検査するようにしたので、被検査画像と基準画像との位置ずれによる欠陥検査精度の低下を防止できる、という優れた効果が得られる。
更に本発明のデバイス製造方法によれば、上記のような欠陥検査装置を用いて試料の欠陥検査を行うようにしたので、製品の歩留まりの向上及び欠陥製品の出荷防止が図れる、という優れた効果が得られる。
電子線装置の他の実施の形態
さらに、この写像投影方式の課題解決を考慮した、もう1つの方式として一次電子線を複数とし、前記複数の電子線を二次元(X−Y方向)に走査しながら(ラスタースキャン)試料表面の観察領域を照射するものであり、二次電子光学系は写像投影方式を採用した方式がある。この方式は、前述の写像投影方式の利点を持つとともに、この写像方式の課題である▲1▼電子線を一括照射するために、試料表面上でチャージアップしやすいこと、▲2▼本方式で得られる電子線電流に限界が有り(1.6μA程度)検査速度向上の妨げとなっていること、については複数の電子線を走査することにより解決できる。即ち、電子線照射点が移動するので電荷が逃げやすく、チャージアップが減少する。また、複数の電子線の本数を増やすことにより、容易に電流値を増加できる。実施例においては4本の電子線を使う場合、一本の電子線電流が500nA(電子線の径10μm)で合計2μAが得られている。16本程度には容易に電子線の数を増やすことが可能であり、この場合で8μAを得ることが原理的に可能である。複数の電子線の走査は複数の電子線による照射量が、照射領域に均一になるように照射されれば良いので、前記のようにラスタスキャンに限らず、リサージュ図形などの他の形状の走査形状でも良い。従って、ステージの走査方向は複数の電子線の走査方向に垂直である必要は無い。
電子銃(電子線源)
この実施例で用いられる電子線源として熱電子線源を使用している。電子放出(エミッタ)材はLaBである。高融点(高温での蒸気圧が低い)で仕事関数の小さい材料であれば、他の材料を使用することが可能である。複数の電子線を得るために、2通りの方法を用いている。一つは一本のエミッタ(突起が一つ)から一本の電子線引き出し、複数の穴のあいた薄板(開口板)を通すことにより、複数の電子線を得る方法、もう一つの方法は一本のエミッタに複数の突起を形成してそこから直に複数の電子線を引き出す方法である。いずれの場合も電子線は突起の先端から放出されやすい性質を利用している。他の方式の電子線源例えば熱電界放出型の電子線も使用可能である。
尚、熱電子線源は電子放出材を加熱することにより電子を放出する方式であり、熱電解放出電子線源とは、電子放出材に高電界をかけることにより電子を放出させ、更に電子線放出部を加熱することにより、電子放出を安定させた方式である。
図48Aは、該他の実施の形態による電子線装置の概略図である。一方、図48Bは、複数の一次電子線で試料を走査する態様を示す概略平面図である。空間電荷制限条件で作動可能な電子銃721は、図48Bに符号711で示すようなマルチビームを形成する。マルチビーム711は、円周上に配置された8個の円形ビームである一次電子線711aから構成される。
電子銃721で発生した複数の一次電子線711aは、レンズ722−1および722−2を用いて集束され、電極723−1および磁石723−2からなるE×B分離器723によって試料Wに対して直角に入射するようになされている。これらの要素711、722−1、722−2、723とレンズ724−1および対物レンズ724−2とを含む一次光学系によって試料W上に集束された複数の一次電磁線711aからなるマルチビーム711は、レンズ722−2の下流側に設けた2段偏向器(図示せず。一次光学系に含まれる。)により試料W上の走査に用いられる。
試料Wの走査は、対物レンズ724−2の主面を偏向中心として、x軸方向に行われる。図48Bに示すように、マルチビーム711のそれぞれの一次電子線711aは、円周上に互いに離れて配置されており、走査方向であるx方向に直交するy軸上に投影したとき、互いに隣接する一次電子線711a間の距離(各一次電子線の中心で計測する。)が等間隔になるように設計されている。このとき、互いに隣接する一次電子線711aどうしは、離れていても、接していても、一部が重なり合っていてもよい。
図48Bに示すように、マルチビーム711を構成するそれぞれの一次電子線711aが、互いに離れて配置されていることにより、個々の一次電子線711aの電流密度限界値すなわち試料Wに帯電を生じさせない限界の電流密度値は、単一の円形ビームを使用した場合と同等に維持することができ、それにより、S/N比の低下を防止することができる。また、各一次電子線711aが互いに離れているので、空間電荷効果も小さい。
その一方で、マルチビーム711は、一回の走査で試料Wを視野713の全面に亘って一様な密度で走査することができる。これにより、高スループットで画像形成ができ、検査時間の短縮を図ることができる。図48Bにおいて、符号711が走査の始点にあるマルチビームを示すとすると、符号711aは走査の終点にあるマルチビームを示す。
試料Wは試料台(図示せず)に載せられる。この台は、x方向への走査時(例えば200μm幅で走査)に、走査方向xに直交する方向yに沿って連続移動せしめられる。これにより、ラスタ走査が行われる。試料を載せた台を移動させるための駆動装置(図示せず)が設けられる。
走査時に試料Wから発生し色々な方向に放出された二次電子は、対物レンズ724−2で光軸方向に加速され、その結果、各点から色々な方向に放出された二次電子はそれぞれが細く集束され、レンズ724−1,741−1,741−2で像の間隔が拡大される。これらのレンズ724−1,724−2,741−1,741−2を含む二次光学系を経て形成された二次電子線712は、検出器761の受光面に投影され、視野の拡大像を結像させる。
光光学系に含まれる検出器761は、MCP(マイクロチャンネルプレート)で二次電子線を増倍し、シンチレータで光信号に変換し、CCD検出器で電気信号に変換する。CCDからの電気信号により、試料Wの二次元画像を形成することができる。それぞれの一次電子線711aは、CCD画素の少なくとも2画素以上の寸法を有するものとする。
電子銃721を空間電荷制限条件で動作させることにより、一次電子線711aのショット雑音は、温度制限条件で動作させた場合より約1桁少なくすることができる。したがって、二次電子信号のショット雑音も1桁小さくできるので、S/N比の良い信号を得ることができる。
本実施例の電子線装置によれば、試料に帯電を生じさせない一次電子線の電流密度限界値を、単一の円形ビームを使用した場合と同等に維持することによりS/N比の低下を防止しつつ、高スループットで画像形成することにより検査時間を短縮できる。
また本実施例によるデバイス製造方法は、かかる電子線装置を用いて各ウェーハプロセスの終了後にウェーハの評価を行うことによって、歩留まり向上を図ることができる。
図49Aは図48Aの実施の形態による電子線装置の詳細を示す図である。電子銃721から放出された4本の電子線711(711−1、711−2、711−3、711−4)は開口絞りNA−1で整形され、2段のレンズ722−1、722−2でウィーンフィルタ723の偏向中心面に10μm×12μmの楕円状に結像され、図の紙面垂直方向に偏向器730によりラスタースキャンされ、4本の電子線全体として1mm×0.25mmの矩形領域を均一にカバーするように結像される。EXB723で偏向された複数の電子線はNA絞りでクロスオーバーを結び、レンズ724、で1/5に縮小され試料Wに200μ×50μmをカバーし、かつ試料面に垂直になるように照射、投影される(ケーラー照明と呼ばれる)。試料から放出されたパターン画像(試料像F)の情報を持った4本の2次電子線712はレンズ724、741−1、741−2で拡大され、MCP767上に全体として4本の電子線712で合成された矩形画像(拡大投影像F‘)として結像する。この二次電手線712による拡大投影像F’は、MCP767で1万倍に増感され、蛍光部767により光に変換され、TDI−CCD762で試料の連続移動速度に同期された電気信号となり、画像表示部765で連続した画像として取得され、CRT上等に出力した。
電子線照射部は試料表面をできるだけ均一に、かつ照射むらを少なくして、矩形または楕円状に電子線で照射する必要があり、また、スループットをあげるためにはより大きな電流で照射領域を電子線照射する必要がある。従来の電子線照射むらは±10%程度であり画像のコントラストむらが大きく、また、電子線照射電流は照射領域において500nA程度と少ないために、高いスループットが得られないという問題があった。また、走査型電子線顕微鏡(SEM)方式に比べて、本方式は広い画像観察領域を一括して電子線照射するためにチャージアップによる結像障害が生じやすいという問題があった。
本実施例の一次電子線照射方法を図49Bにより示す。一次電子線711は4本の電子線711−1、711−2、711−3、711−4で構成され、それぞれのビームは2μm×2.4μmの楕円状しており、それぞれ1本当り200μm×12.5μmの矩形領域をラスタースキャンし、それらが重なり合わないように足し合わせて全体として200μ×50μmの矩形領域を照射する。711−1のビームは711−1’へ有限の時間で到達し次にビームスポット径分(10μm)ずれた711−1の直下(202方向)にほとんど時間損失なしに戻り、再度前記と同じ有限の時間で711−1〜711−1’に平行に711−1’の直下(711−2’方向)に移動し、これを繰り返して図の点線で示す矩形の照射領域の1/4(200μm×12.5μm)を走査した後はじめの点711−1に戻りこれを高速に繰り返す。他の電子線711−2〜711−4も電子線711−1と同様に同じ速度で走査を繰り返し、全体として図の矩形の照射領域(200μ×50μm)を均一に高速に照射する。均一に照射できれば、前記のラスタースキャンでなくても良い。例えばリサージュ形を描くように走査しても良い。従って、ステージの移動方向は図に示す方向Aである必要は無い。即ち、スキャン方向(図の横方向の高速走査方向)に垂直である必要は無い。本実施例では電子線照射むらは±3%程度で照射できた。照射電流は1本の電子線当たり250nAで試料表面で全体として、4本の電子ビームで1.0μAを得ることができた(従来の2倍)。電子線の本数を増やすことにより、電流を増加でき、高スループットを得ることができる。また、照射点が従来に比べて小さく(面積で約1/80)また移動しているのでチャージアップは従来の1/20以下に抑えることができた。
図中には示していないが、本装置には、レンズの他に、制限視野絞り、電子線の軸調整のための4極またはそれ以上の極数を有する偏向器(アライナー)、非点収差補正器(スティグメータ)、さらにビーム形状を整形する複数の4重極レンズ(4極子レンズ)等電子線の照明、結像に必要なユニットを備えている。
デバイス製造方法
次に図50及び図51を参照して本発明による半導体デバイスの製造方法の実施例を説明する。
図50は、本発明による半導体デバイスの製造方法の一実施例を示すフローチャートである。この実施例の製造工程は以下の主工程を含んでいる。
(1)ウエハを製造するウエハ製造工程(又はウエハを準備するウエハ準備工程)(ステップ1400)
(2)露光に使用するマスクを製造するマスク製造工程(又はマスクを準備するマスク準備工程)(ステップ1401)
(3)ウエハに必要な加工処理を行うウエハプロセッシング工程(ステップ1402)
(4)ウエハ上に形成されたチップを1個ずつ切り出し、動作可能にならしめるチップ組立工程(ステップ1403)
(5)できたチップを検査するチップ検査工程(ステップ1404)
なお、上記のそれぞれの主工程は更に幾つかのサブ工程からなっている。
これらの主工程中の中で、半導体デバイスの性能に決定的な影響を及ぼすのが(3)のウエハプロセッシング工程である。この工程では、設計された回路パターンをウエハ上に順次積層し、メモリやMPUとして動作するチップを多数形成する。このウエハプロセッシング工程は以下の各工程を含んでいる。
(A)絶縁層となる誘電体薄膜や配線部、或いは電極部を形成する金属薄膜等を形成する薄膜形成工程(CVDやスパッタリング等を用いる)
(B)この薄膜層やウエハ基板を酸化する酸化工程
(C)薄膜層やウエハ基板等を選択的に加工するためにマスク(レチクル)を用いてレジストパターンを形成するリソグラフィー工程
(D)レジストパターンに従って薄膜層や基板を加工するエッチング工程(例えばドライエッチング技術を用いる)
(E)イオン・不純物注入拡散工程
(F)レジスト剥離工程
(G)加工されたウエハを検査する工程
なお、ウエハプロセッシング工程は必要な層数だけ繰り返し行い、設計通り動作する半導体デバイスを製造する。
図51Aは、図50のウエハプロセッシング工程の中核をなすリソグラフィー工程を示すフローチャートである。このリソグラフィー工程は以下の各工程を含む。
(a)前段の工程で回路パターンが形成されたウエハ上にレジストをコートするレジスト塗布工程(ステップ1500)
(b)レジストを露光する工程(ステップ1501)
(c)露光されたレジストを現像してレジストのパターンを得る現像工程(ステップ1502)
(d)現像されたレジストパターンを安定化するためのアニール工程(ステップ1503)
上記の半導体デバイス製造工程、ウエハプロセッシング工程、リソグラフィー工程については、周知のものでありこれ以上の説明を要しないであろう。
上記(G)の検査工程に本発明に係る欠陥検査方法、欠陥検査装置を用いると、微細なパターンを有する半導体デバイスでも、スループット良く検査できるので、全数検査も可能となり、製品の歩留まりの向上、欠陥製品の出荷防止が可能と成る。
検査手順
上記(G)の検査工程における検査手順について述べる。
一般に電子線を用いた欠陥検査装置は高価であり、またスループットも他のプロセス装置に比べて低いために、現状では最も検査が必要と考えられている重要な工程(例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理等)の後に使用されている。
検査されるウェーハは大気搬送系及び真空搬送系を通して、超精密X−Yステージ上に位置合わせ後、静電チャック機構等により固定され、以後、(図51B)の手順に従って欠陥検査等が行われる。はじめに光学顕微鏡により、必要に応じて各ダイの位置確認や、各場所の高さ検出が行われ記憶される。光学顕微鏡はこの他に欠陥等の見たい所の光学顕微鏡像を取得し、電子線像との比較等にも使用される。次にウェーハの種類(どの工程後か、ウェーハのサイズは20cmか30cmか等)に応じたレシピの情報を装置に入力し、以下検査場所の指定、電子光学系の設定、検査条件の設定等を行なった後、画像取得を行ないながら通常はリアルタイムで欠陥検査を行なう。セル同士の比較、ダイ比較等が、アルゴリズムを備えた高速の情報処理システムにより検査が行なわれ、必要に応じてCRT等に結果を出力や、メモリーへ記憶を行なう。欠陥にはパーティクル欠陥、形状異常(パターン欠陥)、及び電気的(配線又はビア等の断線及び導通不良等)欠陥等が有り、これらを区別したり欠陥の大きさや、キラー欠陥(チップの使用が不可能になる重大な欠陥等)の分類を自動的にリアルタイムで行うことも出来る。電気的欠陥の検出はコントラスト異状を検出することで達成される。例えば導通不良の場所は電子線照射(500eV程度)により、通常正に帯電し、コントラストが低下するので正常な場所と区別ができる。この場合の電子線照射手段とは、通常検査用の電子線照射手段以外に別途、電位差によるコントラストを際立たせるために設けた低電位(エネルギー)の電子線発生手段(熱電子発生、UV/光電子)をいう。検査対象領域に検査用の電子線を照射する前に、この低電位(エネルギー)の電子線を発生・照射している。検査用の電子線を照射すること自体正に帯電させることができる写像投影方式の場合は、仕様によっては、別途低電位の電子線発生手段を設ける必要はない。また、ウェーハ等の試料に基準電位に対して、正又は負の電位をかけること等による(素子の順方向又は逆方向により流れ易さが異なるために生じる)コントラストの違いから欠陥検出が出来る。線幅測定装置及び合わせ精度測定にも利用できる。
【図面の簡単な説明】
図1は、本発明による検査装置の主要構成要素を示す立面図であって、図2の線A−Aに沿って見た図である。
図2Aは、図1に示す検査装置の主要構成要素の平面図であって、図1の線B−Bに沿って見た図である。
図2Bは、本発明にける基板搬入装置の他の実施例を示す概略断面図である。
図3は、図1のミニエンバイロメント装置を示す断面図であって、線C−Cに沿って見た図である。
図4は、図1のローダハウジングを示す図であって、図2の線D−Dに沿って見た図である。
図5は、ウエハラックの拡大図であって、[A]は側面図で、[B]は[A]の線E−Eに沿って見た断面図である。
図6は、主ハウジングの支持方法の変形例を示す図である。
図7は、主ハウジングの支持方法の変形例を示す図である。
図8は、図1の検査装置の電子光学装置の概略構成を示す模式図である。
図9は、EXB分離器の電子ビーム偏向部の構造を示す断面図である。
図10は、図9のA−A線に沿う断面図である。
図11は、本発明の実施例装置を説明するための全体構成図である。
図12は、電極の斜視図であり、電極が軸対称に円筒形状である場合を示す斜視図である。
図13は、電極の斜視図であり、電極が軸対称に円盤形状である場合を示す斜視図である。
図14は、ウェーハと対物レンズとの間の電圧分布を示すグラフである。
図15は、電子線装置の2次電子検出動作を示すフローチャートである。
図16は、本発明のE×B分離器の断面図である。
図17は、本発明のE×B分離器の電界分布を示す図である。
図18は、本発明によるプレチャージユニットの一実施の形態の要部を示す概略構成図である。
図19は、プレチャージユニットの他の実施の形態を示す概略構成図である。
図20は、プレチャージユニットのさらに他の実施の形態を示す概略構成図である。
図21は、プレチャージユニットのさらに他の実施の形態を示す概略構成図である。
図22は、本発明による撮像装置の一つの実施形態の模式図である。
図23は、図22の撮像装置の対象に帯電した電荷を均一化又は低減かする動作タイミングを示す図である。
図24は、本発明の他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成図である。
図25は、本発明の他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成図である。
図26は、本発明のさらに他の実施形態に係るプレチャージユニットを備えた欠陥検査装置の概略構成図である。
図27は、図24乃至図26の実施形態に係る欠陥検査装置のウェーハ検査の流れを示すフローチャートである。
図28は、図24乃至図26の実施形態に係る欠陥検査装置におけるウェーハの欠陥検出方法の具体例を説明するための図であって、(a)はパターン欠陥検出、(b)は線幅測定、(c)は電位コントラスト測定を夫々示す。
図29は、電位印加機構を示す図である。
図30は、電子ビームキャリブレーション機構を説明する図であって、[A]は側面図であり、[B]は平面図である。
図31は、ウエハのアライメント制御装置の概略説明図である。
図32は、本発明の荷電ビーム装置の一実施形態の真空チャンバ及びXYステージを示す図であって、[A]が正面図で[B]が側面図である。
図33は、本発明の荷電ビーム装置の他の実施形態の真空チャンバ及びXYステージを示す図である。
図34は、本発明の荷電ビーム装置の別の実施形態の真空チャンバ及びXYステージを示す図である。
図35は、本発明の荷電ビーム装置の更に別の実施形態の真空チャンバ及びXYステージを示す図である。
図36は、本発明の荷電ビーム装置の更に別の実施形態の真空チャンバ及びXYステージを示す図である。
図37は、本発明の荷電ビーム装置の一実施形態の真空チャンバ及びXYステージを示す図である。
図38は、図37に示された装置に設けられた作動排気機構の一例を示す図である。
図39は、図37に示された装置のガスの循環配管系を示す図である。
図40は、鏡筒に設けられる光学系及び検出系の一例を示す概略図である。
図41は、本発明の変形例による欠陥検査装置の概略構成図である。
図42は、図41の欠陥検査装置で取得される複数の被検査画像及び基準画像の例を示す図である。
図43は、図41の欠陥検査装置におけるウェーハ検査のメインルーチンの流れを示すフローチャートである。
図44は、図43における複数の被検査画像データ取得工程(ステップ1304)のサブルーチンの詳細な流れを示すフローチャートである。
図45は、図43における比較工程(ステップ1308)のサブルーチンの詳細な流れを示すフローチャートである。
図46は、図41の欠陥検査装置の検出器の具体的構成例を示す図である。
図47は、半導体ウェーハの表面上で部分的に重なり合いながら互いから位置がずらされた複数の被検査領域を概念的に示す図である。
図48Aは、本発明の他の実施の形態による電子線装置の概略図である。
図48Bは、図48Aの実施の形態における複数の一次電子線で試料を走査する態様を示す概略平面図である。
図49Aは、図48Aの実施の形態のより詳細な説明図である。
図49Bは、同実施の形態における一次電子線の照射方法を説明するための図である。
図50は、本発明による半導体デバイスの製造方法の一実施例を示すフローチャートである。
図51は、図50のウエハプロセッシング工程の中核をなすリソグラフィー工程を示すフローチャートである。
図52は、写像投影型電子線検査装置の一例の概略構成である。
図53は、矩形領域から放出される2次電子の動きを示す図である。
図54は、従来のE×B分離器の電界分布を示す図である。
図55は、従来の荷電ビーム装置の真空チャンバ及びXYステージを示す図であって、[A]が正面図で[B]が側面図である。
図56は、図1のXYステージに使用されている静圧軸受と差動排気機構との関係を示す図である。
TECHNICAL FIELD OF THE INVENTION
The present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on a surface of an inspection object using an electron beam, and more particularly, to inspecting an electron beam as in the case of detecting a defect of a wafer in a semiconductor manufacturing process. Inspection that irradiates an object and captures secondary electrons that change according to the surface properties to form image data, and inspects patterns, etc., formed on the surface of the inspection object with high throughput based on the image data The present invention relates to an apparatus and a device manufacturing method for manufacturing a device with a high yield using such an inspection apparatus. More specifically, the present invention relates to a detection device based on a projection method using a surface beam and a device manufacturing method using the detection device.
In the semiconductor process, the design rule is approaching the era of 100 nm, and the production form is shifting from small-type mass production represented by DRAM to multi-type small production such as SOC (Silicon on chip). . As a result, the number of manufacturing steps increases, and it is essential to improve the yield for each step, and defect inspection due to the process becomes important. The present invention relates to an apparatus used for inspecting a wafer after each step in a semiconductor process, and relates to an inspection method and an apparatus using an electron beam or a device manufacturing method using the same.
Problems to be solved by conventional technology and invention
2. Description of the Related Art As semiconductor devices become highly integrated and patterns become finer, high-resolution and high-throughput inspection apparatuses are required. In order to examine a wafer-substrate defect with a 100 nm design rule, a resolution of 100 nm or less is required, and an increase in the number of manufacturing processes due to high integration of devices increases the amount of inspection. Therefore, high throughput is required. . In addition, as devices become more multi-layered, inspection devices are also required to have a function of detecting a contact failure (electrical defect) of a via connecting an interlayer wiring. Currently, optical defect inspection equipment is mainly used, but in terms of resolution and contact failure inspection, defect inspection equipment using electron beams will replace optical optical defect inspection equipment in the future. It is expected to become mainstream. However, the electron beam type defect inspection apparatus also has a weak point, which is inferior to the optical type in terms of throughput.
For this reason, there is a demand for the development of a high-resolution, high-throughput inspection apparatus capable of detecting electrical defects. It is said that the resolution in the optical system is limited to 1/2 of the wavelength of the light used, and is about 0.2 μm in the case of practically used visible light.
On the other hand, in the method using an electron beam, a normal scanning electron beam method (SEM method) is put into practical use, and the resolution is 0.1 μm and the inspection time is 8 hours / sheet (20 cm wafer). An important feature of the electron beam method is that it can also inspect for electrical defects (such as disconnection of wiring, poor conduction, poor conduction of vias, etc.). However, the inspection time is very slow, and development of a defect inspection apparatus having a high inspection speed is expected.
In general, inspection equipment is expensive and throughput is lower than other processing equipment, so it is currently used after important processes, such as after etching, film formation, or CMP (chemical mechanical polishing) planarization. ing.
A scanning (SEM) inspection apparatus using an electron beam will be described. The SEM type inspection apparatus irradiates the sample in a line by scanning the electron beam while narrowing the electron beam (this beam diameter corresponds to the resolution). On the other hand, the observation region is irradiated with the electron beam in a planar shape by moving the stage in a direction perpendicular to the scanning direction of the electron beam. The scanning width of the electron beam is generally several 100 μm. A detector (scintillator + photomultiplier (photomultiplier) or a semiconductor type detector (PIN diode type)) detects secondary electrons from the sample generated by irradiation of the narrowed electron beam (referred to as primary electron beam). Etc.). The coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined to form an image and stored in a storage device, or an image is output on a CRT (CRT). The above is the principle of the scanning electron microscope (SEM), and a defect of a semiconductor (usually Si) wafer in the process is detected from an image obtained by this method. The inspection speed (corresponding to the throughput) is determined by the amount (current value) of the primary electron beam, the beam diameter, and the response speed of the detector. A beam diameter of 0.1 μm (which may be considered the same as the resolution), a current value of 100 nA, and a detector response speed of 100 MHz are the current maximum values. In this case, the inspection speed is said to be about 8 hours per wafer having a diameter of 20 cm. ing. This inspection speed is extremely slow (less than 1/20) as compared with the optical system, which is a major problem (defect).
As for the prior art of the inspection apparatus related to the present invention, an apparatus using a scanning electron microscope (SEM) is already on the market. This device scans a narrowly focused electron beam with a scan interval that is very small, detects secondary electrons emitted from the inspection object with the scan with a secondary electron detector, and forms a SEM image, The defect is extracted by comparing the SEM image with the same location of different dies.
In the past, there was no device that was completed as an entire defect inspection device using an electron beam.
By the way, in the defect inspection apparatus to which the SEM is applied, much time is required for the defect inspection. In addition, if the beam current is increased in order to increase the throughput, the beam is blurred due to the space potential effect, and a wafer having an insulator on the surface is charged and a good SEM image cannot be obtained.
In addition, an electron optical device that performs inspection by irradiating an electron beam, and an inspection device that considers the relevance between other subsystems that supply an inspection object to the irradiation position of the electron optical device in a clean state and perform alignment. Little has been said about the overall structure until now. Further, the diameter of a wafer to be inspected has been increased, and there has been a demand for a subsystem to be able to cope with the increase.
Therefore, one problem to be solved by the present invention is to use an electron optical system using an electron beam and to achieve a higher throughput by harmonizing the electron optical system with other components constituting the inspection apparatus. It is to provide an improved inspection device.
Another problem to be solved by the present invention is to improve a loader for transporting an inspection object between a cassette for storing the inspection object and a stage device for positioning the inspection object with respect to the electron optical system, and an apparatus related thereto to improve the inspection object. Is to provide an inspection apparatus capable of efficiently and accurately inspecting an image.
Another object to be solved by the present invention is to provide an inspection apparatus capable of solving an electrification problem which has been a problem in SEM and capable of inspecting an inspection object with high accuracy.
Still another object of the present invention is to provide a device manufacturing method with a good yield by performing an inspection of an inspection object such as a wafer using the inspection apparatus as described above.
Conventionally, as the density and integration of semiconductors increase, high-sensitivity inspection devices are required for inspecting defects of semiconductor wafer patterns and the like in the process of manufacturing semiconductor wafers. As an inspection apparatus for such a defect inspection, an electron microscope as described in JP-A-2-142045 or JP-A-5-258703 is used.
For example, in an electron microscope described in Japanese Patent Application Laid-Open No. 2-142045, an electron beam emitted from an electron gun is squeezed by an objective lens to irradiate a sample, and secondary electrons coming from the sample are detected by a secondary electron detector. ing. In this electron microscope, a negative voltage is applied to the sample, and an E × B filter in which an electric field and a magnetic field are made orthogonal to each other is arranged between the sample and the secondary electron detector.
With such a configuration, this electron microscope achieves high resolution by applying a negative voltage to the sample and thereby decelerating the electrons applied to the sample.
Also, by applying a negative voltage to the sample, the secondary electrons coming out of the sample are accelerated, and the accelerated secondary electrons are deflected in the direction of the secondary electron detector by the E × B filter. Then, the secondary electron detector can efficiently detect the secondary electrons.
In an apparatus using a conventional electron microscope as described above, an electron beam from an electron gun is accelerated to a high energy by a lens system such as an objective lens to which a high voltage is applied until immediately before the sample is irradiated. I have. Then, by applying a negative voltage to the sample, electrons irradiated on the sample are reduced in speed and high in resolution.
However, since a high voltage is applied to the objective lens and a negative voltage is applied to the sample, a discharge may occur between the objective lens and the sample.
Further, in the conventional electron microscope, even when a negative voltage is not applied to the sample, if the potential difference between the objective lens and the sample is large, discharge may occur between the objective lens and the sample.
In addition, if the voltage applied to the objective lens is reduced to cope with such discharge to the sample, sufficient energy is not given to the electrons, and the resolution is reduced.
Still further, the sample is a semiconductor wafer, and a via is formed on the surface of the semiconductor wafer, that is, an upper layer wiring and a lower layer wiring of the semiconductor wafer are electrically connected, and a wiring in a direction substantially perpendicular to upper and lower wiring surfaces. The case where a pattern exists will be described.
When a semiconductor wafer with vias is inspected for defects or the like using a conventional electron microscope, a high voltage, for example, a voltage of 10 kV is applied to the objective lens in the same manner as described above. Here, it is assumed that the semiconductor wafer is grounded. Therefore, an electric field is formed between the semiconductor wafer and the objective lens.
In such a state, the electric field is concentrated particularly in the vicinity of the via on the surface of the semiconductor wafer, resulting in a high electric field. When the via is irradiated with an electron beam, a large amount of secondary electrons are emitted from the via, and these secondary electrons are accelerated by a high electric field near the via. The accelerated secondary electrons have sufficient energy (> 3 eV) to ionize the residual gas generated when the semiconductor wafer is irradiated with the electron beam. Therefore, the secondary electrons ionize the residual gas, so that ionized charged particles are generated.
The positive ions, which are ionized charged particles, are accelerated in the direction of the via by a high electric field near the via, and collide with the via, whereby secondary electrons are further emitted from the via. Due to the series of positive feedbacks, a discharge is finally generated between the objective lens and the semiconductor wafer, and there is a problem that the discharge damages a pattern or the like of the semiconductor wafer.
Therefore, another object of the present invention is to provide an electron gun device that prevents discharge to a sample to be inspected and a device manufacturing method using the electron gun device.
Conventionally, as described above, a defect inspection of a mask pattern for manufacturing a semiconductor device or a pattern formed on a semiconductor wafer is performed by irradiating a sample surface with a primary electron beam to release secondary electrons emitted from the sample. Is detected by obtaining a pattern image of the sample and comparing it with a reference image. Such an inspection apparatus is provided with an E × B separator for separating primary electrons and secondary electrons.
FIG. 52 shows a schematic configuration of an example of a projection type electron beam inspection apparatus having an E × B separator. The electron beam emitted from the electron gun 721 is shaped into a rectangle by a shaping aperture (not shown), reduced by the electrostatic lens 722, and forms a 1.25 mm square shaped beam at the center of the E × B separator 723. I do. The shaped beam is deflected by the E × B separator 723 so as to be perpendicular to the sample W, and is reduced to で by the electrostatic lens 724 to irradiate the sample W. Secondary electrons emitted from the sample W have an intensity corresponding to the pattern information on the sample W, are enlarged by the electrostatic lenses 724 and 741, and enter the detector 761. The detector 761 generates an image signal corresponding to the intensity of the incident secondary electrons, and detects a defect in the sample by comparing the generated image signal with a reference image.
The E × B separator 723 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal line of the sample W surface (upward in the drawing). When the relationship satisfies a certain condition, the electron goes straight, and otherwise deflects. In the inspection device shown in FIG. 44, the secondary electrons are set to go straight.
FIG. 53 shows the movement of the secondary electrons emitted from the rectangular area of the sample W surface irradiated with the primary electrons in more detail. Secondary electrons emitted from the sample surface are enlarged by the electrostatic lens 724 and imaged on the central plane 723a of the E × B separator 723. Since the electric field and the magnetic field of the E × B separator 723 are set to values such that the secondary electrons go straight, the secondary electrons go straight as they are and the electrostatic lenses 741-1, 741-2, 741- 3 and is imaged on a target 761a in the detector 761. Then, the image is multiplied by an MCP (Multi Channel Plate, not shown), and an image is formed by a scintillator, a CCD (Charge Coupled Device) or the like (not shown). Reference numerals 732 and 733 are aperture stops provided in the secondary optical system.
FIG. 54 shows a schematic configuration of a conventional E × B separator and distribution of generated electric field. An electric field is generated by the two parallel plate electrodes 723-1 and 723-2, and a magnetic field orthogonal to the electric field is generated by the two magnetic poles 723-3 and 723-4. In this configuration, since the magnetic poles 723-3 and 723-4 are made of metal, they have the ground potential, and the electric field is bent toward the ground. Therefore, the electric field distribution is as shown in FIG. 54, and a parallel electric field can be obtained only in the central small region.
When the E × B separator having such a configuration is used for a defect inspection device such as a projection electron beam inspection device, the irradiation area of the electron beam cannot be increased in order to perform the inspection with high accuracy. There was a problem that was bad.
Therefore, another problem to be solved by the present invention is that an area in which the electric field and the magnetic field are uniform in intensity and which are orthogonal to each other can be increased by a plane parallel to the sample, and the entire outer diameter can be reduced. It is intended to provide a separator. It is another object of the present invention to reduce the aberration of a detected image obtained by using such an E × B separator in a defect inspection apparatus, and to efficiently perform an accurate defect inspection.
Further, as described above, conventionally, when a pattern inspection of a semiconductor wafer or a photomask is performed using an electron beam, the electron beam is sent to the surface of the sample such as the semiconductor wafer or the photomask to scan or scan the sample. There is known an apparatus that detects secondary charged particles or the like generated from the surface of a sample, creates image data from the detection result, and inspects a defect by comparing data for each cell or each die.
However, in the above-described conventional defect inspection apparatus, the sample surface is charged by irradiating an electron beam, and the charge caused by the charging distorts image data, so that a defect cannot be detected correctly. Further, since the distortion of the image data becomes a problem, if the beam current is reduced so that the distortion due to the electric charge is sufficiently reduced, the S / N ratio of the secondary electron signal deteriorates and the probability of occurrence of erroneous detection increases. There is. In addition, there is a problem in that when scanning is performed a number of times to perform an averaging process or the like in order to improve the S / N ratio, the throughput is reduced.
Therefore, another problem to be solved by the present invention is to prevent image distortion due to charging or to minimize image distortion even if it occurs, thereby providing a highly reliable defect inspection. And a device manufacturing method using the device.
Conventionally, a charged particle beam is irradiated on the surface of a substrate and scanned, secondary charged particles generated from the surface of the substrate are detected, image data is created from the detection result, and the data is compared with the data for each die. An apparatus for inspecting an image formed on the substrate for defects or the like is already known.
However, in conventional imaging devices of this type, including those described in the above publication, the potential distribution on the surface of the substrate to be inspected is not necessarily uniform, and the contrast of the captured image is not sufficient. There was a problem with distortion.
Therefore, another problem to be solved by the present invention is to provide an imaging device with improved defect detection performance without lowering the throughput.
Another object of the present invention is to provide an imaging apparatus in which the contrast of an image obtained by detecting secondary electrons from an inspection object is improved to improve the performance of defect detection.
Another problem to be solved by the present invention is to improve the contrast of an image obtained by detecting secondary electrons from the surface by uniforming the potential distribution on the surface of the inspection object and reduce distortion. Accordingly, an object of the present invention is to provide an imaging device with improved defect detection performance.
Still another object of the present invention is to provide a method of manufacturing a device for evaluating a sample during a process using the above-described imaging apparatus. Conventionally, a defect inspection apparatus for inspecting a defect of a sample such as a semiconductor wafer by irradiating the sample with primary electrons to detect a secondary electron has been used in a semiconductor manufacturing process or the like. .
For example, Japanese Patent Application Laid-Open No. H11-132975 discloses an electron beam irradiator for irradiating a sample with an electron beam, a one-dimensional image of secondary electrons and reflected electrons generated according to changes in the shape, material, and potential of the sample surface. Or, a projection optical section for forming a two-dimensional image, an electron beam detecting section for outputting a detection signal based on the formed image, an image display section for receiving a detection signal and displaying an electronic image of a sample surface, A defect inspection apparatus including an electron beam deflecting unit configured to change an incident angle of an electron beam emitted from a beam irradiation unit to a sample and an angle at which secondary electrons and reflected electrons are captured by a projection optical unit is disclosed. ing. According to this defect inspection apparatus, a predetermined rectangular area on the surface of a sample wafer of an actual device is irradiated with a secondary electron beam.
However, when irradiating a relatively large area of a sample wafer of an actual device with an electron beam, since the sample surface is formed of an insulator such as silicon dioxide or silicon nitride, the electron beam irradiation on the sample surface can be performed. Secondary electron emission from the surface of the sample causes the surface of the sample to be positively charged up, and the electric field generated by this potential causes various image defects in the secondary electron beam image.
The present invention has been made in view of the above-described facts, and it is possible to more accurately inspect a sample for defects by reducing positive charge-up on a sample surface and eliminating an image obstacle caused by the charge-up. It is an object of the present invention to provide a defect inspection apparatus and a defect inspection method that can be used.
Further, the present invention provides a semiconductor manufacturing method for improving the yield of device products and preventing shipment of defective products by performing a defect inspection of a sample using the defect inspection apparatus as described above in a semiconductor device manufacturing process. Another purpose is to provide
Conventionally, a sample surface such as a semiconductor wafer is irradiated with a charged beam such as an electron beam to thereby expose the sample surface with a pattern of a semiconductor circuit or the like or to inspect a pattern formed on the sample surface. Alternatively, in an apparatus for performing ultra-precision processing on a sample by irradiating a charged beam, a stage for accurately positioning the sample in a vacuum is used.
When very high-precision positioning is required for such a stage, a structure is employed in which the stage is supported in a non-contact manner by a hydrostatic bearing. In this case, the vacuum degree of the vacuum chamber is maintained by forming a differential exhaust mechanism for exhausting the high-pressure gas in the range of the static pressure bearing so that the high-pressure gas supplied from the static pressure bearing is not exhausted directly to the vacuum chamber. are doing.
An example of such a prior art stage is shown in FIG. In the structure shown in the figure, a distal end portion of a barrel 71 of a charged beam device for generating a charged beam and irradiating the sample, that is, a charged beam irradiation section 72 is attached to a housing 98 constituting a vacuum chamber C. The inside of the lens barrel is evacuated by a vacuum pipe 710, and the chamber C is evacuated by a vacuum pipe 911. Then, the charged beam is irradiated from the distal end portion 72 of the lens barrel 71 to a sample W such as a wafer placed thereunder.
The sample W is detachably held on a sample stage 94 by a known method, and the sample stage 94 is mounted on the upper surface of a Y-direction movable portion 95 of an XY stage (hereinafter simply referred to as a stage) 93. A plurality of hydrostatic bearings 90 are attached to the Y-direction movable portion 95 on the surfaces (both left and right surfaces and the lower surface in FIG. 55A) facing the guide surface 96a of the X-direction movable portion 96 of the stage 93. Due to the operation of the pressure bearing 90, it is possible to move in the Y direction (the left and right direction in FIG. 55B) while maintaining a small gap with the guide surface. Further, a differential pumping mechanism is provided around the static pressure bearing so that the high-pressure gas supplied to the static pressure bearing does not leak into the vacuum chamber C. This is shown in FIG. Double grooves 918 and 917 are formed around the static pressure bearing 90, and these grooves are constantly evacuated by a vacuum pipe and a vacuum pump (not shown). With such a structure, the Y-direction movable section 95 is supported in a non-contact state in vacuum and can move freely in the Y-direction. These double grooves 918 and 917 are formed on the surface of the movable portion 95 where the static pressure bearing 90 is provided so as to surround the static pressure bearing. Since the structure of the static pressure bearing may be a known structure, a detailed description thereof will be omitted.
The X-direction movable portion 96 on which the Y-direction movable portion 95 is mounted has a concave shape that opens upward, as is apparent from FIG. The same static pressure bearings and grooves are provided, are supported in a non-contact manner with the stage table 97, and can move freely in the X direction.
By combining the movements of the Y-direction movable section 95 and the X-direction movable section 96, the sample W is moved to an arbitrary position in the horizontal direction with respect to the tip of the lens barrel, that is, the charged beam irradiation section 72, and moved to a desired position of the sample. A charged beam can be irradiated.
In a stage in which the above-described static pressure bearing and differential pumping mechanism are combined, when the stage moves, the guide surfaces 96a and 97a facing the static pressure bearing 90 are provided with the high-pressure gas atmosphere of the static pressure bearing portion and the vacuum in the chamber. Reciprocate between environments. At this time, on the guide surface, a state in which the gas is adsorbed while being exposed to the high-pressure gas atmosphere and the adsorbed gas is released when exposed to the vacuum environment is repeated. For this reason, every time the stage moves, a phenomenon occurs in which the degree of vacuum in the chamber C is deteriorated, and the exposure, inspection, processing, and the like using the above-described charged beam cannot be performed stably, and the sample is contaminated. There was a problem.
Therefore, another object to be solved by the present invention is to provide a charged beam device capable of preventing a decrease in the degree of vacuum and stably performing processing such as inspection and processing using a charged beam.
Another problem to be solved by the present invention is to provide a non-contact support mechanism using a static pressure bearing and a vacuum seal mechanism using a differential exhaust, and to provide a space between a charged beam irradiation area and a support section of the static pressure bearing. An object of the present invention is to provide a charged beam device that generates a pressure difference.
Another problem to be solved by the present invention is to provide a charged beam device in which gas released from the component surface facing the hydrostatic bearing is reduced.
Still another object of the present invention is to provide a defect inspection apparatus for inspecting a sample surface using the above charged beam apparatus, or an exposure apparatus for writing a pattern on the surface of the sample.
Still another object of the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
Further, in the stage in which the conventional static pressure bearing and the differential exhaust mechanism are combined as shown in FIG. 55, since the differential exhaust mechanism is provided, the structure is more complicated than the static pressure bearing type stage used in the atmosphere. There is a problem that the size becomes large, the reliability as a stage is low, and the cost is high.
Therefore, another object of the present invention is to provide a charged beam device which has a simple structure and can be made compact by eliminating a differential pumping mechanism of an XY stage.
Another problem to be solved by the present invention is to provide a charged beam provided with a differential pumping mechanism for evacuating the inside of the housing accommodating the XY stage and evacuating the area of the sample surface to be irradiated with the charged beam. It is to provide a device.
Still another object of the present invention is to provide a defect inspection apparatus for inspecting a sample surface using the above charged beam apparatus, or an exposure apparatus for drawing a pattern on the surface of the sample.
Still another object of the present invention is to provide a semiconductor manufacturing method for manufacturing a semiconductor device using the above charged beam device.
As described above, conventionally, a defect inspection apparatus for inspecting a defect such as a semiconductor wafer or the like by detecting secondary electrons generated by irradiating the sample with a primary electron is used in a semiconductor manufacturing process or the like. Used in
As such a defect inspection apparatus, there is a technique for applying an image recognition technology to automate and improve the efficiency of the defect inspection. In this technique, pattern image data of a region to be inspected on a sample surface obtained by detecting secondary electrons and reference image data of a sample surface stored in advance are matched by a computer, and based on the calculation result, The presence or absence of a defect in the sample is automatically determined.
In recent years, particularly in the field of semiconductor manufacturing, patterns have become increasingly finer, and the need to detect minute defects has increased. Under such circumstances, further improvement in recognition accuracy is also required in a defect inspection apparatus to which the above-described image recognition technology is applied.
However, in the above-described conventional technology, a position shift occurs between an image of a secondary electron beam acquired by irradiating a region to be inspected on a sample surface with a primary electron beam and a reference image prepared in advance. There is a problem that accuracy is reduced. This positional shift is a particularly serious problem when the irradiation area of the primary electron beam shifts with respect to the wafer and a part of the inspection pattern is missing from the detection image of the secondary electron beam. Optimization techniques alone cannot cope. This can be a fatal drawback, especially for inspection of high definition patterns.
Therefore, another object to be solved by the present invention is to provide a defect inspection apparatus that prevents a decrease in defect inspection accuracy due to a positional shift between an image to be inspected and a reference image.
Further, the present invention provides a semiconductor manufacturing method for improving the yield of device products and preventing shipment of defective products by performing a defect inspection of a sample using the defect inspection apparatus as described above in a semiconductor device manufacturing process. Another purpose is to provide
Summary of the Invention
The present invention utilizes a method called an image projection method using an electron beam as a method for improving the inspection speed, which is basically a drawback of the SEM method. Hereinafter, the mapping projection method will be described.
In the projection method, the observation area of the sample is illuminated collectively with a primary electron beam (irradiates a fixed area without scanning), and the secondary electrons from the illuminated area are collectively detected by a lens system using a detector ( An image is formed as an electron beam image on a microchannel plate + fluorescent plate). The image information is converted into an electric signal by a two-dimensional CCD (solid-state imaging device) or a TDI-CCD (line image sensor) and output on a CRT or stored in a storage device. The defect of the sample wafer (semiconductor (Si) wafer in the process) is detected from this image information. In the case of a CCD, the moving direction of the stage is the short axis direction (the long axis direction may be used), and the movement is in a step-and-repeat method. In the case of the TDI-CCD, the stage moves continuously in the integration direction. Since images can be continuously acquired by the TDI-CCD, the TDI-CCD is used when performing defect inspection continuously. The resolution depends on the magnification and the accuracy of the imaging optical system (secondary optical system). In the embodiment, a resolution of 0.05 μm is obtained. In this example, when the resolution is 0.1 μm and the electron beam irradiation condition is 1.6 μA in an area of 200 μm × 50 μm, the inspection time is about 1 hour per 20 cm wafer, which is eight times that of the SEM method. ing. The specifications of the TDI-CCD used here are 2048 pixels × 512 steps and the line rate is 3.3 μs (line frequency 300 kHz).
Although the irradiation area in this example conforms to the specifications of the TDI-CCD, the irradiation area may be changed depending on the irradiation target.
The problems of this mapping method are: (1) easy charge-up on the sample surface due to simultaneous irradiation of electron beams, and (2) inspection due to the limited electron beam current obtained by this method (about 1.6 μA). That is, it hinders speed improvement.
Thus, in order to solve the above-mentioned conventional problems, the first invention of the present application is an inspection apparatus that irradiates any one of charged particles or electromagnetic waves to an inspection target and inspects the inspection target, and is capable of controlling a vacuum atmosphere. A working chamber for inspecting an inspection object, beam generating means for generating any one of charged particles or electromagnetic waves as a beam, and guiding and irradiating the beam to the inspection object held in the working chamber to generate the beam from the inspection object An electron optical system that detects secondary charged particles to be guided to an image processing system, an image processing system that forms an image with the secondary charged particles, and displays state information of an inspection target based on an output of the image processing system. And / or an information processing system for storing and / or a stage device for holding an inspection object movably relative to the beam.
The second invention of the present application is the detection device of the first invention, characterized in that the detection device is provided with a carry-in / out mechanism for preserving the inspection object and carrying in / out the working chamber.
A third invention of the present application is the inspection device of the second invention of the present application, wherein the carrying-in / out mechanism is:
A mini-environment device for flowing a clean gas to the inspection object to prevent dust from adhering to the inspection object; and a mini-environment device disposed between the mini-environment device and the working chamber, each of which is independently a vacuum atmosphere. At least two loading chambers, and a transfer unit capable of transferring the inspection object between the mini-environment device and the loading chamber, and a transfer unit inside the one loading chamber and on the stage device. A loader having another transport unit capable of transporting the inspection object between the loaders,
The working chamber and the loading chamber are supported via a vibration isolator.
The fourth invention of the present application is the inspection device of the first invention of the present application,
A loader that supplies the inspection target onto the stage device in the working chamber;
A precharge unit configured to irradiate the inspection target with charged particles arranged in the working chamber to reduce uneven charging of the inspection target and a potential application mechanism that applies a potential to the inspection target is provided. And
A fifth invention of the present application is the inspection device according to the third invention, wherein the loader is configured to first and second loading chambers, each of which can independently control an atmosphere, and the inspection object. A first transport unit for transporting between the inside of the first loading chamber and the outside thereof, and a first transport unit provided in the second loading chamber and for inspecting the inspection target in the first loading chamber and on the stage device. And a second transport unit for transporting between the two.
A sixth invention of the present application is the first, second, or third inspection apparatus, further comprising: an alignment for controlling alignment by observing a surface of the inspection target for positioning the inspection target with respect to the electron optical system. A controller and a laser interferometer for detecting the coordinates of the inspection target on the stage device, wherein the alignment control device determines the coordinates of the inspection target using a pattern present on the inspection target. Features.
A seventh invention of the present application is the inspection device according to the first, second or third invention, wherein the alignment of the inspection object is performed by performing a rough alignment performed in the mini-environment space and a rough alignment performed on the stage device. The present invention is characterized in that it includes the XY direction alignment and the rotational direction alignment performed.
An eighth invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the electron optical system is:
An E × B deflector for deflecting the secondary charged particles in the direction of the detector by a field in which an electric field and a magnetic field are orthogonal to each other;
It is disposed between the decelerating electric field type objective lens and the sample to be inspected, has a shape substantially axially symmetric with respect to the irradiation optical axis of the beam, and reduces the electric field intensity on the electron beam irradiation surface of the sample to be inspected. It is characterized by having an electrode for control.
A ninth invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the inspection device comprises a charged particle, and a secondary charged particle traveling in a direction substantially opposite to the charged particle. Is incident, is an E × B separator for selectively deflecting the charged particles or the secondary charged particles, wherein an electrode for generating an electric field is composed of three or more pairs of nonmagnetic conductor electrodes, It is characterized by including an E × B separator arranged to form a cylinder.
A tenth invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the inspection device includes a charged particle irradiation unit that previously irradiates a region to be inspected immediately before inspection with charged particles. It is characterized by having.
An eleventh invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the inspection device has means for equalizing or reducing the charge charged on the inspection target. It is characterized by.
A twelfth invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the device is configured so that at least the detecting unit detects the secondary charged particle image. An electron having lower energy than the charged particles is supplied to the sample.
A thirteenth invention of the present application is the inspection device according to any one of the first, second, and third inventions, wherein the stage is an XY stage, and the XY stage is housed in a working chamber and has a hydrostatic bearing. Is supported without contact with the working chamber by
The working chamber containing the stage is evacuated,
A differential pumping mechanism is provided around a portion of the charged particle beam apparatus that irradiates the charged particle beam onto the sample surface, and a differential exhaust mechanism that evacuates an area of the sample surface irradiated with the charged particle beam. And
A fourteenth invention of the present application is the inspection apparatus according to any one of the first, second, and third inventions, wherein the apparatus mounts a sample on an XY stage, and places the sample in an arbitrary position in a vacuum. Has a device that moves to a position and irradiates the sample surface with a charged particle beam,
The XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential pump.
A partition having a small conductance is provided between a portion on the sample surface where the charged particle beam is irradiated and a static pressure bearing support of the XY stage,
A pressure difference is produced between the charged particle beam irradiation area and the static pressure bearing support.
The fifteenth invention of the present application is the inspection device according to any one of the first, second, and third inventions,
Image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the sample,
Storage means for storing a reference image;
Defect determination means for determining a defect of the sample by comparing the images of the plurality of inspection areas acquired by the image acquisition means and the reference image stored in the storage means,
It is characterized by including.
A sixteenth invention of the present application is characterized in that a defect of a wafer during or after a process is detected using the inspection apparatus of the first to fifteenth inventions in a device manufacturing method.
According to the 1st to 16th inventions, the following effects can be obtained.
(A) The whole configuration of the inspection apparatus of the projection type using charged particles can be obtained, and the inspection target can be processed with high throughput.
(B) Inspection of the inspection target while monitoring dust in the mini-environment space by monitoring the dust in the mini-environment space by supplying a clean gas to the inspection target to prevent adhesion of dust and observing the cleanliness. Can be.
(C) Since the loading chamber and the working chamber are integrally supported via the vibration preventing device, it is possible to supply and inspect the inspection target to the stage device without being affected by the external environment.
(D) Since the precharge unit is provided, the wafer made of an insulator is hardly affected by the charging.
A seventeenth invention of the present application is directed to a beam generation device that irradiates a sample to be inspected with charged particles, and a secondary charged particle generated by irradiating the sample to be inspected with the charged particles while decelerating the charged particles. A deceleration electric field type objective lens for accelerating,
A detector for detecting the secondary charged particles,
An E × B deflector for deflecting the secondary charged particles in the direction of the detector by a field in which an electric field and a magnetic field are orthogonal to each other;
An electric field intensity at an irradiation surface of the charged particle of the sample to be inspected, which is disposed between the decelerating electric field type objective lens and the sample to be inspected and has a shape substantially symmetrical with respect to an irradiation optical axis of the charged particle. And an electrode for controlling the
An eighteenth invention of the present application is the inspection device of the seventeenth invention, wherein a voltage applied to the electrode for controlling the electric field intensity is controlled according to a type of the sample to be inspected.
A nineteenth invention of the present application is the inspection device according to the seventeenth invention, wherein the sample to be inspected is a semiconductor wafer, and a voltage applied to the electrode for controlling the electric field strength is a voltage of a via of the semiconductor wafer. It is characterized by being controlled by the presence or absence.
A twentieth invention of the present application is a device manufacturing method using the inspection apparatus according to any one of the seventeenth to nineteenth inventions,
During the manufacture of the device, the semiconductor wafer as the sample to be inspected is inspected for defects using the inspection apparatus.
According to the seventeenth aspect of the present invention, the following operation and effect can be obtained.
Between the sample to be inspected and the objective lens, the electrode has a shape that is substantially axially symmetric with respect to the irradiation axis of the charged particles, and has an electrode that controls the electric field intensity on the irradiation surface of the charged particles of the sample to be inspected. The electric field between the sample to be inspected and the objective lens can be controlled.
Further, an electrode is provided between the sample to be inspected and the objective lens, the electrode being substantially axially symmetric with respect to the irradiation axis of the charged particles, and weakening the electric field intensity on the irradiation surface of the sample to be inspected with the charged particles. Therefore, discharge between the sample to be inspected and the objective lens can be eliminated.
In addition, since there is no change such as lowering the voltage applied to the objective lens, secondary charged particles can be efficiently passed through the objective lens, thereby improving detection efficiency and obtaining a signal with a good S / N ratio. Can be.
Further, the voltage for weakening the electric field intensity on the irradiation surface of the sample to be irradiated with the charged particles can be controlled depending on the type of the sample to be inspected.
For example, when the test sample is a test sample of a type that easily discharges with the objective lens, the voltage of the electrode is changed to make the electric field intensity on the irradiated surface of the test sample of the charged particles weaker. Thus, discharge can be prevented.
Further, the voltage applied to the electrode can be changed depending on the presence or absence of the via in the semiconductor wafer, that is, the voltage for weakening the electric field intensity on the electron beam irradiation surface of the semiconductor wafer can be changed.
For example, if the test sample is a test sample of a type that easily discharges with the objective lens, the electric field by the electrodes should be changed to make the electric field strength on the irradiated surface of the test sample of the charged particles weaker. Thus, it is possible to prevent the discharge particularly in the via and the vicinity of the via.
In addition, since discharge between the via and the objective lens can be prevented, the pattern and the like of the semiconductor wafer are not damaged by discharge.
Further, since the potential applied to the electrodes is made lower than the charge applied to the sample to be inspected, the electric field intensity on the irradiated surface of the sample to be inspected by the charged particles can be weakened, and discharge to the sample to be inspected can be prevented.
Further, since the potential applied to the electrode is set to a negative potential and the sample to be inspected is grounded, the electric field intensity on the irradiated surface of the sample to be irradiated with charged particles can be weakened, and discharge to the sample to be inspected can be prevented.
According to a twenty-first aspect of the present invention, a first charged particle beam and a second charged particle beam traveling in a direction substantially opposite to the first charged particle beam are incident, and the first charged particle beam or An E × B separator for selectively deflecting the second charged particle beam,
An electrode for generating an electric field is formed of three or more pairs of nonmagnetic conductor electrodes, and is arranged so as to form a substantially cylindrical shape.
A twenty-second invention of the present application is the ExB separator of the twenty-first invention,
A parallel plate magnetic pole for generating a magnetic field is arranged outside a cylinder formed by the three or more pairs of non-magnetic conductive electrodes, and a projection is formed around an opposing surface of the parallel plate electrode.
The twenty-third invention of the present application is the EXB separator of the twenty-second invention,
Most of the paths of the lines of magnetic force of the generated magnetic field other than between the parallel-plate magnetic poles have a cylindrical shape coaxial with a cylinder formed by the three or more pairs of nonmagnetic conductor electrodes.
The twenty-fourth invention of the present application is the ExB separator of the twenty-second or twenty-third invention,
The parallel plate magnetic pole is a permanent magnet.
A twenty-fifth invention of the present application is an inspection apparatus using the E × B separator according to any one of the twenty-first to twenty-fourth inventions,
One of the first charged particle beam and the second charged particle beam is a primary charged particle beam for irradiating the test sample, and the other is a secondary charged particle beam generated from the sample by the irradiation of the primary charged particle beam. It is the next charged particle beam.
According to the present invention, the following operation and effect can be obtained.
The area where the electric and magnetic fields are uniform around the optical axis can be large, and even if the irradiation range of the charged particles is expanded, the aberration of the image passing through the E × B separator should be a value that does not cause any problem. Can be.
Further, since a projection is provided around the magnetic pole forming the magnetic field and the magnetic pole is provided outside the electric field generating electrode, a uniform magnetic field can be generated and the electric field distortion due to the magnetic pole can be reduced. Further, since the magnetic field is generated by using the permanent magnet, the entire E × B separator can be placed in a vacuum. Furthermore, by forming the electric field generating electrode and the magnetic circuit for forming the magnetic path in a coaxial cylindrical shape with the optical axis as the central axis, the entire E × B separator can be miniaturized.
A twenty-sixth invention of the present application includes a charged particle irradiation unit, a lens system, a deflector, an EXB filter (Wien filter), and a secondary charged particle detector, and transfers charged particles from the charged particle irradiation unit to the lens system. Irradiating the inspection area of the sample via a deflector and an EXB filter, and forming an image of secondary charged particles generated from the sample on the secondary charged particle detector by the lens system, the deflector, and the EXB filter. In a projection type electron beam inspection apparatus for inspecting an electric signal as an image, a charged particle irradiation unit for irradiating a region to be inspected immediately before the inspection with charged particles in advance is provided.
A twenty-seventh invention of the present application is the device according to the twenty-sixth invention, wherein the charged particles are electrons, positive or negative ions, or plasma.
A twenty-eighth invention of the present application is the device according to the twenty-sixth or twenty-seventh invention, wherein the energy of the charged particles is 100 eV or less.
A twenty-ninth invention of the present application is the device according to the twenty-sixth or twenty-seventh invention, wherein the energy of the charged particles is 30 eV or less.
According to a thirtieth aspect of the present invention, in the device manufacturing method, a pattern inspection is performed during the device manufacturing process using the inspection apparatus according to any one of the twenty-sixth to twenty-ninth aspects.
According to the twenty-sixth to thirty-sixth aspects, the following operation and effect can be obtained.
Immediately before the measurement by the charged particle irradiation, the measured image distortion due to charging does not occur, or even if it occurs, the defect can be correctly measured.
In addition, since the stage can be scanned by supplying a large amount of current, which has been a problem in the past, a large amount of secondary electrons are detected, a detection signal with a good S / N ratio is obtained, and the reliability of defect detection is improved. Is improved.
Further, since the S / N ratio is large, good image data can be produced even if the stage is scanned earlier, and the inspection throughput can be increased.
The thirty-first invention of the present application is directed to irradiating a target with charged particles emitted from a beam generator, detecting secondary charged particles emitted from the target using a detector, and collecting image information of the target. An imaging apparatus for inspecting a defect of an object or the like is characterized in that the imaging apparatus has means for equalizing or reducing the electric charge charged on the object.
A thirty-second invention of the present application is the imaging device according to the thirty-first invention, wherein the means is disposed between the beam generating device and the object, and the electrode capable of controlling the charged electric charge. It is characterized by having.
A thirty-third invention of the present application is the imaging device of the thirty-first invention, characterized in that the means operates during an idle time of the measurement timing.
The thirty-fourth invention of the present application is the imaging device of the thirty-first invention, wherein at least one or more primary optical systems for irradiating the object with a plurality of charged particle beams, and at least electrons emitted from the object are emitted. At least one or more secondary optics leading to one or more detectors, wherein the plurality of primary charged particle beams are irradiated to positions separated from each other by a distance resolution of the secondary optics. I do.
A thirty-fifth invention of the present application is directed to a device manufacturing method including a step of detecting a defect of a wafer during or after a process using the imaging device of the thirty-first to thirty-fourth inventions. Features.
According to the thirty-first to thirty-fifth aspects, the following effects can be obtained.
(A) Image distortion caused by charging can be reduced irrespective of the properties of the inspection object.
(B) Uniform charging and canceling are performed using the idle time of the conventional measurement timing, so that the throughput is not affected at all.
(C) Since processing can be performed in real time, post-processing time and memory are not required.
(D) High-speed and highly accurate image observation and defect detection are possible.
A thirty-sixth invention of the present application is directed to a charged particle irradiating means capable of irradiating a primary charged particle to a sample, and image projection for projecting and imaging a secondary charged particle emitted from the sample by irradiation of the primary charged particle. Means, detection means for detecting the image formed by the mapping projection means as an electronic image of the sample, and a defect determination means for determining a defect of the sample based on the electronic image detected by the detection means, At least during a period in which the detection means detects an electronic image, electrons having lower energy than the irradiated primary charged particles are supplied to the sample.
In the thirty-seventh aspect, the charged particle irradiation means irradiates the sample with primary charged particles, and the image projection means maps and projects secondary charged particles emitted from the sample by irradiation of the primary charged particles to form an image on the detection means. Let it. The sample that has released the secondary charged particles is charged up to a positive potential. The detecting means detects the formed image as an electronic image of the sample, and the defect judging means judges a defect of the sample based on the detected electronic image. In this case, electrons having lower energy than the irradiated primary charged particles are supplied to the sample at least during a period in which the detection means detects the electronic image. These low-energy electrons electrically neutralize the positively charged sample by the emission of secondary charged particles. Thus, the secondary charged particles are imaged without being substantially affected by the positive potential of the sample, and the detection means can detect an electronic image with reduced image disturbance.
It is preferable to use, for example, UV photoelectrons as the electrons having lower energy than the primary charged particles. The UV photoelectrons refer to electrons emitted according to a photoelectric effect by irradiating a substance such as a metal with a light beam containing ultraviolet light (UV). Alternatively, low energy electron generating means separate from the charged particle irradiation means, for example, an electron gun or the like, may be used to generate lower energy electrons than the primary charged particles.
In addition, among the electrons emitted from the sample by the irradiation of the primary charged particles, in addition to the secondary charged particles generated by the electrons inside the sample being emitted from the surface due to the collision of the primary charged particles, the primary charged particles are also emitted from the sample surface. Reflected electrons generated by reflection are also included. Naturally, the electronic image detected by the detecting means of the present invention includes such a contribution by the reflected electrons.
A thirty-seventh invention of the present application is directed to a charged particle irradiation means capable of irradiating a sample with primary charged particles, and image projection for projecting and imaging secondary charged particles emitted from the sample by irradiation of the primary charged particles. Means, a detecting means for detecting an image formed by the projection means as an electronic image of the sample, a defect determining means for determining a defect of the sample based on the electronic image detected by the detecting means, It is characterized by further comprising UV photoelectron supply means capable of supplying UV photoelectrons.
In the thirty-seventh aspect, as long as the UV photoelectron supply means (or in the UV photoelectron supply) can achieve the effect of reducing the image disturbance of the present invention, the low-energy electrons are supplied at any timing and within any period. Supply to sample. For example, before the execution of the primary charged particle irradiation or before the execution of the secondary charged particle imaging, and even after the supply of the UV photoelectrons is started at any timing before the secondary charged particle imaging and before the electronic image detection. Good. Also, as in the embodiment of FIG. 24, the supply of UV photoelectrons may be continued at least during the period of secondary charged particle detection, but the sample is sufficiently electrically charged before or during electronic image detection. Once summed, the UV photoelectrons may be stopped.
The thirty-eighth invention of the present application is a defect inspection method for inspecting a defect of a sample, wherein a step of irradiating the sample with primary charged particles, and a step of irradiating the secondary charged particles emitted from the sample by irradiation of the primary charged particles. A mapping projection step of mapping and projecting the charged particles, a detection step of detecting the image formed in the mapping projection step as an electronic image of the sample, and based on the electronic image detected in the detection step And a defect determining step of determining a defect of the sample, comprising: supplying an electron having lower energy than the primary charged particles to the sample at least during a period of detecting the electronic image in the detecting step. Features.
The thirty-ninth invention of the present application is an inspection method for inspecting a defect of a sample,
A charged particle irradiation step of irradiating the sample with primary charged particles, a mapping projection step of mapping and projecting secondary charged particles emitted from the sample by the irradiation of the primary charged particles, and A detecting step of detecting the formed image as an electronic image of the sample, and a defect judging step of judging a defect of the sample based on the electronic image detected in the detecting step; And a UV photoelectron supply step of supplying
A forty-seventh invention of the present application is a semiconductor manufacturing method including a step of using the inspection apparatus of the thirty-sixth or thirty-seventh invention to inspect a sample for defects required for manufacturing a semiconductor device. It is characterized by.
According to the thirty-sixth to forty-sixth aspects, the following operation and effect can be obtained.
Since electrons having lower energy than the primary charged particles are supplied to the sample, the positive charge-up of the sample surface due to the emission of the secondary charged particles is reduced, and the image damage of the secondary charged particles due to the charge-up is reduced. And an excellent effect of being able to inspect the sample for defects with higher accuracy is obtained.
Further, if the defect inspection of the sample is performed using the above-described defect inspection apparatus in the device manufacturing method, an excellent effect of improving the product yield and preventing the shipment of the defective product can be obtained.
The forty-first invention of the present application is directed to an apparatus for placing a sample on an XY stage, moving the sample to an arbitrary position in a vacuum, and irradiating the sample surface with a charged particle beam,
The XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential pump.
A partition having a small conductance is provided between a portion on the sample surface where the charged particle beam is irradiated and a static pressure bearing support of the XY stage,
A pressure difference is produced between the charged particle beam irradiation area and the static pressure bearing support.
A forty-second invention of the present application is the charged particle beam device of the forty-first invention, wherein the partition has a built-in differential pumping structure.
A forty-third invention of the present application is the charged particle beam device of the forty-first or forty-second invention, wherein the partition has a cold trap function.
The forty-fourth invention of the present application is the charged particle beam device according to any one of the forty-first to forty-third inventions, wherein the partition is provided in the vicinity of the charged particle beam irradiation position and the vicinity of the static pressure bearing. It is characterized by being provided in three places.
A forty-fifth invention of the present application is the charged particle beam device according to any one of the forty-one to forty-fourth inventions, wherein the gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas. It is characterized by being.
A forty-sixth invention of the present application is the charged particle beam device according to any one of the forty-one to forty-fifth inventions, wherein the gas emission is reduced on at least the surface of the part of the XY stage facing the hydrostatic bearing. It is characterized by having been subjected to a surface treatment.
A forty-seventh invention of the present application is characterized in that a wafer defect inspection device for inspecting a defect on the surface of a semiconductor wafer is configured by using any one of the forty-first to forty-sixth inventions. .
The forty-eighth invention of the present application is an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the apparatus of any one of the forty-first to forty-sixth inventions. It is characterized.
A forty-ninth invention of the present application is characterized in that, in a semiconductor manufacturing method, a semiconductor is manufactured using the apparatus of the forty-first to forty-eighth inventions.
According to the invention of the application No. 41-49, the following effects can be obtained.
(A) The stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the charged particle beam irradiation position is unlikely to increase. That is, the processing with the charged particle beam on the sample can be performed with high accuracy.
(B) The gas released from the static pressure bearing support portion hardly passes through the partition and does not pass to the charged particle beam irradiation area side. Thereby, the degree of vacuum at the charged particle beam irradiation position can be further stabilized.
(C) It becomes difficult for the released gas to pass to the charged particle beam irradiation area side, and it is easy to stably maintain the degree of vacuum in the charged particle beam irradiation area.
(D) The inside of the vacuum chamber is divided into three chambers of a charged particle beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance. Then, the vacuum exhaust system is configured so that the pressure of each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order. The pressure fluctuation to the intermediate chamber is further reduced by the partition, and the pressure fluctuation to the charged particle beam irradiation chamber is further reduced by another partition, and it is possible to reduce the pressure fluctuation to a level that is practically no problem. Become.
(E) It is possible to suppress a rise in pressure when the stage moves.
(F) The rise in pressure when the stage moves can be further reduced.
(G) An inspection apparatus with high stage positioning performance and a stable vacuum degree in the irradiation area of the charged particle beam can be realized. Can be provided.
(H) An exposure apparatus with high stage positioning performance and a stable degree of vacuum in the charged particle beam irradiation area can be realized. Therefore, an exposure apparatus with high exposure accuracy and no contamination of the sample is provided. can do.
(I) A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has a high stage positioning performance and a stable degree of vacuum in the charged particle beam irradiation area.
A fiftyth invention of the present application is an inspection apparatus and method for inspecting a sample for defects,
Image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the sample,
Storage means for storing a reference image;
Defect determination means for determining a defect of the sample by comparing the images of the plurality of inspection areas acquired by the image acquisition means and the reference image stored in the storage means,
Which is characterized in that
A fifty-first aspect of the present invention is the inspection apparatus and method according to the fifty-second aspect, wherein the plurality of inspection areas are each irradiated with a primary charged particle beam to discharge a secondary charged particle beam from the sample. Further comprising a particle irradiation means,
The image acquiring means sequentially acquires images of the plurality of inspection regions by detecting secondary charged particle beams emitted from the plurality of inspection regions.
A fifty-second invention of the present application is the inspection device and method according to the fifty-first invention, wherein the charged particle irradiation means comprises:
A particle source that emits primary charged particles, and a deflecting unit that deflects the primary charged particles,
By deflecting the primary charged particles emitted from the particle source by the deflecting means, the primary charged particles are sequentially irradiated on the plurality of inspection regions.
A fifty-third invention of the present application is the inspection apparatus and method according to the fifty-second to fifty-second inventions, wherein the primary optical system for irradiating the sample with a primary charged particle beam;
A secondary optical system for guiding the secondary charged particles to the detector.
A fifty-fourth invention of the present application, in a semiconductor manufacturing method, includes a step of inspecting a wafer during processing or a finished product for a defect using the inspection apparatus according to any one of the fifty-third to fifty-third inventions. It is characterized by the following.
According to the fiftieth to fifty-fourth aspects, the following operation and effect can be obtained.
By acquiring images of a plurality of inspected regions displaced from each other while partially overlapping on the sample, and comparing the images of the inspected regions with a reference image, a defect of the sample is inspected. As a result, an excellent effect of preventing a decrease in defect inspection accuracy due to a displacement between the image to be inspected and the reference image can be obtained.
Further, according to the device manufacturing method of the present invention, since the defect inspection of the sample is performed using the defect inspection apparatus as described above, an excellent effect of improving the product yield and preventing the shipment of the defective product can be achieved. Is obtained.
A fifty-fifth invention of the present application is directed to an apparatus for irradiating a sample placed on an XY stage with a charged particle beam, wherein the XY stage is housed in a housing and is brought into non-contact with the housing by a static pressure bearing. The supported and housing housing the stage is evacuated, and the charged beam on the sample surface is irradiated around the portion of the charged particle beam device that irradiates the charged particle beam on the sample surface. And a differential exhaust mechanism for exhausting a region in which the air is exhausted.
According to the present invention, the high-pressure gas for the static pressure bearing that has leaked into the vacuum chamber is first exhausted by the evacuation piping connected to the vacuum chamber. And by providing a differential exhaust mechanism for evacuating the region irradiated with the charged particle beam around the portion irradiated with the charged particle beam, the pressure of the charged particle beam irradiation region is significantly reduced from the pressure in the vacuum chamber, It is possible to stably achieve a degree of vacuum at which the processing of the sample by the charged particle beam can be performed without any problem. That is, a stage having a structure similar to that of a static pressure bearing type stage generally used in the atmosphere (a stage supported by a static pressure bearing without a differential pumping mechanism) is used to charge the sample on the stage. Processing with a particle beam can be performed stably.
A fifty-sixth invention of the present application is the charged particle beam device according to the fifty-fifth invention, wherein the gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas, Is exhausted from a housing accommodating the stage, pressurized, and supplied to the static pressure bearing again.
According to the present invention, the residual gas component in the vacuum housing becomes a high-purity inert gas, so that the surface of the sample or the surface of the structure in the vacuum chamber formed by the housing may be contaminated with moisture, oil, or the like. In addition, even if inert gas molecules are adsorbed on the sample surface, they are quickly separated from the sample surface if exposed to the differential evacuation mechanism or the high-vacuum area of the charged particle beam irradiation area. The influence on the degree of vacuum in the region can be minimized, and the processing of the sample by the charged particle beam can be stabilized.
A fifty-seventh invention of the present application is a wafer defect inspection device for inspecting a defect on the surface of a semiconductor wafer using the device of the fifty-fifth or fifty-sixth invention.
This makes it possible to inexpensively provide an inspection apparatus in which the positioning performance of the stage is highly accurate and the degree of vacuum in the irradiation area of the charged particle beam is stable.
A fifty-eighth invention of the present application is an exposure apparatus for drawing a circuit pattern of a semiconductor device on a semiconductor wafer surface or a reticle using the apparatus of the fifty-fifth or fifty-sixth invention.
This makes it possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the charged beam irradiation area is stable.
A fifty-ninth invention of the present application is a semiconductor manufacturing method for manufacturing a semiconductor using the apparatus of the fifty-fifth to fifty-eighth inventions.
A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high precision stage positioning performance and a stable degree of vacuum in a charged beam irradiation area. According to the fifty-fifth to fifty-fifth inventions, the following effects can be obtained.
(A) Using a stage having the same structure as a static pressure bearing type stage generally used in the atmosphere (a stage supporting a static pressure bearing without a differential pumping mechanism), Processing with a charged particle beam can be performed stably.
(B) The influence of the charged particle beam irradiation area on the degree of vacuum can be minimized, and the processing of the sample by the charged particle beam can be stabilized.
(C) It is possible to inexpensively provide an inspection apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the irradiation area of the charged particle beam is stable.
(D) It is possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high accuracy and the degree of vacuum in the charged particle beam irradiation area is stable.
(E) A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has a high stage positioning performance and a stable degree of vacuum in the charged particle beam irradiation area.
The sixtyth invention of the present application is directed to an inspection method of inspecting an inspection target by irradiating any one of charged particles or electromagnetic waves to the inspection target,
A working chamber for inspecting an inspection object, which can be controlled in a vacuum atmosphere,
Beam generating means for generating any one of charged particles or electromagnetic waves as a beam,
An electron optical system that guides and irradiates the beam to an inspection target held in the working chamber, detects secondary charged particles generated from the inspection target, and guides the beam to an image processing system.
An image processing system for forming an image with the secondary charged particles,
An information processing system for displaying and / or storing state information of the inspection target based on an output of the image processing system;
A stage device that holds the inspection target so as to be relatively movable with respect to the beam,
By measuring the position of the inspection object, accurately positioning the beam on the inspection object,
Deflecting the beam to a desired position of the measured test object,
Irradiating the desired position on the surface to be inspected with the beam,
Detect secondary charged particles generated from the inspection object,
Forming an image with the secondary charged particles,
It is characterized by displaying and / or storing state information of an inspection object based on an output of the image processing system.
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, with reference to the drawings, a preferred embodiment of the present invention will be described as a semiconductor inspection apparatus for inspecting a substrate having a pattern formed on a surface, that is, a wafer, as an inspection target.
1 and 2A, main components of the semiconductor inspection apparatus 1 of the present embodiment are shown in an elevation and a plane.
The semiconductor inspection apparatus 1 of the present embodiment includes a cassette holder 10 that holds a cassette containing a plurality of wafers, a mini-environment device 20, a main housing 30 that defines a working chamber, and a mini-environment device 20. A loader housing 40 disposed between the main housing 30 and defining two loading chambers; a loader 60 for loading a wafer from the cassette holder 10 onto a stage device 50 disposed in the main housing 30; An electro-optical device 70 mounted on the vacuum housing, which are arranged in a positional relationship as shown in FIGS. 1 and 2A. The semiconductor inspection apparatus 1 further includes a precharge unit 81 arranged in the vacuum main housing 30, a potential application mechanism 83 (shown in FIG. 29) for applying a potential to the wafer, and an electron beam calibration mechanism 85 (FIG. 29). 30) and an optical microscope 871 constituting an alignment control device 87 for positioning the wafer on the stage device.
Cassette holder
The cassette holder 10 includes a plurality of cassettes c (for example, closed cassettes such as SMIF and FOUP manufactured by Assist Co., Ltd.) in which a plurality of (for example, 25) wafers are stored in parallel in a vertical direction. In this embodiment, two are held. As the cassette holder, one having a structure suitable for transporting a cassette by a robot or the like and automatically loading the cassette into the cassette holder 10, and one having an open cassette structure suitable for manual loading when manually loading the cassette. Can be arbitrarily selected and installed. In this embodiment, the cassette holder 10 is of a type in which the cassette c is automatically loaded, and includes, for example, an elevating table 11 and an elevating mechanism 12 for moving the elevating tail 11 up and down. 2A, it can be automatically set in a state shown by a chain line in FIG. 2A, and after setting, it is automatically rotated to a state shown in a solid line in FIG. 2A to rotate the first transfer unit in the mini-environment device. Pointed at the axis. Further, the lifting table 11 is lowered to a state shown by a chain line in FIG. As described above, the cassette holder used for automatic loading or the cassette holder used for manual loading may be appropriately used with a known structure. Description is omitted.
In another embodiment, as shown in FIG. 2B, a plurality of 300 mm substrates are housed in a grooved pocket (not shown) fixed inside the box body 501, and are transported, stored, and the like. It is. The substrate carrying box 24 is provided with a substrate carrying-in / out door 502 that can be mechanically opened and closed at an opening on a side surface of the box body 501 by being connected to a rectangular cylindrical box body 501 and a substrate carrying-in / out door automatic opening / closing device. A lid 503 which is located on the opposite side and covers an opening for attaching and detaching filters and a fan motor, a groove-type pocket (not shown) for holding a substrate W, an ULPA filter 505, a chemical filter 506, And a fan motor 507. In this embodiment, the substrate is loaded and unloaded by the robotic first transfer unit 612 of the loader 60.
Note that the substrate or wafer stored in the cassette c is a wafer to be inspected, and such an inspection is performed after or during a process of processing a wafer in a semiconductor manufacturing process. Specifically, a substrate that has been subjected to a film forming process, CMP, ion implantation, or the like, a wafer having a wiring pattern formed on its surface, or a wafer having no wiring pattern formed thereon is housed in a cassette. Since a large number of wafers accommodated in the cassette c are arranged side by side in parallel with each other in the up-down direction, the first transfer unit is used to hold the wafer at an arbitrary position with a first transfer unit described later. Arm can be moved up and down.
Mini-environment device
1 to 3, a mini-environment device 20 includes a housing 22 defining a mini-environment space 21 that is controlled in atmosphere, and a gas such as clean air in the mini-environment space 21. A gas circulating device 23 for circulating and controlling the atmosphere, a discharging device 24 for collecting and discharging a part of the air supplied into the mini-environment space 21, and a gas circulating device 23 are provided in the mini-environment space 21. And a pre-aligner 25 for roughly positioning a substrate, ie, a wafer, to be inspected.
The housing 22 has a top wall 221, a bottom wall 222, and a peripheral wall 223 surrounding four circumferences, and has a structure that blocks the mini-environment space 21 from the outside. In order to control the atmosphere in the mini-environment space, a gas circulation device 23 is attached to the top wall 221 in the mini-environment space 21 as shown in FIG. A gas supply unit 231 for cleaning and flowing the clean air in a laminar flow directly downward through one or more gas outlets (not shown), and disposed on the bottom wall 222 in the mini-environment space. A collection duct 232 for collecting the air flowing down toward the bottom, and a conduit 233 connecting the collection duct 232 and the gas supply unit 231 to return the collected air to the gas supply unit 231. I have. In this embodiment, the gas supply unit 231 takes in about 20% of the supplied air from the outside of the housing 22 for cleaning, but the ratio of the gas taken in from the outside can be arbitrarily selected. . The gas supply unit 231 includes a HEPA or ULPA filter having a known structure for producing clean air. The laminar downward flow of the clean air, that is, the downflow, is mainly supplied so as to flow through a transfer surface of a first transfer unit described later disposed in the mini-environment space 21 and generated by the transfer unit. Dust that may be attached is prevented from adhering to the wafer. Therefore, the outlet of the downflow does not necessarily have to be at a position close to the top wall as shown in the figure, but only needs to be above the transport surface of the transport unit. Also, there is no need to flow over the entire mini-environment space. In some cases, cleanliness can be ensured by using ion wind as clean air. Further, a sensor for observing the cleanliness may be provided in the mini-environment space, and the apparatus may be shut down when the cleanliness deteriorates. An entrance 225 is formed in a portion of the peripheral wall 223 of the housing 22 adjacent to the cassette holder 10. A shutter device having a known structure may be provided near the entrance 225 to close the entrance 225 from the mini-environment device side. The down flow of the laminar flow created near the wafer may be, for example, a flow rate of 0.3 to 0.4 m / sec. The gas supply unit may be provided outside the mini-environment space instead of inside.
The discharge device 24 includes a suction duct 241 disposed below the transfer unit at a position below the wafer transfer surface of the transfer unit, a blower 242 disposed outside the housing 22, a suction duct 241 and the blower 242. And a conduit 243 connecting the two. The discharge device 24 sucks a gas containing dust that may flow around the transport unit and may be generated by the transport unit by the suction duct 241, and the outside of the housing 22 through the conduits 243 and 244 and the blower 242. To be discharged. In this case, the air may be discharged into an exhaust pipe (not shown) drawn near the housing 22.
The aligner 25 disposed in the mini-environment space 21 has an orientation flat (referred to as a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat) formed on the wafer or an outer peripheral edge of the wafer. One or more V-shaped notches or notches are detected optically or mechanically to pre-position the rotational position about the axis OO of the wafer with an accuracy of about ± 1 degree. Is to be kept. The pre-aligner constitutes a part of a mechanism for determining coordinates of an inspection object according to the invention described in the claims, and is in charge of coarse positioning of the inspection object. Since the pre-aligner itself may have a known structure, a description of its structure and operation will be omitted.
Although not shown, a collection duct for a discharge device may be provided below the pre-aligner to discharge air containing dust discharged from the pre-aligner to the outside.
Main housing
1 and 2, a main housing 30 that defines a working chamber 31 includes a housing body 32, which is mounted on a vibration isolator or vibration isolator 37 disposed on a base frame 36. It is supported by the mounted housing support device 33. The housing support device 33 includes a rectangular frame structure 331. The housing main body 32 is disposed and fixed on the frame structure 331, and has a bottom wall 321 mounted on the frame structure 331, a top wall 322, and a peripheral wall connected to the bottom wall 321 and the top wall 322 and surrounding four circumferences. 323 to isolate the working chamber 31 from the outside. In this embodiment, the bottom wall 321 is made of a relatively thick steel plate so as not to generate distortion due to a load by a device such as a stage device placed on the bottom wall 321. However, other structures may be used. Good. In this embodiment, the housing body and the housing support device 33 are assembled in a rigid structure, and the vibration is prevented from being transmitted to the rigid structure by the vibration isolator 37 from the floor on which the base frame 36 is installed. It is supposed to. An entrance / exit 325 for taking in / out a wafer is formed in a peripheral wall of the peripheral wall 323 of the housing main body 32 adjacent to a loader housing to be described later.
The vibration isolator may be an active type having an air spring, a magnetic bearing, or the like, or a passive type having these components. Since each of them may have a known structure, the description of the structure and function of itself is omitted. The working chamber 31 is maintained in a vacuum atmosphere by a vacuum device (not shown) having a known structure. A control device 2 for controlling the operation of the entire apparatus is arranged below the base frame 36.
Loader housing
1, 2, and 4, the loader housing 40 includes a housing main body 43 that defines a first loading chamber 41 and a second loading chamber 42. The housing main body 43 has a bottom wall 431, a top wall 432, a peripheral wall 433 surrounding four circumferences, and a partition wall 434 for partitioning the first loading chamber 41 and the second loading chamber 42. Can be isolated from the outside. The partition wall 434 has an opening, ie, an entrance 435, for exchanging wafers between the two loading chambers. Entrance ports 436 and 437 are formed in a portion of the peripheral wall 433 adjacent to the mini-environment device and the main housing. The housing body 43 of the loader housing 40 is mounted on and supported by the frame structure 331 of the housing support device 33. Therefore, vibration of the floor is not transmitted to the loader housing 40. An entrance 436 of the loader housing 40 and an entrance 226 of the housing 22 of the mini-environment device are aligned, and there is a shutter device for selectively blocking communication between the mini-environment space 21 and the first loading chamber 41. 27 are provided. The shutter device 27 includes a sealing member 271 that is fixed in close contact with the side wall 433 around the entrances 226 and 436, and a door 272 that prevents air flow through the entrance in cooperation with the sealing member 271. And a driving device 273 for moving the door. The entrance 437 of the loader housing 40 and the entrance 325 of the housing main body 32 are aligned with each other, and a shutter device 45 for selectively preventing the communication between the second loading chamber 42 and the working chamber 31 from being sealed is provided therein. Is provided. The shutter device 45 surrounds the entrances 437 and 325 and closely contacts the side walls 433 and 323 to seal and fix the sealing members 451 and 451 to cooperate with the sealing members 451 to flow the air through the entrance and exit. It has a door 452 for blocking and a driving device 453 for moving the door. Further, the opening formed in the partition wall 434 is provided with a shutter device 46 which is closed by a door 461 to selectively prevent the communication between the first and second loading chambers from being sealed. These shutter devices 27, 45 and 46 are adapted to hermetically seal each chamber when in the closed state. Since these shutter devices may be known ones, detailed description of their structures and operations is omitted. The method of supporting the housing 22 of the mini-environment device 20 is different from the method of supporting the loader housing. In order to prevent vibrations from the floor from being transmitted to the loader housing 40 and the main housing 30 via the mini-environment device. In addition, a cushioning material for vibration isolation may be disposed between the housing 22 and the loader housing 40 so as to hermetically surround the entrance.
In the first loading chamber 41, a wafer rack 47 that supports a plurality of (two in this embodiment) wafers in a horizontal state with a vertical space therebetween is provided. As shown in FIG. 5, the wafer rack 47 includes columns 472 fixed in an upright state at four corners of a rectangular substrate 471 and separated from each other, and each column 472 is formed with two-stage supporting portions 473 and 474, respectively. Then, the peripheral edge of the wafer W is placed and held on the supporting portion. The distal ends of the arms of the first and second transfer units, which will be described later, are brought closer to the wafer from between adjacent columns, and the arm grips the wafer.
The loading chambers 41 and 42 are brought into a high vacuum state (with a vacuum degree of 10) by a vacuum exhaust device (not shown) having a known structure including a vacuum pump (not shown).-5-10-6Pa), the atmosphere can be controlled. In this case, the first loading chamber 41 is maintained in a low vacuum atmosphere as a low vacuum chamber, and the second loading chamber 42 is maintained in a high vacuum atmosphere as a high vacuum chamber, thereby effectively preventing wafer contamination. By adopting such a structure, a wafer which is housed in the loading chamber and subsequently subjected to defect inspection can be transferred into the working chamber without delay. By adopting such a loading chamber, the defect inspection throughput is improved together with the principle of the multi-beam type electronic device described later, and the degree of vacuum around the electron source, which is required to be kept in a high vacuum state, is further improved. The state of vacuum can be as high as possible.
The first and second loading chambers 41 and 42 are respectively connected to a vacuum exhaust pipe and a vent pipe (not shown) for an inert gas (for example, dry pure nitrogen). Thereby, the atmospheric pressure state in each loading chamber is achieved by an inert gas vent (injecting an inert gas to prevent oxygen gas and the like other than the inert gas from adhering to the surface). Since the apparatus itself for performing such inert gas venting may have a known structure, a detailed description thereof will be omitted.
In the inspection apparatus of the present invention using an electron beam, a typical lanthanum hexaboride (LaB) used as an electron source of an electron optical system described later.6) Etc., once heated to a temperature high enough to emit thermoelectrons, it is important not to make contact with oxygen etc. as much as possible in order not to shorten the life, but an electron optical system is arranged By performing the above-described atmosphere control before the wafer is loaded into the working chamber, the operation can be performed more reliably.
Stage equipment
The stage device 50 includes a fixed table 51 disposed on the bottom wall 321 of the main housing 30, a Y table 52 that moves in the Y direction (a direction perpendicular to the plane of FIG. 1) on the fixed table, and a Y table 52 that moves in the Y table. An X table 53 that moves in the X direction (the horizontal direction in FIG. 1), a rotary table 54 that can rotate on the X table, and a holder 55 that is disposed on the rotary table 54 are provided. The wafer is releasably held on the wafer mounting surface 551 of the holder 55. The holder may have a known structure capable of releasably holding the wafer mechanically or by an electrostatic chuck method. The stage device 50 operates the plurality of tables as described above by using a servomotor, an encoder, and various sensors (not shown), so that the wafer held by the holder on the mounting surface 551 is electro-optically moved. Positioning can be performed with high accuracy in the X, Y, and Z directions (up and down directions in FIG. 1) with respect to the electron beam emitted from the apparatus, and further around the vertical axis (the θ direction) on the wafer support surface. It has become. The positioning in the Z direction may be performed, for example, so that the position of the mounting surface on the holder can be finely adjusted in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (laser interferometer that uses the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown). Instead, the position of the notch or the orientation flat of the wafer is measured, the plane position and the rotation position of the wafer with respect to the electron beam are detected, and the rotation table is rotated and controlled by a stepping motor capable of controlling a minute angle. Servo motors 521 and 531 and encoders 522 and 532 for the stage device are arranged outside the main housing 30 in order to minimize the generation of dust in the working chamber. Note that the stage device 50 may have a known structure used in, for example, a stepper, and a detailed description of its structure and operation will be omitted. Further, since the laser interference distance measuring device may have a known structure, a detailed description of the structure and operation thereof will be omitted.
A signal obtained by inputting the rotation position of the wafer with respect to the electron beam and the X and Y positions in advance to a signal detection system or an image processing system to be described later can be standardized. Further, the wafer chuck mechanism provided in the holder is adapted to apply a voltage for chucking the wafer to the electrodes of the electrostatic chuck, and to apply three voltages (preferably equally spaced in the circumferential direction) on the outer peripheral portion of the wafer. (Located between them). The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin is capable of realizing automatic chucking and automatic release, and constitutes a conduction part of voltage application.
In this embodiment, the table moving in the left-right direction in FIG. 2 is an X table, and the table moving in the vertical direction is a Y table. The table to be moved may be an X table.
Loader
The loader 60 includes a robot-type first transfer unit 61 disposed in the housing 22 of the mini-environment device 20 and a robot-type second transfer unit 63 disposed in the second loading chamber 42. Have.
The first transport unit 61 has an axis O with respect to the drive unit 611.1-O1Has a multi-articulated arm 612 that is rotatable about the arm. Although any structure can be used as the multi-joint arm, this embodiment has three portions that are rotatably attached to each other. One portion of the arm 612 of the first transport unit 61, that is, the first portion closest to the drive unit 611, is a shaft rotatable by a known drive mechanism (not shown) provided in the drive unit 611. 613. The arm 612 has an axis O1-O1About the axis O as a whole due to the relative rotation between the parts.1-O1Can be expanded and contracted in the radial direction. A gripping device 616 for gripping a wafer such as a mechanical chuck or an electrostatic chuck having a known structure is provided at a distal end of a third portion of the arm 612 farthest from the shaft 613. The drive unit 611 is vertically movable by a lifting mechanism 615 having a known structure.
In the first transfer unit 61, the arm extends in one of the directions M1 or M2 of the two cassettes c in which the arm 612 is held by the cassette holder, and one wafer accommodated in the cassette c is transferred. It is placed on the arm or gripped and taken out by a chuck (not shown) attached to the tip of the arm. Thereafter, the arm contracts (as shown in FIG. 2), rotates to a position where the arm can extend in the direction M3 of the pre-aligner 25, and stops at that position. Then, the arm is extended again, and the wafer held by the arm is placed on the pre-aligner 25. After receiving the wafer from the pre-aligner in the opposite direction, the arm further rotates and stops at a position (direction M4) where the arm can extend toward the second loading chamber 41, and the wafer receiver 47 in the second loading chamber 41 is stopped. Hand over the wafer to In the case where the wafer is mechanically gripped, the edge of the wafer (a range of about 5 mm from the edge) is gripped. This is because devices (circuit wiring) are formed on the entire surface of the wafer except for the peripheral portion, and gripping this portion causes breakage of the device and generation of defects.
The second transfer unit 63 also has basically the same structure as the first transfer unit, and is different only in that the transfer of the wafer is performed between the wafer rack 47 and the mounting surface of the stage device. Therefore, detailed description is omitted.
In the loader 60, the first and second transfer units 61 and 63 transfer the wafer from the cassette held by the cassette holder onto the stage device 50 disposed in the working chamber 31 and vice versa. It is performed while maintaining the state, and the arm of the transfer unit moves up and down simply by taking out and inserting the wafer from the cassette, placing the wafer on the wafer rack, taking out the wafer therefrom, and stage device for the wafer. Only when placing on and removing from it. Therefore, a large wafer, for example, a wafer having a diameter of 30 cm, can be smoothly moved.
Wafer transfer
Next, transfer of a wafer from the cassette c supported by the cassette holder to the stage device 50 disposed in the working chamber 31 will be described in order.
As described above, the cassette holder 10 has a structure suitable for manually setting a cassette, and a structure suitable for automatically setting a cassette. In this embodiment, when the cassette c is set on the elevating table 11 of the cassette holder 10, the elevating table 11 is lowered by the elevating mechanism 12, and the cassette c is aligned with the entrance 225.
When the cassette is aligned with the entrance 225, a cover (not shown) provided on the cassette is opened, and a cylindrical cover is arranged between the cassette c and the entrance 225 of the mini-environment, so that the inside of the cassette and the mini-environment are arranged. Isolate the environment space from the outside. Since these structures are publicly known, a detailed description of their structures and operations will be omitted. When a shutter device for opening and closing the entrance 225 is provided on the mini-environment device 20 side, the shutter device operates to open the entrance 225.
On the other hand, the arm 612 of the first transfer unit 61 is stopped in a state facing either the direction M1 or M2 (the direction of M1 in this description), and when the entrance 225 is opened, the arm extends and enters the cassette at the tip. One of the accommodated wafers is received. In this embodiment, the vertical position adjustment between the arm and the wafer to be taken out of the cassette is performed by the vertical movement of the drive unit 611 and the arm 612 of the first transfer unit 61. It may be moved up and down or both.
When the reception of the wafer by the arm 612 is completed, the arm contracts, the shutter device is operated to close the doorway (if there is a shutter device), and then the arm 612 moves to the axis O.1-O1And can be extended in the direction M3. Then, the arm extends to place the wafer placed on the tip or held by the chuck on the pre-aligner 25, and the pre-aligner adjusts the direction of rotation of the wafer (the direction around the central axis perpendicular to the wafer plane). Position within a predetermined range. When the positioning is completed, the transfer unit 61 receives the wafer from the pre-aligner 25 at the tip of the arm, and then contracts the arm, so that the arm can be extended in the direction M4. Then, the door 272 of the shutter device 27 moves to open the entrances 226 and 436, and the arm 612 extends to place the wafer on the upper or lower side of the wafer rack 47 in the first loading chamber 41. Before the shutter device 27 opens and the wafer is transferred to the wafer rack 47 as described above, the opening 435 formed in the partition wall 434 is closed by the door 461 of the shutter device 46 in an airtight state.
In the process of transferring the wafer by the first transfer unit, clean air flows in a laminar flow (as a down flow) from the gas supply unit 231 provided on the housing of the mini-environment device, and dust is transferred during the transfer. Prevents adhesion to the upper surface of the wafer. Part of the air around the transfer unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction duct 241 of the discharge device 24 and discharged out of the housing. The remaining air is collected through a collection duct 232 provided at the bottom of the housing and returned to the gas supply unit 231 again.
When a wafer is loaded by the first transfer unit 61 into the wafer rack 47 in the first loading chamber 41 of the loader housing 40, the shutter device 27 closes and the inside of the loading chamber 41 is sealed. Then, the first loading chamber 41 is filled with an inert gas to expel air, and then the inert gas is also discharged, so that the loading chamber 41 is evacuated. The vacuum atmosphere of the first loading chamber may be a low vacuum. When a certain degree of vacuum is obtained in the loading chamber 41, the shutter device 46 is operated to open the entrance 434 sealed by the door 461, and the arm 632 of the second transfer unit 63 is extended to hold the wafer by the gripping device at the tip. One wafer is received from the receiver 47 (placed on the front end or gripped by a chuck attached to the front end). When the reception of the wafer is completed, the arm contracts, and the shutter device 46 operates again to close the entrance 435 with the door 461. Before the shutter device 46 is opened, the arm 632 is in a posture in which it can be extended in the direction N1 of the wafer rack 47 in advance. Also, as described above, the doors 452 of the shutter device 45 close the entrances 437 and 325 before the shutter device 46 is opened, and the communication between the second loading chamber 42 and the working chamber 31 is blocked in an airtight state. The inside of the second loading chamber 42 is evacuated.
When the shutter device 46 closes the entrance 435, the inside of the second loading chamber is evacuated again, and the inside of the second loading chamber is evacuated to a higher degree of vacuum than in the first loading chamber. Meanwhile, the arm of the second transfer unit 61 is rotated to a position where it can extend toward the stage device 50 in the working chamber 31. On the other hand, in the stage device in the working chamber 31, the Y table 520-X0Is the rotation axis O of the second transport unit 632-O2X axis passing through1-X1The X table 53 is moved upward to a position almost coincident with the position shown in FIG. 2, and the X table 53 is moved to a position approaching the leftmost position in FIG. 2, and stands by in this state. When the vacuum state of the second loading chamber becomes substantially the same as the vacuum state of the working chamber, the door 452 of the shutter device 45 moves to open the entrances 437 and 325, and the arm extends, and the tip of the arm holding the wafer is moved to the inside of the working chamber 31. Approach the stage device. Then, the wafer is mounted on the mounting surface 551 of the stage device 50. When the mounting of the wafer is completed, the arm contracts, and the shutter device 45 closes the entrances 437 and 325.
The operation up to the transfer of the wafers in the cassette c to the stage device has been described above. However, in order to return the processed wafers placed on the stage device from the stage device to the cassette c, reverse the above. Perform the operation of and return. Further, since a plurality of wafers are placed on the wafer rack 47, while the wafer is transferred between the wafer rack and the stage device by the second transfer unit, the cassette and the wafer rack are transferred by the first transfer unit. The wafer can be transported between the two, and the inspection process can be performed efficiently.
Specifically, when there are already processed wafers A and unprocessed wafers B in the wafer rack 47 of the second transfer unit,
(1) First, the unprocessed wafer B is moved to the stage device 50, and the processing is started.
(2) During this processing, the processed wafer A is moved from the stage device 50 to the wafer rack 47 by the arm, the unprocessed wafer C is extracted from the wafer rack by the same arm, and is positioned by the pre-aligner. Is moved to the wafer rack 47 of FIG.
In this manner, in the wafer rack 47, the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed.
In addition, depending on how to use such a device for performing inspection and evaluation, a plurality of stage devices 50 are placed in parallel, and a plurality of wafers are moved from one wafer rack 47 to each device, whereby a plurality of wafers are transferred. The same can be done.
In FIG. 6, a modification of the method of supporting the main housing is indicated by. In the modification shown in FIG. 6, the housing support device 33a is formed of a thick, rectangular steel plate 331a, and the housing main body 32a is mounted on the steel plate. Therefore, the bottom wall 321a of the housing main body 32a has a thinner structure than the bottom wall of the above-described embodiment. In the modification shown in FIG. 7, the housing body 32b and the loader housing 40b are suspended and supported by the frame structure 336b of the housing support device 33b. The lower ends of the plurality of vertical frames 337b fixed to the frame structure 336b are fixed to four corners of a bottom wall 321b of the housing main body 32b, and the bottom wall supports the peripheral wall and the top wall. The vibration isolator 37b is disposed between the frame structure 336b and the base frame 36b. The loader housing 40 is also suspended by a suspension member 49b fixed to the frame structure 336. In the modified example of the housing main body 32b shown in FIG. 7, the center of gravity of the main housing and various devices provided therein can be reduced because the main body is supported in a suspended manner. In the method of supporting the main housing and the loader housing including the above-described modification, vibration from the floor is not transmitted to the main housing and the loader housing.
In another variant, not shown, only the main part of the main housing is supported from below by the housing support device, and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device. In yet another variant, not shown, only the housing body of the main housing is suspended from the frame structure and the loader housing can be arranged on the floor in the same way as the adjacent mini-environment device.
According to the above embodiment, the following effects can be obtained.
(A) The whole configuration of the inspection apparatus of the projection type using the electron beam can be obtained, and the inspection target can be processed with high throughput.
(B) Inspection of the inspection target while monitoring dust in the mini-environment space by monitoring the dust in the mini-environment space by supplying a clean gas to the inspection target to prevent adhesion of dust and observing the cleanliness. Can be.
(C) Since the loading chamber and the working chamber are integrally supported via the vibration preventing device, it is possible to supply and inspect the inspection target to the stage device without being affected by the external environment.
Electro-optical device
The electron optical device 70 includes a lens barrel 71 fixed to the housing main body 32, in which a primary electron optical system (hereinafter simply referred to as a primary optical system) 72 and a secondary electron An electron optical system including an optical system (hereinafter simply referred to as a secondary optical system) 74 and a detection system 76 are provided. The primary optical system 72 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam, and includes an electron gun 721 that emits an electron beam and an electrostatic lens that focuses the primary electron beam emitted from the electron gun 721. , A Wien filter or an E × B separator 723, and an objective lens system 724, which are arranged in order with the electron gun 721 at the top as shown in FIG. . The lens constituting the objective lens system 724 of this embodiment is a deceleration electric field type objective lens. In this embodiment, the optical axis of the primary electron beam emitted from the electron gun 721 is oblique with respect to the irradiation optical axis (perpendicular to the surface of the wafer) for irradiating the wafer W to be inspected. . An electrode 725 is arranged between the objective lens system 724 and the wafer W to be inspected. The electrode 725 has an axially symmetric shape with respect to the irradiation optical axis of the primary electron beam, and is controlled in voltage by a power supply 726.
The secondary optical system 74 includes a lens system 741 composed of an electrostatic lens that passes secondary electrons separated from the primary optical system by the E × B deflector 724. This lens system 741 functions as a magnifying lens that magnifies the secondary electron image.
The detection system 76 includes a detector 761 and an image processing unit 763 arranged on the image plane of the lens system 741.
Electron gun (electron beam source)
A thermionic beam source is used as the electron beam source. The electron emission (emitter) material is LaB6It is. As long as the material has a high melting point (low vapor pressure at high temperature) and a small work function, other materials can be used. A tip having a conical shape or a truncated cone having the tip of a cone cut off is used. The diameter of the tip of the truncated cone is about 100 μm. As another method, a field emission type electron beam source or a thermal field emission type is used. However, as in the case of the present invention, a relatively wide area (for example, 100 × 25 to 400 × 100 μm) is used.2) Is irradiated with a large current (about 1 μA).aB6The most suitable is a thermionic source using. (The thermal field electron beam source is generally used in the SEM method). A thermionic electron beam source is a method of emitting electrons by heating an electron-emitting material. A thermal field emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits an electron beam. This method stabilizes electron emission by heating the emission part.
Primary electron optical system
A portion that forms an electron beam irradiated from an electron gun and irradiates a rectangular or circular (elliptical) electron beam onto a wafer surface is called a primary electron optical system. The beam size and the current density can be controlled by controlling the lens conditions of the primary electron optical system. In addition, the primary electron beam is perpendicularly incident on the wafer by the E × B (Wien filter) filter at the primary / secondary electron optical system connection portion.
LaB6The thermoelectrons emitted from the cathode are imaged as a crossover image on the gun diaphragm by a Wehnelt or triple anode lens. By controlling the primary system electrostatic lens, an electron beam whose incident angle to the lens is optimized by the illumination field stop is imaged on the NA stop in a rotationally asymmetric form, and then the surface of the wafer is irradiated. The subsequent stage of the primary system electrostatic lens is composed of a three-stage quadrupole (QL) and a one-stage aperture correction electrode. The quadrupole lens has the restriction that alignment precision is severe, but it has a feature that it has a stronger convergence effect than the rotationally symmetric lens, and applies an appropriate voltage to the aperture aberration correction electrode to correct the aperture aberration equivalent to the spherical aberration of the rotationally symmetric lens. Then, the correction can be performed. Thereby, a predetermined area can be irradiated with a uniform surface beam.
Secondary electron optical system
A two-dimensional secondary electron image generated by the electron beam irradiated on the wafer is imaged at a field stop position by an electrostatic lens (CL, TL) corresponding to an objective lens, and is enlarged and projected by a subsequent lens (PL). I do. This imaging projection optical system is called a secondary electron optical system.
At this time, a negative bias voltage (deceleration electric field voltage) is applied to the wafer. The deceleration electric field has a deceleration effect on the irradiation beam, reduces damage to the sample, and accelerates secondary electrons generated from the sample surface due to a potential difference between the CL and the wafer, thereby reducing chromatic aberration. The electrons converged by the CL are formed on the FA by the TL, and the image is enlarged and projected by the PL to form an image on the secondary electron detector (MCP). In this optical system, an NA is arranged between the CL and the TL, and by optimizing the NA, an optical system capable of reducing off-axis aberrations is configured.
In addition, an electrostatic octupole (STIG) is used to correct manufacturing errors of the electron optical system, astigmatism and anisotropic magnification of an image generated by passing through an E × B filter (Wien filter). It is arranged and corrected, and the misalignment is corrected by a deflector (OP) arranged between the lenses. Thereby, a mapping optical system with a uniform resolution in the field of view can be achieved.
ExB unit (Wien filter)
This is an electromagnetic prism optical system unit in which electrodes and magnetic poles are arranged in orthogonal directions, and an electric field and a magnetic field are orthogonalized. When an electromagnetic field is selectively applied, the electron beam incident on the field from one direction is deflected, and the electron beam incident from the opposite direction cancels out the effects of the force from the electric field and the force from the magnetic field. (Wien condition) can be created, whereby the primary electron beam is deflected and illuminates vertically on the wafer, while the secondary electron beam can go straight to the detector.
The detailed structure of the electron beam deflecting unit 723 will be described with reference to FIG. 9 and FIG. 10 showing a vertical cross section along the line AA in FIG. As shown in FIG. 9, the field of the electron beam deflecting unit has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the optical axis of the projection optical unit, that is, an E × B structure.
Here, the electric field is generated by the electrodes 723-1 and 723-2 having concave and convex curved surfaces. The electric fields generated by the electrodes 723-1 and 723-2 are controlled by the control units 723a and 723d, respectively. On the other hand, a magnetic field is generated by disposing the electromagnetic coils 723-1a and 723-2a so as to be orthogonal to the electrodes 723-1 and 723-2 for generating an electric field. The electrodes 723-1 and 723-2 for generating electrolysis are point objects. (Concentric circles are acceptable.)
In this case, in order to improve the uniformity of the magnetic field, the magnetic path 42 is formed by providing a pole piece having a parallel plate shape. The behavior of the electron beam in the longitudinal section along the line AA is as shown in FIG. The irradiated electron beams 711a and 711b are deflected by the electric field generated by the electrodes 723-1 and 723-2 and the magnetic field generated by the electromagnetic coils 723-1a and 723-2a, and then deflected on the sample surface. Incident vertically.
Here, the incident positions and angles of the irradiation electron beams 711a and 711b to the electron beam deflecting unit 723 are uniquely determined when the energy of the electrons is determined. Further, electric field and magnetic field conditions, that is, electric fields generated by the electrodes 723-1 and 723-2 so that vB = E, and electromagnetic coils 723-1a and 723- so that the secondary electrons 712a and 712b go straight. By controlling the magnetic field generated by 2a by the control units 723a and 723d, 723c and 723b, the secondary electrons travel straight through the electron beam deflection unit 723 and enter the projection optical unit. Here, V is the velocity (m / s) of the electrons 712, B is the magnetic field (T), e is the amount of charge (C), and E is the electric field (V / m).
Detector
The secondary electron image from the wafer formed by the secondary optical system is first amplified by a micro channel plate (MCP), and then hits a fluorescent screen and converted into a light image. According to the principle of the MCP, several million very thin conductive glass capillaries having a diameter of 6 to 25 μm and a length of 0.24 to 1.0 mm are bundled and shaped into a thin plate, and a predetermined voltage is applied. As a result, each capillary works as an independent secondary electron amplifier, and forms a secondary electron amplifier as a whole.
The image converted into light by this detector is projected one-to-one on a TDI-CCD by a FOP system placed in the atmosphere through a vacuum transmission window.
Next, the operation of the electron optical device 70 having the above configuration will be described.
As shown in FIG. 8, the primary electron beam emitted from the electron gun 721 is focused by the lens system 722. The converged primary electron beam is incident on the E × B deflector 723, is deflected so as to irradiate the surface of the wafer W vertically, and is imaged on the surface of the wafer W by the objective lens system 724.
Secondary electrons emitted from the wafer by the irradiation of the primary electron beam are accelerated by the objective lens system 724, enter the E × B deflector 723, go straight through the deflector, and go through the lens system 741 of the secondary optical system. Is guided to the detector 761 by Then, it is detected by the detector 761 and the detection signal is sent to the image processing unit 763.
In this embodiment, it is assumed that a high voltage of 10 to 20 kV is applied to the objective lens system 724 and the wafer is installed.
Here, when the voltage applied to the electrode 725 is −200 V when the wafer W has the via b, the electric field on the electron beam irradiation surface of the wafer is 0 to −0.1 V / mm (− indicates a high potential on the wafer W side). Is shown). In this state, no defect is generated between the objective lens system 724 and the wafer W, and the defect inspection of the wafer W can be performed. However, the detection efficiency of the secondary electrons is slightly reduced. Therefore, a series of operations of irradiating an electron beam and detecting secondary electrons is performed, for example, four times, and the obtained detection results of the four times are subjected to processing such as cumulative addition and averaging to obtain a predetermined detection sensitivity. .
Further, even when the voltage applied to the electrode 725 was set to +350 V when the via b was not present in the wafer, the defect inspection of the wafer W could be performed without generating a discharge between the objective lens system 724 and the wafer. In this case, since the secondary electrons are focused by the voltage applied to the electrode 725 and further focused by the objective lens 724, the detection efficiency of the secondary electrons in the detector 761 is improved. Therefore, the processing as a wafer defect device was also performed at high speed, and the inspection could be performed with high throughput.
Explanation of the relationship between the main functions of the projection method and its overall image
FIG. 11 shows an overall configuration diagram of the present embodiment. However, a part of the configuration is omitted.
In FIG. 11, the inspection device has a primary column 71-1, a secondary column 71-2, and a chamber 32. An electron gun 721 is provided inside the primary column 71-1. The primary optical system 72 is arranged on the optical axis of an electron beam (primary beam) emitted from the electron gun 721. Further, a stage 50 is provided inside the chamber 32, and the sample W is mounted on the stage 50.
On the other hand, inside the secondary column 71-2, the cathode lens 724, the numerical aperture NA-2, the Wien filter 723, the second lens 741-1, the field An aperture NA-3, a third lens 741-2, a fourth lens 741-3, and a detector 761 are arranged. The numerical aperture NA-2 corresponds to an aperture stop, and is a thin metal plate (Mo or the like) having a circular hole. The aperture is arranged so as to be at the focus position of the primary beam and the focal position of the cathode lens 724. Therefore, the cathode lens 724 and the numerical aperture NA-2 constitute a telecentric electron optical system.
On the other hand, the output of the detector 761 is input to the control unit 780, and the output of the control unit 780 is input to the CPU 781. The control signal of the CPU 781 is input to the primary column control unit 71a, the secondary column control unit 71b, and the stage driving mechanism 56. The primary column control unit 71a controls the lens voltage of the primary optical system 72, and the secondary column control unit 71b controls the lens voltage of the cathode lens 724, the second lens 741-1 to the fourth lens 741-3, and the Wien filter. 723 is performed.
The stage driving mechanism 56 transmits the position information of the stage to the CPU 781. Further, the primary column 71-1, the secondary column 71-2, and the chamber 32 are connected to a vacuum exhaust system (not shown), and are exhausted by a vacuum pump of a vacuum exhaust system, and the inside is maintained in a vacuum state. I have.
(Primary Beam) The primary beam from the electron gun 721 enters the Wien filter 723 while undergoing a lens action by the primary optical system 72. Here, as a chip of an electron gun, a large current can be taken out by a rectangular cathode.aB6Is used. The primary optical system 72 uses a quadrupole or octupole electrostatic (or electromagnetic) lens that is asymmetric about the axis of rotation. This can cause convergence and divergence in each of the X-axis and the Y-axis similarly to a so-called cylindrical lens. By arranging this lens in two stages and three stages and optimizing each lens condition, the beam irradiation area on the sample surface is shaped into an arbitrary rectangular or elliptical shape without losing irradiation electrons. be able to.
Specifically, when an electrostatic lens is used, four cylindrical rods are used. The electrodes facing each other are set to the same potential, and mutually opposite voltage characteristics are given.
The quadrupole lens may not be a cylinder but may be a lens having a shape obtained by dividing a generally used circular plate into four by an electrostatic deflector. In this case, the size of the lens can be reduced. The trajectory of the primary beam that has passed through the primary optical system 72 is bent by the deflection action of the Wien filter 723. The Wien filter 723 makes the magnetic field and the electric field orthogonal, and when the electric field is E, the magnetic field is B, and the velocity of the charged particles is v, only the charged particles satisfying the Wien condition of E = vB are made to go straight, and the other charged particles Bend the orbit. For the primary beam, a magnetic field force FB and an electric field force FE are generated, and the beam trajectory is bent. On the other hand, the force FB and the force FE act on the secondary beam in opposite directions, and thus cancel each other, so that the secondary beam proceeds straight.
The lens voltage of the primary optical system 72 is set in advance so that the primary beam forms an image at the aperture of the numerical aperture NA-2. The numerical aperture NA-2 prevents unnecessary electron beams scattered in the apparatus from reaching the sample surface, thereby preventing charge-up and contamination of the sample W. Further, since the numerical aperture NA-2 and the cathode lens 724 constitute a telecentric electron optical system, the primary beam transmitted through the cathode lens 724 becomes a parallel beam and irradiates the sample W uniformly and uniformly. . In other words, Koehler illumination in an optical microscope is realized.
(Secondary Beam) When the primary beam is irradiated on the sample, secondary electrons, reflected electrons or backscattered electrons are generated as secondary beams from the beam irradiation surface of the sample.
The secondary beam passes through the lens while undergoing lens action by the cathode lens 724.
By the way, the cathode lens 724 is composed of three electrodes. The lowermost electrode is designed to form a positive electric field between it and the potential on the sample W side, draw electrons (especially, secondary electrons having low directivity), and efficiently guide them into the lens. I have.
The lens action is performed by applying a voltage to the first and second electrodes of the cathode lens 724 and setting the third electrode to zero potential. On the other hand, the numerical aperture NA-2 is arranged at the focal position of the cathode lens 724, that is, at the back focus position from the sample W. Therefore, the luminous flux of the electron beam emitted from outside the center of the visual field (off-axis) also becomes a parallel beam and passes through the center position of the numerical aperture NA-2 without being shaken.
Note that the numerical aperture NA-2 plays a role of suppressing the lens aberration of the second lens 741-1 to the fourth lens 741-3 with respect to the secondary beam. The secondary beam that has passed through the numerical aperture NA-2 travels straight and passes as it is without receiving the deflection effect of the Wien filter 723. Note that by changing the electromagnetic field applied to the Wien filter 723, only electrons having specific energy (for example, secondary electrons, reflected electrons, or backscattered electrons) can be guided to the detector 761 from the secondary beam. it can.
If the secondary beam is imaged only by the cathode lens 724, the lens action becomes strong and aberrations are likely to occur. Therefore, one image is formed together with the second lens 741-1. The secondary beam obtains an intermediate image on the field aperture NA-3 by the cathode lens 724 and the second lens 741-1. In this case, the magnification required for the secondary optical system is often insufficient, and thus a configuration in which a third lens 741-2 and a fourth lens 741-3 are added as lenses for enlarging the intermediate image. To The secondary beam is magnified and formed by each of the third lens 741-2 and the fourth lens 741-3, and forms a total of three times here. In addition, the third lens 741-2 and the fourth lens 741-3 may be combined to form an image once (two times in total).
The second lens 741-1 to the fourth lens 741-3 are all rotational axis symmetric lenses called unipotential lenses or Einzel lenses. Each lens has a three-electrode configuration. Usually, the outer two electrodes are set to zero potential, and the voltage is applied to the central electrode to control the lens so as to perform the lens action. In addition, a field aperture NA-3 is arranged at an intermediate imaging point. The field aperture NA-3 limits the field of view to a necessary range, similarly to the field stop of the optical microscope. However, in the case of an electron beam, an extra beam is passed through the third lens 741-2 and the fourth lens 741- 3 to prevent charge up and contamination of the detector 761. The magnification is set by changing the lens conditions (focal length) of the third lens 741-2 and the fourth lens 741-3.
The secondary beam is enlarged and projected by the secondary optical system, and forms an image on the detection surface of the detector 761. The detector 761 includes an MCP for amplifying electrons, a fluorescent plate for converting electrons to light, a lens and other optical elements for relaying a vacuum system to the outside and transmitting an optical image, and an image sensor (CCD or the like) It is composed of The secondary beam forms an image on the MCP detection surface, is amplified, the electrons are converted into optical signals by the fluorescent plate, and the photoelectric signals are converted by the imaging device.
The control unit 780 reads out the image signal of the sample from the detector 761 and transmits it to the CPU 781. The CPU 781 performs pattern defect inspection on the image signal by template matching or the like. The stage 50 can be moved in the X and Y directions by a stage driving mechanism 56. The CPU 781 reads the position of the stage 50, outputs a drive control signal to the stage driving mechanism 56, drives the stage 50, and sequentially performs image detection and inspection.
As described above, in the inspection apparatus of the present embodiment, since the numerical aperture NA-2 and the cathode lens 724 constitute a telecentric electron optical system, the beam is uniformly applied to the sample with respect to the primary beam. Can be irradiated. That is, Koehler illumination can be easily realized.
Further, for the secondary beam, all the principal rays from the sample W enter the cathode lens 724 perpendicularly (parallel to the lens optical axis) and pass through the numerical aperture NA-2. The image brightness at the periphery of the sample is not reduced. In addition, so-called chromatic aberration of magnification occurs at different imaging positions due to variations in the energy of electrons (especially, secondary electrons have large chromatic aberration of magnification due to large energy variations), but the focal position of the cathode lens 724 is high. By arranging the numerical aperture NA-2, the lateral chromatic aberration can be suppressed.
Since the magnification is changed after passing through the numerical aperture NA-2, even if the magnification set in the lens conditions of the third lens 741-2 and the fourth lens 741-3 is changed, the visual field on the detection side is changed. A uniform image can be obtained over the entire surface. In the present embodiment, a uniform image without unevenness can be obtained. However, when the magnification is increased, the brightness of the image is reduced. Therefore, in order to improve this, when changing the magnification conditions by changing the lens conditions of the secondary optical system, the effective field of view on the sample surface, which is determined accordingly, and the electron beam irradiated on the sample surface, The lens conditions of the primary optical system are set so as to have the same size.
In other words, if the magnification is increased, the field of view will be narrowed accordingly, but at the same time, by increasing the irradiation energy density of the electron beam, the signal density of the detected electrons will be reduced even if the secondary optical system enlarges and projects. , Is always kept constant, and the brightness of the image does not decrease.
In the inspection apparatus of the present embodiment, the Wien filter 723 that bends the trajectory of the primary beam and makes the secondary beam go straight is used. However, the present invention is not limited thereto. An inspection device having a configuration using a Wien filter that bends a filter may be used. In the present embodiment, a rectangular beam is formed from a rectangular cathode and a quadrupole lens. However, the present invention is not limited to this. For example, a rectangular beam or an elliptical beam may be created from a circular beam, or a circular beam may be passed through a slit. To extract a rectangular beam.
electrode
Between the objective lens 724 and the wafer W, an electrode 725 having a shape substantially axially symmetric with respect to the irradiation optical axis of the electron beam is arranged. An example of the shape of the electrode 725 is shown in FIGS.
12 and 13 are perspective views of the electrode 725, FIG. 12 is a perspective view showing a case where the electrode 725 is axially symmetrical and cylindrical, and FIG. 13 is an axial symmetrical disk shape of the electrode 725. It is a perspective view showing a case.
In the present embodiment, the electrode 725 is described as having a cylindrical shape as shown in FIG. 12, but may have a disk shape as shown in FIG. 13 as long as it is substantially axially symmetric with respect to the irradiation optical axis of the electron beam. .
Further, in order to generate an electric field for preventing discharge between the objective lens 724 and the wafer W, the electrode 725 is applied with a voltage applied to the wafer W (in this embodiment, the potential is 0 V because it is grounded). A predetermined low voltage (negative potential) is applied by the power supply 726. The potential distribution between the wafer W and the objective lens 724 at this time will be described with reference to FIG.
FIG. 14 is a graph showing a voltage distribution between the wafer W and the objective lens 724.
FIG. 14 shows a voltage distribution from the wafer W to the position of the objective lens 724, with the position on the irradiation optical axis of the electron beam as the horizontal axis.
In the conventional electron beam apparatus without the electrode 725, the voltage distribution from the objective lens 724 to the wafer W changes gently to the grounded wafer W with the voltage applied to the objective lens 724 being the maximum value. . (Thin line in FIG. 14)
On the other hand, in the electron beam apparatus of the present embodiment, the electrode 725 is disposed between the objective lens 724 and the wafer W, and the electrode 725 has a predetermined voltage (negative potential) lower than the voltage applied to the wafer W. Is applied by the power supply 726, the electric field of the wafer W is weakened. (Thick line in FIG. 14)
Therefore, in the electron beam apparatus according to the present embodiment, the electric field does not concentrate near the via b in the wafer W and does not become a high electric field. Even if the electron beam is irradiated to the via b and secondary electrons are emitted, the emitted secondary electrons are not accelerated enough to ionize the residual gas. Discharge can be prevented.
Further, since the discharge between the objective lens 724 and the via b can be prevented, the pattern and the like of the wafer W are not damaged by the discharge.
In the above-described embodiment, the discharge between the objective lens 724 and the wafer W having the via b can be prevented. However, since a negative potential is applied to the electrode 725, the detector may be used depending on the magnitude of the negative potential. In some cases, the detection sensitivity of the secondary electrons by 761 may decrease. Therefore, when the detection sensitivity is reduced, as described above, a series of operations for irradiating an electron beam and detecting secondary electrons is performed a plurality of times, and the obtained plurality of detection results are accumulated, averaged, and the like. May be performed to obtain a predetermined detection sensitivity (S / N ratio of a signal).
In the present embodiment, as an example, the detection sensitivity is described as a signal-to-noise ratio (S / N ratio).
Here, the above-described secondary electron detection operation will be described with reference to FIG.
FIG. 15 is a flowchart showing a secondary electron detection operation of the electron beam device.
First, secondary electrons from a sample to be inspected are detected by the detector 761 (step 1). Next, it is determined whether the signal-to-noise ratio (S / N ratio) is equal to or greater than a predetermined value (step 2). If the signal-to-noise ratio is equal to or more than the predetermined value in step 2, the secondary electron detection operation is completed because the secondary electron detection by the detector 761 is sufficient.
On the other hand, if the signal-to-noise ratio is less than the predetermined value in step 2, a series of operations of irradiating an electron beam and detecting secondary electrons is performed 4N times to perform an averaging process (step 3). Here, since the initial value of N is set to “1”, the secondary electron detection operation is performed four times in step 3 for the first time.
Next, "1" is added to N and counted up (step 4), and it is determined again in step 2 whether the signal-to-noise ratio is equal to or more than a predetermined value. If the signal-to-noise ratio is less than the predetermined value, the process proceeds to step 3 again, and the secondary electron detection operation is performed eight times. Then, N is counted up, and steps 2 to 4 are repeated until the signal-to-noise ratio becomes equal to or more than a predetermined value.
Further, in the present embodiment, the prevention of discharge to the wafer W having the via b is described by applying a predetermined voltage (negative potential) lower than the voltage applied to the wafer W to the electrode 725. Detection efficiency may decrease.
Therefore, when the test sample is a test sample of a type such that a discharge is hardly generated between the test lens and the objective lens 724 such as a wafer having no via, the detection efficiency of the secondary electrons in the detector 761 is increased. The voltage applied to the electrode 725 can be controlled.
Specifically, even when the test sample is grounded, the voltage applied to the electrode 725 is set to a predetermined voltage higher than the voltage applied to the test sample, for example, + 10V. At this time, the distance between the electrode 725 and the sample to be inspected is set to a distance at which no discharge occurs between the electrode 725 and the sample to be inspected.
In this case, secondary electrons generated by irradiating the test sample with an electron beam are accelerated toward the electron beam source 721 by an electric field generated by a voltage applied to the electrode 725. Then, the electric field generated by the voltage applied to the objective lens 724 further accelerates the electron beam source 721 and receives a convergence effect, so that many secondary electrons enter the detector 761 to increase the detection efficiency. be able to.
Furthermore, since the electrode 725 is axially symmetric, it also has a lens function of converging the electron beam irradiating the sample to be inspected. Therefore, the primary electron beam can be narrowed more narrowly by the voltage applied to the electrode 725. In addition, since the primary electron beam can be narrowed down by the electrode 725, a combination with the objective lens 724 can form an objective lens system with lower aberration. The electrode 725 only needs to be substantially axially symmetric to such an extent that such a lens action is possible.
According to the electron beam apparatus of the above-described embodiment, between the sample to be inspected and the objective lens, the shape is substantially axially symmetric with respect to the irradiation axis of the electron beam, and the surface of the sample to be inspected is irradiated with the electron beam. Since the electrode for controlling the electric field strength is provided, the electric field between the sample to be inspected and the objective lens can be controlled.
Further, an electrode is provided between the sample to be inspected and the objective lens, the electrode being substantially axially symmetric with respect to the axis of irradiation of the electron beam, and weakening the electric field intensity on the electron beam irradiation surface of the sample to be inspected. Therefore, discharge between the sample to be inspected and the objective lens can be eliminated.
In addition, since there is no change such as lowering the voltage applied to the objective lens, secondary electrons can be efficiently passed through the objective lens, so that detection efficiency can be improved and a signal with a good S / N ratio can be obtained. it can.
Further, the voltage for weakening the electric field intensity on the surface of the sample to be irradiated with the electron beam can be controlled depending on the type of the sample to be inspected.
For example, when the test sample is a test sample of a type that easily discharges with the objective lens, the voltage of the electrode is changed to make the electric field intensity on the electron beam irradiation surface of the test sample lower. Thus, discharge can be prevented.
Further, the voltage applied to the electrode can be changed depending on the presence or absence of the via in the semiconductor wafer, that is, the voltage for weakening the electric field intensity on the electron beam irradiation surface of the semiconductor wafer can be changed.
For example, if the test sample is a test sample of a type that easily discharges with the objective lens, the electric field by the electrodes should be changed to make the electric field intensity on the electron beam irradiation surface of the test sample weaker. Thus, it is possible to prevent the discharge particularly in the via and the vicinity of the via.
In addition, since discharge between the via and the objective lens can be prevented, the pattern and the like of the semiconductor wafer are not damaged by discharge.
Further, since the potential applied to the electrodes is made lower than the charge applied to the sample to be inspected, the electric field intensity on the electron beam irradiation surface of the sample to be inspected can be weakened, and discharge to the sample to be inspected can be prevented.
Further, since the potential applied to the electrode is set to a negative potential and the sample to be inspected is grounded, the electric field intensity on the electron beam irradiation surface of the sample to be inspected can be weakened, and discharge to the sample to be inspected can be prevented.
Modification of EXB separator
FIG. 16 shows an E × B separator according to an embodiment of the present invention. FIG. 16 is a sectional view taken along a plane perpendicular to the optical axis. Four pairs of electrodes 701 and 708, 702 and 707, 703 and 706, 704 and 705 for generating an electric field are formed of a non-magnetic conductor, have a substantially cylindrical shape as a whole, and are formed of an insulating material. It is fixed to the inner surface of the support cylinder 713 by screws (not shown) or the like. The axis of the electrode supporting cylinder 713 and the axis of the cylinder formed by the electrodes are aligned with the optical axis 716. A groove 714 parallel to the optical axis 716 is provided on the inner surface of the electrode supporting cylinder 713 between the electrodes 701, 702, 703, 704, 705, 706, 707, 708. Then, the area of the inner surface is coated with the conductor 715 and set to the ground potential.
When generating an electric field, a voltage proportional to “-cos θ1” is applied to the electrodes 702 and 703, “−cos θ1” to the electrodes 706 and 707, “cos θ2” to the electrodes 701 and 704, and a voltage proportional to “-cos θ2” to the electrodes 705 and 708. Thus, a substantially uniform parallel electric field is obtained in a region of about 60% of the inner diameter of the electrode. FIG. 17 shows a simulation result of the electric field distribution. In this example, four pairs of electrodes are used, but even with three pairs, a uniform parallel electric field can be obtained in a region of about 40% of the inner diameter.
The generation of the magnetic field is performed by arranging two rectangular platinum alloy permanent magnets 709 and 710 outside the electrode supporting cylinder 713 in parallel. Protrusions 712 made of a magnetic material are provided around the surfaces of the permanent magnets 709 and 710 on the optical axis 716 side. The protrusion 712 is for compensating that the magnetic field line on the optical axis 716 side is distorted outwardly. Its size and shape can be determined by simulation analysis.
Outside of the permanent magnets 709 and 710, a magnetic field is formed of a ferromagnetic material such that a passage on the opposite side of the optical axis 716 of the line of magnetic force by the permanent magnets 709 and 710 becomes a cylinder coaxial with the electrode supporting cylinder 713. A circuit 711 is provided.
The E × B separator as shown in FIG. 16 can be applied not only to a projection type electron beam inspection apparatus as shown in FIG. 8, but also to a scanning electron beam inspection apparatus.
As is apparent from the above description, according to the present embodiment, it is possible to increase a region where the electric field and the magnetic field are both uniform around the optical axis, and even if the irradiation range of the primary electron beam is widened, E The aberration of the image passing through the × B separator can be set to a value without any problem.
Further, since a projection is provided around the magnetic pole forming the magnetic field and the magnetic pole is provided outside the electric field generating electrode, a uniform magnetic field can be generated and the electric field distortion due to the magnetic pole can be reduced. Further, since the magnetic field is generated by using the permanent magnet, the entire E × B separator can be placed in a vacuum. Furthermore, by forming the electric field generating electrode and the magnetic circuit for forming the magnetic path in a coaxial cylindrical shape with the optical axis as the central axis, the entire E × B separator can be miniaturized.
Precharge unit
The precharge unit 81 is disposed in the working chamber 31 adjacent to the lens barrel 71 of the electron optical device 70, as shown in FIG. This inspection device is a device that inspects the device pattern formed on the wafer surface by irradiating the substrate to be inspected, that is, the wafer, with an electron beam. Therefore, information such as secondary electrons generated by the electron beam irradiation is obtained. Is used as information on the wafer surface, but the wafer surface may be charged (charged up) depending on conditions such as the wafer material and the energy of irradiation electrons. Further, there is a possibility that a strongly charged portion or a weakly charged portion may occur on the wafer surface. If the charge amount on the wafer surface is uneven, the secondary electron information is also uneven, and accurate information cannot be obtained. Therefore, in the present embodiment, in order to prevent the unevenness, the precharge unit 81 having the charged particle irradiation unit 811 is provided. Before irradiating inspection electrons on a predetermined portion of a wafer to be inspected, charged particles are irradiated from a charged particle irradiation section 811 of the precharge unit to eliminate charging unevenness in order to eliminate charging unevenness. The charge-up of the wafer surface is detected in advance by forming an image of the wafer surface which is symmetrical to be detected, evaluating the image, and operating the precharge unit 81 based on the detection.
Further, in this precharge unit, the primary electron beam may be irradiated with blurring.
FIG. 18 shows a main part of an embodiment of the precharge unit according to the present invention.
The charged particles 818 are irradiated from the charged particle irradiation radiation source 819 to the sample substrate W at an accelerated voltage set by the bias power supply 820. A region 815 to be inspected together with the region 816 indicates a place where the pre-processed charged particle irradiation has already been performed, and a region 817 indicates a place where the charged particle irradiation has been performed. In this figure, the sample substrate W is scanned in the direction of the arrow in the figure, but when performing reciprocal scanning, another charged particle beam source 819 is placed on the opposite side of the primary electron beam source as shown by the dotted line in the figure. The charged particle beam sources 819 may be installed and turned on and off alternately in synchronization with the scanning direction of the sample substrate W. In this case, if the energy of the charged particles is too high, the secondary electron yield from the insulating portion of the sample substrate W exceeds 1, and the surface becomes positively charged. It is effective to set a landing voltage of 100 eV or less (ideally, 0 eV or more and 30 eV or less) at which generation of secondary electrons is drastically reduced because the irradiation becomes complicated and the irradiation effect is reduced.
FIG. 19 shows a second embodiment of the precharge unit according to the present invention. This figure shows an irradiation beam source of a type that irradiates an electron beam 825 as a charged particle beam. The irradiation source includes a heating filament 821, an extraction electrode 824, a shield case 826, a filament power supply 827, and an electron extraction power supply 823. The extraction electrode 824 has a slit of 0.1 mm in thickness, 0.2 mm in width and 1.0 mm in length, and the positional relationship with the filament 821 having a diameter of 0.1 mm is in the form of a three-electrode electron gun. I have. The shield case 826 is provided with a slit having a width of 1 mm and a length of 2 mm, is spaced from the extraction electrode 824 by a distance of 1 mm, and is assembled so that both slit centers coincide with each other. The filament is made of tungsten (W), and a current of 2 A flows, and an electron current of several μA is obtained at an extraction voltage of 20 V and a bias voltage of −30 V.
The example shown here is one example. For example, the filament material can be a high melting point metal such as Ta, Ir, or Re, or tricoat W, an oxide cathode, or the like. Depending on the material, wire diameter, and length, It goes without saying that the filament current changes. Further, other types of electron guns can be used as long as the electron beam irradiation area, the electron current, and the energy can be set to appropriate values.
FIG. 20 shows a third embodiment. An irradiation source of the type that irradiates ions 829 as the main charged particle beam is shown. This irradiation radiation source includes a filament 821, a filament power supply 822, a discharge power supply 827, and an anode shield case 826. A slit of the same size of 1 mm × 2 mm is formed in the anode 828 and the shield case 826, and the distance is 1 mm. It is assembled so that the centers of both slits coincide. About 1 Pa of Ar gas 830 is introduced into the shield case 826 via a pipe 831, and the shield case 826 is operated by an arc discharge type using a hot filament 821. The bias voltage is set to a positive value.
FIG. 21 shows a case of the plasma irradiation method according to the fourth embodiment. The structure is the same as in FIG. In the same manner as described above, the operation is performed by an arc discharge type using the hot filament 821, but by setting the bias potential to 0V, the plasma 832 seeps out of the slit due to gas pressure and is irradiated on the sample substrate. In the case of plasma irradiation, both positive and negative surface potentials on the surface of the sample substrate can be made closer to 0 because of a group of particles having both positive and negative charges as compared with other methods.
The charged particle irradiation unit 819 disposed close to the sample substrate W has the structure shown in FIGS. 18 to 21 and has a difference in the surface structure of the oxide film and the nitride film of the sample substrate W and a difference after each process. The charged particles 818 are irradiated to the respective sample substrates under appropriate conditions, and after irradiating the sample substrates under optimal irradiation conditions, that is, the potential of the surface of the sample substrate W Is smoothed or saturated with charged particles, an image is formed by electron beams 711 and 712, and defects are detected.
As described above, in the present embodiment, the measurement immediately before the measurement by the irradiation of the charged particles does not cause the measurement image distortion due to the charging, or even if it occurs, the defect can be correctly measured.
In addition, since the stage can be scanned by passing a large amount of current, which has been a problem in the past, a large amount of secondary electrons are detected, a detection signal with a good S / N ratio is obtained, and the reliability of defect detection is improved. Is improved.
Further, since the S / N ratio is large, good image data can be produced even if the stage is scanned earlier, and the inspection throughput can be increased.
FIG. 22 schematically illustrates an imaging device including the precharge unit according to the present embodiment. This imaging apparatus includes a primary optical system 72, a secondary optical system 74, a detection system 76, and a charge control unit 840 for making charges charged on an object uniform or reduced. The primary optical system 72 is an optical system that irradiates an electron beam to the surface of the inspection target (hereinafter, the target) W, and includes an electron gun 721 that emits an electron beam and a static electron beam 711 that deflects the primary electron beam 711 emitted from the electron gun 721. An electric lens 722, a Wien filter that deflects the primary electron beam so that its optical axis is perpendicular to the target surface, that is, an E × B polarizer 723, and an electrostatic lens 724 that deflects the electron beam. As shown in FIG. 22, the optical axis of the primary electron beam 711 emitted from the electron gun 721 is inclined with respect to a line perpendicular to the surface of the target W (sample surface) as shown in FIG. It is arranged. The E × B deflector 723 includes an electrode 723-1 and a magnet 723-2.
The secondary optical system 74 includes an electrostatic lens 741 disposed above the E × B deflector 723 of the primary optical system. The detection system 76 includes a combination 751 of a scintillator and a micro channel plate (MCP) for converting the secondary electrons 712 into an optical signal, a CCD 762 for converting an optical signal into an electric signal, and an image processing device 763. Since the structure and function of each component of the primary optical system 72, the secondary optical system 74, and the detection system 76 are the same as those of the related art, detailed description thereof will be omitted.
In this embodiment, the charge control means 840 for equalizing or reducing the electric charge charged on the target is provided between the target W and the electrostatic deflection lens 724 of the primary optical system 72 closest to the target W. An electrode 841 disposed in close proximity, a changeover switch 842 electrically connected to the electrode 841, a voltage generator 844 electrically connected to one terminal 843 of the changeover switch 842, and a changeover switch 842 A charge detector 846 electrically connected to the other terminal 845. The charge detector 846 has high impedance. The charge reduction unit 840 further includes a grid 847 disposed between the electron gun 721 of the primary optical system 72 and the electrostatic lens 722, and a voltage generator 848 electrically connected to the grid 847. I have. The timing generator 849 instructs the operation timing to the CCD 762 and the image processing device 763 of the detection system 76, the changeover switch 842 of the charge reduction means 840, the voltage generator 844, and the charge detectors 846 and 848.
Next, the operation of the electron beam apparatus having the above configuration will be described.
The primary electron beam 711 emitted from the electron gun 721 reaches the E × B polarizer 723 via the electrostatic lens 722 of the primary optical system 72, and is perpendicular to the surface of the target W by the E × B polarizer 723. The surface WF of the target W (target surface) is further irradiated via the electrostatic polarizer 724. Secondary electrons 712 are emitted from the surface WF of the target W according to the properties of the target. The secondary electrons 712 are sent to the combination 751 of the scintillator and the MCP of the detection system 76 via the electrostatic lens 741 of the secondary optical system 74, are converted into light by the scintillator, and the light is photoelectrically converted by the CCD 762. The image processing device 763 forms a two-dimensional image (having a gradation) based on the converted electric signal. In addition, similarly to a normal inspection apparatus of this type, the primary electron beam applied to the object is scanned by scanning the primary electron beam by a known deflecting unit (not shown), or a table T supporting the object. Is moved in the two-dimensional directions of X and Y, or by a combination thereof, thereby irradiating the entire required portion on the target surface WF and collecting data on the target surface.
The primary electron beam 711 applied to the target W generates charges near the surface of the target W, and is positively charged. As a result, the trajectory of the secondary electrons 712 generated from the surface WF of the target W changes according to the state of the charges due to the Coulomb force with the charges. As a result, an image formed in the image processing device 763 is distorted. Since the charge on the target surface WF changes depending on the properties of the target W, when a wafer is used as the target, the same wafer is not necessarily the same and changes over time. Therefore, when comparing two patterns on the wafer, erroneous detection may occur.
Therefore, in this embodiment according to the present invention, the CCD 762 of the detection system 76 uses the idle time after capturing an image for one scan, and is arranged near the target W by the charge detector 846 having high impedance. The charged amount of the electrode 841 is measured. The voltage generator 844 generates a voltage for irradiating electrons according to the measured charge amount. After the measurement, the changeover switch 842 is operated to connect the electrode 841 to the voltage generator 844, and the voltage generated by the voltage generator Is applied to the electrode 841 to cancel the charged electrification. As a result, no distortion occurs in the image formed in the image processing device 763. Specifically, when a normal voltage is applied to the electrode 841, the focused electron beam is irradiated to the target W. However, when another voltage is applied to the electrode 841, the focusing condition is greatly shifted, and charging is expected. Irradiation is performed at a low current density over a wide area, and by neutralizing the positive charge of a positively charged object, the voltage over a wide area where charging is expected can be uniformized to a specific positive (negative) voltage, Lowering the equalization and reduction allows for a lower positive (negative) voltage (including zero volts). The above-described canceling operation is performed for each scan.
The Wehnelt electrode, ie, the grid 847 has a function of stopping the electron beam emitted from the electron gun 721 during the idle time, and stably performing the measurement of the charge amount and the charge cancel operation. The timing of the above operation is instructed by the timing generator 849, and is, for example, the timing as shown in the timing chart of FIG. When a wafer is used as a target, the charge amount varies depending on the position. Therefore, a plurality of sets of electrodes 841, changeover switches 842, voltage generators 844, and charge detectors 846 are provided in the scanning direction of the CCD, and the charge is subdivided. It is also possible to perform high control.
According to this embodiment, the following effects can be obtained.
(A) Image distortion caused by charging can be reduced irrespective of the properties of the inspection object.
(B) Uniform charging and canceling are performed using the idle time of the conventional measurement timing, so that the throughput is not affected at all.
(C) Since processing can be performed in real time, post-processing time and memory are not required.
(D) High-speed and highly accurate image observation and defect detection are possible.
FIG. 24 shows a schematic configuration of a defect inspection apparatus including a precharge unit according to another embodiment of the present invention. The defect inspection apparatus includes an electron gun 721 for emitting a primary electron beam, an electrostatic lens 722 for deflecting and shaping the emitted primary electron beam, a sample chamber 32 that can be evacuated to a vacuum by a pump (not shown), and disposed in the sample chamber. A stage 50 movable on a horizontal plane with a sample such as a semiconductor wafer W mounted thereon, and a secondary electron beam and / or a reflected electron beam emitted from the wafer W by the irradiation of the primary electron beam at a predetermined magnification. An electrostatic lens 741 of a projection system for projecting and forming an image, a detector 770 for detecting the formed image as a secondary electron image of the wafer, and a device 770 for controlling the entire apparatus and detecting the image. And a control unit 1016 that executes a process of detecting a defect of the wafer W based on the secondary electron image. Although the secondary electron image includes not only secondary electrons but also contributions from reflected electrons, it is referred to as a secondary electron image here.
In the sample chamber 32, a UV lamp 1111 that emits light in a wavelength range including ultraviolet light is provided above the wafer W. On the glass surface of the UV lamp 1111, light rays emanating from the UV lamp 1111 cause photoelectrons e due to the photoelectric effect.Is coated. The UV lamp 1111 can be selected from any light source that emits light in a wavelength range having a capability of emitting photoelectrons from the photoelectron emitting material 1110. In general, it is cost-effective to use a low-pressure mercury lamp that emits 254 nm ultraviolet light. The photoelectron emitting material 1110 can be selected from any metal as long as it has a capability of emitting photoelectrons, and for example, Au is preferable.
The above-mentioned photoelectrons have lower energy than the primary electron beam. Here, low energy means on the order of several eV to several tens eV, preferably 0 to 10 eV. The present invention can use any means for generating such low energy electrons. For example, this can be achieved by providing a low-energy electron gun (not shown) instead of the UV lamp 1111.
Further, the defect inspection apparatus of the present embodiment includes a power supply 1113. The negative electrode of the power supply 1113 is connected to the photoelectron emitting material 1110, and the positive electrode is connected to the stage 50. Therefore, the photoelectron emission material 1110 is in a state where a negative voltage is applied to the stage 50, that is, the voltage of the wafer W.
The detector 770 may have any configuration as long as the secondary electron image formed by the electrostatic lens 741 can be converted into a signal that can be post-processed. For example, as shown in detail in FIG. 46, the detector 770 includes a multi-channel plate 771, a phosphor screen 772, a relay optical system 773, and an image sensor 774 including a large number of CCD elements. be able to. The multi-channel plate 771 has a number of channels in the plate, and generates more electrons while secondary electrons imaged by the electrostatic lens 741 pass through the channels. That is, the secondary electrons are amplified. The fluorescent screen 772 converts the secondary electrons into light by emitting fluorescence by the amplified secondary electrons. The relay lens 773 guides the fluorescence to the CCD image sensor 774, which converts the intensity distribution of the secondary electrons on the surface of the wafer W into an electric signal for each element, that is, digital image data, and outputs it to the control unit 1016. I do.
The control unit 1016 can be configured by a general-purpose personal computer or the like as illustrated in FIG. The computer includes a control unit main body 1014 for executing various controls and arithmetic processing according to a predetermined program, a CRT 1015 for displaying the processing results of the main body 1014, and an input unit 1018 such as a keyboard and a mouse for inputting an instruction by an operator. Of course, the control unit 1016 may be configured by hardware dedicated to the defect inspection apparatus, a workstation, or the like.
The control unit main body 1014 includes various control boards such as a CPU, a RAM, a ROM, a hard disk, and a video board (not shown). A secondary electron image storage area 8 for storing an electric signal received from the detector 770, that is, digital image data of a secondary electronic image of the wafer W, is allocated on a memory such as a RAM or a hard disk. In addition to the control program for controlling the entire defect inspection apparatus on the hard disk, secondary electronic image data is read from the storage area 1008, and a defect of the wafer W is automatically detected based on the image data according to a predetermined algorithm. A defect detection program 1009 is stored. The defect detection program 1009 has, for example, a function of comparing the inspection location of the wafer W with another inspection location, and displaying a pattern different from the pattern of most other locations as a defect to the operator as a warning. Further, a secondary electron image 1017 may be displayed on the display unit of the CRT 1015, and a defect of the wafer W may be detected visually by an operator.
Next, the operation of the electron beam apparatus according to the embodiment in FIG. 24 will be described with reference to the flowchart in FIG. 27 as an example.
First, a wafer W to be inspected is set on the stage 50 (step 1200). This may be a mode in which a large number of wafers W stored in a loader (not shown) are automatically set on the stage 50 one by one. Next, a primary electron beam is emitted from the electron gun 721 and is irradiated through the electrostatic lens 722 onto a predetermined inspection area on the surface of the set wafer W (step 1202). Secondary electrons and / or reflected electrons (hereinafter, referred to only as “secondary electrons”) are emitted from the wafer W irradiated with the primary electron beam, and as a result, the wafer W is charged up to a positive potential. Next, the generated secondary electron beam is imaged on the detector 770 at a predetermined magnification by the electrostatic lens 741 of the magnifying projection system (step 1204). At this time, the UV lamp 1111 emits light while a negative voltage is applied to the photoelectron emission material 1110 from the stage 50 (step 1206). As a result, the ultraviolet light having the frequency ν emitted from the UV lamp 1111 causes the photoelectron emitting material 1110 to emit photoelectrons by its energy quantum hν (h is Planck constant). These photoelectrons eIs irradiated from the negatively charged photoelectron emission material 1110 toward the positively charged wafer W to electrically neutralize the wafer W. Thus, the secondary electron beam is imaged on the detector 770 without being substantially affected by the positive potential of the wafer W.
The detector 770 detects the image of the secondary electron beam with reduced image disturbance emitted from the electrically neutralized wafer W, and converts the image into digital image data and outputs it (step 1208). Next, the control unit 1016 performs a defect detection process on the wafer W based on the detected image data according to the defect detection program 1009 (step 1210). In the defect detection processing, the control unit 1016 extracts a defective portion by comparing the detected images of the detected dies in the case of a wafer having many identical dies, as described above. A defect portion may be automatically detected by comparing and collating a reference secondary electron beam image of a wafer having no defect previously stored in the memory with a secondary electron beam image actually detected. At this time, the detected image may be displayed on the CRT 1015 and a portion determined as a defective portion may be displayed as a mark, whereby the operator finally confirms and evaluates whether or not the wafer W actually has a defect. can do. A specific example of this defect detection method will be further described later.
As a result of the defect detection processing in Step 1210, when it is determined that the wafer W has a defect (Yes in Step 1212), the operator is warned of the presence of the defect (Step 1218). As a warning method, for example, a message notifying the existence of a defect may be displayed on the display unit of the CRT 1015, and at the same time, an enlarged image 1017 of the pattern having the defect may be displayed. Such a defective wafer may be immediately taken out of the sample chamber 32 and stored in a storage location different from the defect-free wafer (step 1219).
As a result of the defect detection processing in step 1210, when it is determined that there is no defect in the wafer W (No in step 1212), it is determined whether the area to be inspected still remains for the wafer W currently being inspected. A determination is made (step 1214). If the area to be inspected remains (Yes at step 1214), the stage 50 is driven, and the wafer W is moved so that another area to be inspected enters the irradiation area of the primary electron beam (step 1216). . Thereafter, the process returns to step 1202 to repeat the same processing for the other inspection area.
If there is no area to be inspected (No at Step 1214), or after the defective wafer extracting step (Step 1219), whether or not the wafer W to be inspected is the last wafer is determined. That is, it is determined whether or not an uninspected wafer remains in the loader (not shown) (step 1220). If the wafer is not the final wafer (No in Step 1220), the inspected wafer is stored in a predetermined storage location, and a new uninspected wafer is set on the stage 50 instead (Step 1222). Thereafter, the process returns to step 1202 to repeat the same processing for the wafer. If it is the last wafer (Yes in step 1220), the inspected wafer is stored in a predetermined storage location, and the entire process ends.
The UV photoelectron irradiation (step 1206) is performed at an arbitrary timing and in an arbitrary period if the secondary electron image detection (step 1206) can be performed in a state where the positive charge-up of the wafer W is avoided and the image disturbance is reduced. be able to. While the process of FIG. 27 is continued, the UV lamp 1111 may be kept on at all times, or the light emission and the light emission may be repeated for a predetermined period for each wafer. In the latter case, in addition to the timing shown in FIG. 27, the light emission timing starts before the execution of the secondary electron beam imaging (step 1204), and further before the execution of the primary electron beam irradiation (step 1202). Is also good. It is preferable to continue UV photoelectron irradiation at least during the period of secondary electron detection. However, if the wafer is sufficiently electrically neutralized before or during secondary electron image detection, irradiation of UV photoelectrons is preferable. May be stopped.
FIGS. 28A to 28C show specific examples of the defect detection method in step 1210. First, FIG. 28A shows an image 1231 of the first detected die and an image 1232 of the second detected second die. If it is determined that the image of another die detected third is the same as or similar to the first image 1231, the portion 1233 of the second die image 1232 is determined to have a defect, and the defective portion is detected. it can.
FIG. 28B shows an example of measuring the line width of a pattern formed on a wafer. The actual secondary electron intensity signal when the actual pattern 1234 on the wafer is scanned in the direction 1235 is 1236, and the portion where this signal continuously exceeds a predetermined calibrated threshold level 1237 is obtained. Can be measured as the line width of the pattern 1234. If the line width measured in this way is not within the predetermined range, it can be determined that the pattern has a defect.
FIG. 28 (c) shows an example of measuring the potential contrast of a pattern formed on a wafer. In the configuration shown in FIG. 24, an axially symmetric electrode 1239 is provided above the wafer W, and a potential of −10 V is applied to the wafer potential of 0 V, for example. The −2 V equipotential surface at this time has a shape indicated by 1240. Here, it is assumed that the patterns 1241 and 1242 formed on the wafer have a potential of -4V and 0V, respectively. In this case, since the secondary electrons emitted from the pattern 1241 have an upward velocity corresponding to the kinetic energy of 2 eV on the -2V equipotential surface 1240, the secondary electrons cross the potential barrier 1240 and move to the electrode 1243 as shown in the orbit 1243. It escapes from 1239 and is detected by the detector 770. On the other hand, the secondary electrons emitted from the pattern 1242 cannot be detected because they cannot cross the potential barrier of −2 V and are driven back to the wafer surface as shown by the trajectory 1244. Therefore, the detected image of the pattern 1241 is bright, and the detected image of the pattern 1242 is dark. Thus, a potential contrast is obtained. If the brightness and the potential of the detected image are calibrated in advance, the potential of the pattern can be measured from the detected image. Then, a defective portion of the pattern can be evaluated from the potential distribution.
FIG. 25 shows a schematic configuration of a defect inspection apparatus including a precharge unit according to another embodiment of the present invention. Note that the same components as those in the embodiment of FIG. 24 are denoted by the same reference numerals, and detailed description thereof will be omitted.
In this embodiment, as shown in FIG. 25, the glass surface of the UV lamp 1111 is not coated with a photoelectron emitting material. Instead, the photoelectron emission plate 1110b is arranged above the wafer W in the sample chamber 322, and the UV lamp 1111 is arranged at a position where the emitted ultraviolet light is irradiated on the photoelectron emission plate 1110b. The negative electrode of the power supply 13 is connected to the photoelectron emission plate 1110b, and the positive electrode of the power supply is connected to the stage 50. The photoemission plate 1110b may be made of a metal such as Au, or may be made as a plate coated with such a metal.
The operation of the embodiment of FIG. 25 is similar to that of the embodiment of FIG. In the embodiment of FIG. 25 as well, it is possible to irradiate the photoelectrons onto the surface of the wafer W in a timely manner, so that the same effect as in the embodiment of FIG.
FIG. 26 shows a schematic configuration of a defect inspection apparatus including a precharge unit according to still another embodiment of the present invention. The same components as those in the embodiment of FIGS. 24 and 25 are denoted by the same reference numerals, and detailed description thereof will be omitted.
In the embodiment of FIG. 26, as shown in FIG. 26, a transparent window material 1112 is provided on the side wall of the sample chamber 32, and the ultraviolet light radiated from the UV lamp 1111 passes through the window material 1112 and the wafer W in the sample chamber 32. A UV lamp 1111 is arranged outside the sample chamber 32 so as to irradiate a photoelectron emission plate 1110b arranged above the sample chamber 32. In the embodiment of FIG. 26, since the UV lamp 1111 is disposed outside the sample chamber 32 to be evacuated, there is no need to consider the vacuum resistance performance of the UV lamp 1111, and compared with the embodiments of FIGS. 24 and 25. The options of the UV lamp 1111 can be expanded.
Other operations of the embodiment of FIG. 26 are the same as those of the embodiments of FIGS. 24 and 25. In the embodiment of FIG. 26 as well, photoelectrons can be irradiated onto the surface of the wafer W at appropriate times, so that the same effects as in the embodiments of FIGS. 24 and 25 can be obtained.
The above is each of the embodiments described above, but the defect inspection apparatus provided with the precharge unit according to the present invention is not limited to the above example, but can be arbitrarily and suitably changed within the scope of the present invention.
For example, although the semiconductor wafer W has been described as an example of the sample to be inspected, the sample to be inspected of the present invention is not limited to this, and any sample that can detect a defect by an electron beam can be selected. For example, a mask or the like on which an exposure pattern on a wafer is formed can be used as an inspection target.
Although the configurations of FIGS. 24 to 26 are shown as electron beam devices for defect inspection, the electron optical system and the like can be arbitrarily and suitably changed. For example, the electron beam irradiation means (721, 722) of the illustrated defect inspection apparatus is of a type in which a primary electron beam is incident on the surface of the wafer W from obliquely above, but the primary electron beam is provided below the electrostatic lens 741. Line deflecting means may be provided so that the primary electron beam is perpendicularly incident on the surface of the wafer W. As such a deflecting means, there is, for example, a Wien filter for deflecting a primary electron beam by an electric field and a magnetic field, which are orthogonal to each other.
Further, as a means for emitting photoelectrons, it goes without saying that any means other than the combination of the UV lamp 1111 and the photoelectron emission member 1110 or the photoelectron emission plate 1110b shown in FIGS. 24 to 26 can be adopted.
Also, the flow of the flowchart in FIG. 27 is not limited to this. For example, for the sample determined to have a defect in step 1212, the defect inspection of other regions is not performed, but the processing flow may be changed so as to detect the defect covering the entire region. Further, if the irradiation region of the primary electron beam can be enlarged and the entire inspection region of the sample can be covered by one irradiation, steps 1214 and 1216 can be omitted.
Further, in FIG. 27, when it is determined in step 1212 that the wafer has a defect, in step 1218 the operator is immediately warned of the presence of the defect and post-processed (step 1219). Later (after the affirmative determination in step 1220), the process flow may be modified to report defect information for a defective wafer.
As described above in detail, according to the defect inspection apparatus and the defect inspection method according to the embodiment of FIGS. 24 to 26, electrons having lower energy than the primary electron beam are supplied to the sample. Positive charge-up of the sample surface due to emission is reduced, which can eliminate the image damage of the secondary electron beam due to the charge-up, and makes it possible to inspect the sample for defects with higher accuracy. This is an excellent effect.
Further, according to the device manufacturing method using the defect inspection apparatus shown in FIGS. 24 to 26, the defect inspection of the sample is performed by using the above-described defect inspection apparatus. An excellent effect that shipment can be prevented is obtained.
Potential application mechanism
In FIG. 29, a potential application mechanism 83 is provided on a stage mounting table on which a wafer is mounted based on the fact that secondary electron information (secondary electron generation rate) emitted from the wafer depends on the potential of the wafer. The generation of secondary electrons is controlled by applying a potential of ± several volts. This potential application mechanism also serves the purpose of decelerating the energy originally possessed by the irradiation electrons and bringing the irradiation electron energy to the wafer at about 100 to 500 eV.
As shown in FIG. 29, the potential application mechanism 83 includes a voltage application device 831 electrically connected to the mounting surface 541 of the stage device 50, a charge-up investigation and voltage determination system (hereinafter, investigation and determination system) 832 And The investigation and determination system 832 includes a monitor 833 electrically connected to the image forming unit 763 of the detection system 76 of the electronic optical device 70, an operator 834 connected to the monitor 833, and a CPU 835 connected to the observer 834. Have. The CPU 835 supplies a signal to the voltage application device 831.
The potential applying mechanism is designed to search for a potential at which a wafer to be inspected is unlikely to be charged, and apply the potential.
As a method of inspecting an electrical defect of an inspection sample, it is possible to utilize a difference in voltage between the originally electrically insulated portion and the portion when the portion is in a conductive state.
First, by applying a charge to the sample in advance, the voltage of the part that is originally electrically insulated and the part of the part that is originally electrically insulated, By generating a voltage difference with the voltage and then irradiating the beam of the present invention, data having a voltage difference is obtained, and the obtained data is analyzed to detect that the power is on.
Electron beam calibration mechanism
In FIG. 30, the electron beam calibration mechanism 85 includes a plurality of Faraday cups 851 and 852 for beam current measurement, which are installed at a plurality of locations on the side of the mounting surface 541 of the wafer on the rotary table 54. ing. The Faraday cup 851 is for a thin beam (about φ2 μm), and the Faraday cup 852 is for a thick beam (about φ30 μm). In the Faraday cup 851 for a thin beam, the beam profile was measured by moving the rotary table 54 stepwise. The Faraday cup 852 for a thick beam measures the total current amount of the beam. The Faraday cups 851 and 852 are arranged such that the upper surface is at the same level as the upper surface of the wafer W mounted on the mounting surface 541. In this way, the primary electron beam emitted from the electron gun is constantly monitored. This is because the electron gun cannot always emit a constant electron beam, and the amount of emission changes during use.
Alignment control device
The alignment control device 87 is a device that positions the wafer W with respect to the electron optical device 70 by using the stage device 50, and roughly aligns the wafer by wide-field observation using the optical microscope 871 (rather than by the electron optical system). The measurement is performed at a low magnification, a high magnification adjustment using the electron optical system of the electron optical device 70, a focus adjustment, an inspection area setting, a pattern alignment, and the like are performed. Inspection of a wafer at a low magnification using an optical system in this manner is because, in order to automatically inspect a wafer pattern, the wafer pattern is observed in a narrow field of view using an electron beam and wafer alignment is performed. This is because, when performing, it is necessary to easily detect the alignment mark by using an electron beam.
The optical microscope 871 is provided in a housing (it may be provided movably in the housing), and a light source for operating the optical microscope is also provided in the housing (not shown). The electron optical system for performing high-magnification observation shares the electron optical system (the primary optical system 72 and the secondary optical system 74) of the electron optical device 70. FIG. 31 is a schematic diagram showing the configuration. In order to observe the observation point on the wafer at a low magnification, the observation point on the wafer is moved into the field of view of the optical microscope by moving the X stage 53 of the stage device 50 in the X direction. The wafer is visually recognized in a wide field of view with the optical microscope 871, and the position to be observed on the wafer is displayed on the monitor 873 via the CCD 872, and the observation position is roughly determined. In this case, the magnification of the optical microscope may be changed from a low magnification to a high magnification.
Next, the stage device 50 is moved by a distance corresponding to the distance δx between the optical axis of the electron optical device 70 and the optical axis of the optical microscope 871, and the observation point on the wafer predetermined by the optical microscope is adjusted by the electronic microscope. Move to the viewing position. In this case, the axis O of the electron optical device3-O3And the optical axis O of the optical microscope 8714-O4(In this embodiment, both are assumed to be displaced only in the direction along the X-axis, but may be displaced in the Y-axis direction and the Y-axis direction). Therefore, the point to be observed can be moved to the visual recognition position by moving by the value δx. After the movement of the observation point to the viewing position of the electron optical device is completed, the observation point is SEM imaged at a high magnification by the electron optical system, and the image is stored or displayed on the monitor 765 via the CCD 761.
After the observation point of the wafer is displayed on the monitor at a high magnification by the electron optical system in this way, the position of the electron optical system in the rotational direction of the wafer with respect to the rotation center of the rotary table 54 of the stage device 50 is shifted by a known method. Optical axis O of3-O3Is detected in the rotation direction of the wafer with respect to, and the positional shift of the predetermined pattern with respect to the electron optical device in the X-axis and Y-axis directions is detected. Then, the operation of the stage device 50 is controlled based on the detected value and the data of the inspection mark provided on the wafer or the data related to the shape of the pattern of the wafer, and the wafer is aligned.
Vacuum exhaust system
The vacuum evacuation system includes a vacuum pump, a vacuum valve, a vacuum gauge, a vacuum pipe, and the like, and evacuates the electron optical system, the detector, the sample chamber, and the load lock chamber according to a predetermined sequence. In each section, a vacuum valve is controlled so as to achieve a required degree of vacuum. The degree of vacuum is constantly monitored, and in the event of an abnormality, emergency control of the isolation valve and the like is performed by the interlock function to secure the degree of vacuum. As a vacuum pump, a turbo molecular pump is used for the main exhaust,
Use a roots type dry pump for roughing. Inspection place (electron beam irradiation part) pressure is 10-3-10-5Pa, preferably 10 which is one digit lower.-4-10-6Pa is practical.
Control system
The control system mainly includes a main controller, a control controller, and a stage controller.
The main controller is provided with a man-machine interface, through which the operation of the operator is performed (input of various instructions / commands, recipes, etc., an instruction to start inspection, switching between automatic and manual inspection modes, manual inspection mode). Input of all necessary commands at the time). The main controller also communicates with the host computer in the factory, controls the evacuation system, transports wafers and other samples, controls positioning, transmits commands to other control controllers and stage controllers, and receives information. . Also, an image signal is acquired from an optical microscope, a stage vibration correction function for correcting a deterioration of an image by feeding back a fluctuation signal of a stage to an electron optical system, and a sample observation position in a Z direction (axial direction of a secondary optical system). It has an automatic focus correction function that detects the displacement, feeds it back to the electron optical system, and automatically corrects the focus. The transmission and reception of a feedback signal and the like to the electronic optical system and the transmission and reception of a signal from the stage are performed via a control controller and a stage controller, respectively.
The controller mainly controls the electron beam optical system (controls a high-precision power supply for an electron gun, a lens, an aligner, a Wien filter, and the like). Specifically, each operation mode, such as ensuring that a constant electron current is always applied to the irradiation area even when the magnification changes, and automatic voltage setting for each lens system and aligner corresponding to each magnification (Interlocking control) such as automatic voltage setting for each lens system and aligner corresponding to.
The stage controller mainly controls the movement of the stage, and enables precise movement in the X and Y directions on the order of μm (error of about ± 0.5 μm). In this stage, the control of the rotation direction (θ control) is also performed within an error accuracy of about ± 0.3 seconds.
Cleaning electrodes
When the electron beam apparatus of the present invention operates, the target substance floats and is attracted to the high-pressure region due to proximity interaction (particle charging near the surface), so that various electrodes used for forming and deflecting the electron beam are used. Organic material is deposited. Since the insulator gradually deposited due to the charging of the surface adversely affects the formation of the electron beam and the deflection mechanism, the deposited insulator must be periodically removed. Periodic removal of the insulator is performed by using an electrode in the vicinity of the region where the insulator is deposited in a vacuum, such as hydrogen, oxygen or fluorine, and compounds HF, O containing them.2, H2O, CMFNBy generating a plasma such as that described above and maintaining the plasma potential in the space at a potential at which sputtering occurs on the electrode surface (several kV, for example, 20 V to 5 kV), only organic substances are removed by oxidation, hydrogenation, and fluorination.
Modification of stage device
FIG. 32 shows a modification of the stage device in the detection device according to the present invention.
On the upper surface of the Y-direction movable portion 95 of the stage 93, a partition plate 914 that protrudes largely horizontally in the + Y direction and the −Y direction (the left-right direction in FIG. 32B) is attached. The aperture portion 950 having a small conductance is always formed between the two. A similar partition plate 912 is also provided on the upper surface of the X-direction movable portion 96 so as to project in the ± X direction (the left-right direction in FIG. 32A). An aperture 951 is formed. The stage base 97 is fixed on the bottom wall in the housing 98 by a known method.
For this reason, the throttle portions 950 and 951 are always formed irrespective of the position to which the sample table 94 moves. Therefore, even if gas is released from the guide surfaces 96a and 97a during the movement of the movable portions 95 and 96, the throttle portion 950 is formed. And 951, the movement of the released gas is hindered, so that the pressure rise in the space 924 near the sample irradiated with the charged beam can be suppressed to a very small value.
On the side and lower surface of the movable portion 95 of the stage and on the lower surface of the movable portion 96, a groove for differential evacuation as shown in FIG. 56 is formed around the hydrostatic bearing 90. Therefore, when the throttle portions 950 and 951 are formed, the gas released from the guide surface is mainly exhausted by these differential exhaust portions. For this reason, the pressure in the spaces 913 and 915 inside the stage is higher than the pressure in the chamber C. Therefore, if the spaces 913 and 915 are not only evacuated by the differential evacuation grooves 917 and 918 but also a vacuum evacuation portion is separately provided, the pressure in the spaces 913 and 915 can be reduced, and the pressure increase in the vicinity of the sample 924 can be reduced. It can be even smaller. Evacuation passages 91-1 and 91-2 for this purpose are provided. The exhaust passage penetrates through the stage base 97 and the housing 98 and communicates with the outside of the housing 98. In addition, the exhaust passage 91-2 is formed in the X-direction movable portion 96 and opens on the lower surface of the X-direction movable portion 96.
In addition, when the partition plates 912 and 914 are installed, it is necessary to enlarge the chamber so that the chamber C and the partition plate do not interfere with each other. It is possible. In this embodiment, the partition plate is made of rubber or bellows, and the end of the partition plate 914 in the moving direction is set to the X-direction movable portion 96 in the case of the partition plate 914, and the inner wall of the housing 98 in the case of the partition plate 912. It is conceivable to adopt a configuration in which each of them is fixed to each of them.
FIG. 33 shows a second modification of the stage device.
In this embodiment, a cylindrical partition 916 is formed around the distal end of the lens barrel, that is, around the charged beam irradiating section 72, so that an aperture can be formed between the column and the upper surface of the sample W. In such a configuration, even when the gas is released from the XY stage and the pressure in the chamber C increases, the interior 924 of the partition is partitioned by the partition 916 and exhausted by the vacuum pipe 910, so that the inside of the chamber C A pressure difference is generated between the partition and the inside 924 of the partition, and the pressure rise in the space 924 inside the partition can be suppressed low. The gap between the partition 916 and the sample surface changes depending on how much the pressure inside the chamber C and around the irradiation unit 72 is maintained, but it is appropriate to be about several tens μm to several mm. The inside of the partition 916 and the vacuum pipe are communicated by a known method.
In the charged beam irradiation apparatus, a high voltage of about several kV may be applied to the sample W, and if a conductive material is placed near the sample, discharge may occur. In this case, if the material of the partition 916 is made of an insulating material such as ceramics, no discharge occurs between the sample W and the partition 916.
The ring member 94-1 disposed around the sample W (wafer) is a plate-shaped adjustment component fixed to the sample table 94, and is used when irradiating the end of the sample such as a wafer with a charged beam. However, the height is set to be the same as that of the wafer so that the minute gap 952 is formed over the entire periphery of the leading end of the partition 916. Thus, no matter what position of the sample W is irradiated with the charged beam, a constant minute gap 952 is always formed at the distal end of the partition 916, and the pressure in the space 924 around the distal end of the lens barrel can be kept stable. it can.
FIG. 34 shows another modification.
A partition 919 having a built-in differential pumping structure is provided around the charged beam irradiation unit 72 of the lens barrel 71. The partition 919 has a cylindrical shape, and has a circumferential groove 920 formed therein. An exhaust passage 921 extends upward from the circumferential groove. The exhaust passage is connected to a vacuum pipe 923 via an internal space 922. The lower end of the partition 919 forms a minute gap of about several tens μm to several mm between itself and the upper surface of the sample W.
In such a configuration, the gas is released from the stage with the movement of the stage, the pressure in the chamber C increases, and even if the gas tries to flow into the distal end portion, that is, the charged beam irradiation unit 72, the partition 919 is in contact with the sample W. Since the conductance is made very small by narrowing the gap, the gas is hindered from flowing in and the amount of gas flowing in is reduced. Further, since the flowing gas is exhausted from the circumferential groove 920 to the vacuum pipe 923, almost no gas flows into the space 924 around the charged beam irradiating section 72, and the pressure of the charged beam irradiating section 72 is increased to a desired high level. The vacuum can be maintained.
FIG. 35 shows still another modified example.
A partition 926 is provided around the chamber C and the charged beam irradiation unit 72, and separates the charged beam irradiation unit 72 from the chamber C. The partition 926 is connected to the refrigerator 930 via a support member 929 made of a material having good heat conductivity such as copper or aluminum, and is cooled to about -100 ° C to -200 ° C. The member 927 is for inhibiting heat conduction between the cooled partition 926 and the lens barrel, and is made of a material having poor heat conductivity such as ceramics or resin material. The member 928 is made of a non-insulating material such as ceramics and is formed at the lower end of the partition 926 and has a role of preventing the sample W and the partition 926 from being discharged.
With such a configuration, gas molecules that are going to flow into the charged beam irradiation unit from the chamber C are prevented from flowing in by the partition 926, and even if they flow, they are frozen and collected on the surface of the partition 926. The pressure of the charged beam irradiation unit 924 can be kept low.
As the refrigerator, a refrigerator using liquid nitrogen, a He refrigerator, a pulse tube refrigerator, or the like can be used.
FIG. 36 shows still another modification.
As shown in FIG. 32, partition plates 912 and 914 are provided on both movable portions of the stage 93. Even if the sample table 94 is moved to an arbitrary position, the partitions in the stage are separated by these partitions. The space 913 and the inside of the chamber C are partitioned via the throttles 950 and 951. Further, a partition 916 similar to that shown in FIG. 33 is formed around the charged beam irradiation section 72, and a space 924 in the chamber C and the charged beam irradiation section 72 is partitioned via a diaphragm 952. I have. For this reason, even when the gas adsorbed on the stage is released to the space 913 and the pressure in this portion is increased during the movement of the stage, the pressure increase in the chamber C is suppressed low, and the pressure increase in the space 924 is further suppressed. Can be Thus, the pressure in the charged beam irradiation space 924 can be kept low. Further, the space 924 can be stably formed at a lower pressure by using a partition 919 having a built-in differential evacuation mechanism as shown in a partition 916 or a partition 926 cooled by a refrigerator as shown in FIG. Will be able to maintain.
According to these embodiments, the following effects can be obtained.
(A) The stage device can exhibit high-precision positioning performance in a vacuum, and the pressure at the irradiation position of the charged beam does not easily increase. That is, it is possible to perform the processing with the charged beam on the sample with high accuracy.
(B) It is almost impossible for the gas discharged from the hydrostatic bearing support to pass through the partition and to the charged beam irradiation area side. Thereby, the degree of vacuum at the charged beam irradiation position can be further stabilized.
(C) It becomes difficult for the released gas to pass to the charged beam irradiation area side, and it becomes easy to stably maintain the degree of vacuum in the charged beam irradiation area.
(D) The interior of the vacuum chamber is divided into three chambers of a charged beam irradiation chamber, a static pressure bearing chamber, and an intermediate chamber via a small conductance. Then, the vacuum exhaust system is configured so that the pressure of each chamber becomes the charged beam irradiation chamber, the intermediate chamber, and the static pressure bearing chamber in ascending order. The pressure fluctuation to the intermediate chamber is further suppressed by the partition, and the pressure fluctuation to the charged beam irradiation chamber is further reduced by another partition, and the pressure fluctuation can be reduced to a level that is not substantially problematic. .
(E) It is possible to suppress a rise in pressure when the stage moves.
(F) The rise in pressure when the stage moves can be further reduced.
(G) It is possible to realize an inspection apparatus with high stage positioning performance and a stable vacuum degree in the irradiation area of the charged beam, so that an inspection apparatus with high inspection performance and no risk of contaminating the sample is provided. can do.
(H) It is possible to realize an exposure apparatus in which the stage positioning performance is high and the degree of vacuum in the charged beam irradiation area is stable, so that an exposure apparatus with high exposure precision and no contamination of the sample is provided. be able to.
(I) A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high accuracy in stage positioning performance and a stable degree of vacuum in a charged beam irradiation area.
It is clear that the stage apparatus of FIGS. 32-36 can be applied to the stage 50 of FIG.
Another embodiment of the XY stage according to the present invention will be described with reference to FIGS. The reference numerals indicating the components common to the conventional example and the embodiment in FIG. 55 are the same. In this specification, “vacuum” is a vacuum referred to in the technical field, and does not necessarily indicate an absolute vacuum.
FIG. 37 shows another embodiment of the XY stage.
A distal end of a lens barrel 71 for irradiating a charged beam toward a sample, that is, a charged beam irradiating section 72 is attached to a housing 98 defining a vacuum chamber C. A sample W mounted on a movable table in the X direction (the left-right direction in FIG. 37) of the XY stage 93 is arranged directly below the lens barrel 71. The sample W can be accurately irradiated with a charged beam to an arbitrary position on the sample surface by the XY stage 93 with high accuracy.
The pedestal 906 of the XY stage 93 is fixed to the bottom wall of the housing 98, and a Y table 95 that moves in the Y direction (the direction perpendicular to the paper surface in FIG. 37) rests on the pedestal 906. On both side surfaces (left and right side surfaces in FIG. 37) of the Y table 95, a pair of Y direction guides 907a and 907b mounted on the pedestal 906 project into a concave groove formed on the side facing the Y table. Is formed. The concave groove extends in the Y direction over substantially the entire length of the Y direction guide. Static pressure bearings 911a, 909a, 911b, and 909b of a known structure are provided on the upper, lower, and side surfaces of the protrusion protruding into the concave groove, respectively. By blowing high-pressure gas through these static pressure bearings, , Y table 95 is supported in a non-contact manner with respect to Y direction guides 907a and 907b so that the table can reciprocate smoothly in the Y direction. A linear motor 932 having a known structure is disposed between the pedestal 906 and the Y table 95 so that the linear motor 932 is driven in the Y direction. A high pressure gas is supplied to the Y table by a flexible pipe 934 for supplying a high pressure gas, and a high pressure is applied to the static pressure bearings 909a to 911a and 909b to 911b through a gas passage (not shown) formed in the Y table. Gas is supplied. The high-pressure gas supplied to the hydrostatic bearing is jetted into a gap of several microns to several tens of microns formed between the Y-direction guide and the opposing guide surface, and the Y table is moved in the X direction and the Z direction with respect to the guide surface. It plays the role of accurately positioning in the direction (vertical direction in FIG. 37). An X table 96 is mounted on the Y table so as to be movable in the X direction (the left and right direction in FIG. 37). On the Y table 95, a pair of X direction guides 908a and 908b (only 908a is shown) having the same structure as the Y direction guides 907a and 907b for the Y table are provided with the X table 96 interposed therebetween. A concave groove is also formed on the side of the X-direction guide facing the X table, and a protrusion protruding into the concave groove is formed on the side of the X table (side facing the X-direction guide). The groove extends over substantially the entire length of the X-direction guide. Static pressure bearings (not shown) similar to the static pressure bearings 911a, 909a, 910a, 911b, 909b, 910b are provided on the upper, lower, and side surfaces of the projection of the X-direction table 96 projecting into the concave grooves. The arrangement is provided. A linear motor 933 having a known structure is disposed between the Y table 95 and the X table 96, and the X table is driven in the X direction by the linear motor. A high pressure gas is supplied to the X table 96 by a flexible pipe 931 so as to supply the high pressure gas to the static pressure bearing. The high-pressure gas is ejected from the static pressure bearing to the guide surface of the X-direction guide, so that the X table 96 is supported with high precision in a non-contact manner with the Y-direction guide. The vacuum chamber C is evacuated by vacuum pipes 919, 920a, 920b connected to a vacuum pump or the like having a known structure. The inlet sides (inside of the vacuum chamber) of the pipes 920a and 920b pass through the pedestal 906 and open on the upper surface near the position where the high-pressure gas is discharged from the XY stage 93. It is prevented as much as possible by the high-pressure gas ejected from the bearing.
A differential pumping mechanism 925 is provided at the tip of the lens barrel 71, that is, around the charged beam irradiation unit 72, so that the pressure in the charged beam irradiation space 930 is sufficiently low even if the pressure in the vacuum chamber C is high. is there. That is, the annular member 926 of the differential evacuation mechanism 925 attached around the charged beam irradiation unit 72 has a minute gap (several microns to several hundred microns) 940 between its lower surface (surface on the sample W side) and the sample. It is positioned with respect to the housing 98 so as to be formed, and has an annular groove 927 formed on the lower surface thereof. The annular groove 927 is connected to a vacuum pump (not shown) by an exhaust pipe 928. Therefore, the minute gap 940 is exhausted through the annular groove 927 and the exhaust port 928, and even if gas molecules try to enter the space 930 surrounded by the annular member 926 from the vacuum chamber C, it is exhausted. Thus, the pressure in the charged beam irradiation space 930 can be kept low, and the charged beam can be irradiated without any problem.
The annular groove may have a double structure or a triple structure depending on the pressure in the chamber and the pressure in the charged beam irradiation space 930.
As the high-pressure gas supplied to the static pressure bearing, dry nitrogen is generally used. However, if possible, it is preferable to use a higher purity inert gas. This is because, when impurities such as moisture and oil are contained in the gas, these impurity molecules adhere to the inner surface of the housing that defines the vacuum chamber and the surface of the stage components, thereby deteriorating the degree of vacuum, This is because they adhere to the surface and deteriorate the degree of vacuum in the charged beam irradiation space.
In the above description, the sample W is not usually placed directly on the X table, but is provided with a function of holding the sample detachably or performing a minute position change with respect to the XY stage 93. Although mounted on the sample stage, the presence or absence of the sample stage and its structure are not relevant to the gist of the present embodiment, and are omitted for simplification of the description.
In the charged beam apparatus described above, the stage mechanism of the hydrostatic bearing used in the atmosphere can be used almost as it is, so that a high-precision XY stage equivalent to the high-precision stage for the atmosphere used in an exposure apparatus or the like is almost used. It can be realized for an XY stage for a charged beam device at the same cost and size.
The structure, arrangement, and actuator (linear motor) of the static pressure guide described above are merely examples, and any static pressure guide or actuator that can be used in the atmosphere can be applied.
Next, FIG. 38 shows a numerical example of the size of the annular member 926 of the differential pumping mechanism and the annular groove formed therein. In this example, the annular groove has a double structure of 927a and 927b, which are separated in the radial direction.
The flow rate of the high-pressure gas supplied to the static pressure bearing is usually about 20 L / min (atmospheric pressure conversion). Assuming that the vacuum chamber C is evacuated by a dry pump having an evacuation speed of 20,000 L / min through a vacuum pipe having an inner diameter of 50 mm and a length of 2 m, the pressure in the vacuum chamber becomes about 160 Pa (about 1.2 Torr). . At this time, if the dimensions of the annular member 926 and the annular groove and the like of the differential pumping mechanism are as shown in FIG. 38, the pressure in the charged beam irradiation space 930 becomes 10-4Pa (10-6Torr).
FIG. 39 shows another embodiment of the XY stage. A dry vacuum pump 953 is connected to the vacuum chamber C defined by the housing 98 via vacuum pipes 974 and 975. An annular groove 927 of the differential pumping mechanism 925 is connected to a turbo molecular pump 951 which is an ultra-high vacuum pump via a vacuum pipe 970 connected to an exhaust port 928. Further, a turbo molecular pump 952 is connected to the inside of the lens barrel 71 via a vacuum pipe 971 connected to the exhaust port 710. These turbo molecular pumps 951 and 952 are connected to a dry vacuum pump 953 by vacuum pipes 972 and 973. (In this figure, one dry vacuum pump is used for both the roughing pump of the turbo molecular pump and the vacuum exhaust pump of the vacuum chamber. However, the flow rate of the high-pressure gas supplied to the static pressure bearing of the XY stage and the volume of the vacuum chamber It is also conceivable that they are evacuated by a dry vacuum pump of another system according to the inner surface area, inner diameter and length of the vacuum pipe.)
A high-purity inert gas (N2 gas, Ar gas, or the like) is supplied to the static pressure bearing of the XY stage 93 through the flexible pipes 921 and 922. These gas molecules ejected from the static pressure bearing diffuse into the vacuum chamber and are exhausted by the dry vacuum pump 953 through the exhaust ports 919, 920a, and 920b. In addition, these gas molecules that have entered the differential exhaust mechanism or the charged beam irradiation space are sucked from the annular groove 927 or the tip of the lens barrel 71, and are exhausted by the turbo molecular pumps 951 and 952 through the exhaust ports 928 and 710. After being discharged from the turbo molecular pump, the gas is evacuated by the dry vacuum pump 953.
As described above, the high-purity inert gas supplied to the hydrostatic bearing is collected by the dry vacuum pump and discharged.
On the other hand, the exhaust port of the dry vacuum pump 953 is connected to a compressor 954 via a pipe 976, and the exhaust port of the compressor 954 is connected to flexible pipes 931 and 932 via pipes 977, 978 and 979 and regulators 961 and 962. It is connected. Therefore, the high-purity inert gas discharged from the dry vacuum pump 953 is pressurized again by the compressor 954, adjusted to an appropriate pressure by the regulators 961 and 962, and then supplied again to the static pressure bearing of the XY table. You.
As described above, the gas supplied to the hydrostatic bearing must be as pure as possible and contain as little moisture and oil as possible. It is required that the structure be such that moisture and oil are not mixed in. Further, a cold trap, a filter, or the like (960) is provided in the middle of the discharge pipe 977 of the compressor to trap impurities such as water and oil mixed in the circulating gas so that the impurities are not supplied to the hydrostatic bearing. It is also effective.
By doing so, the high-purity inert gas can be circulated and reused, so that the high-purity inert gas can be saved.In addition, since the inert gas does not flow into the room where the device is installed, the inert gas can be reused. The risk of accidents such as suffocation due to suffocation can be eliminated.
Note that a high-purity inert gas supply system 963 is connected to the circulation piping system, and when starting circulation of the gas, all circulation including the vacuum chamber C, the vacuum piping 970 to 975, and the pressurizing side piping 976 to 980 is performed. It plays a role of filling the system with high-purity inert gas and a role of supplying a shortage when the flow rate of the circulating gas decreases for some reason.
Further, by providing the dry vacuum pump 953 with a function of compressing the pressure to the atmospheric pressure or more, the dry vacuum pump 953 and the compressor 954 can be combined with one pump.
Further, as the ultrahigh vacuum pump used for exhausting the lens barrel, a pump such as an ion pump or a getter pump can be used instead of the turbo molecular pump. However, when using these storage pumps,
In this part, a circulation piping system cannot be constructed. In addition, it is of course possible to use other types of dry pumps such as a diaphragm type dry pump in place of the dry vacuum pump.
FIG. 40 schematically shows an optical system and a detector of the charged beam device according to the present embodiment. Although the optical system is provided in the lens barrel 71, the optical system and the detector are merely examples, and any optical system and detector can be used as needed. The optical system 760 of the charged beam device includes a primary optical system 72 for irradiating the sample W placed on the stage 50 with a charged beam, a secondary optical system 74 for receiving secondary electrons emitted from the sample, It has. The primary optical system 72 includes an electron gun 721 that emits a charged beam, a lens system 722 including a two-stage electrostatic lens that focuses the charged beam emitted from the electron gun 721, a deflector 730, and a charged beam. It comprises a Wien filter or ExB separator 723 that deflects the optical axis to be perpendicular to the plane of the object, and a lens system 724 composed of two-stage electrostatic lenses, as shown in FIG. The optical axis of the charged beam is arranged so as to be inclined with respect to a line perpendicular to the surface (sample surface) of the sample W in order with the electron gun 721 at the top. The E × B deflector 723 includes an electrode 723-1 and a magnet 723-2.
The secondary optical system 74 is an optical system into which the secondary electrons emitted from the sample W are injected, and is a lens system including a two-stage electrostatic lens disposed above the E × B deflector 723 of the primary optical system. 741. The detector 761 detects the secondary electrons sent via the secondary optical system 74. Since the structure and function of each component of the optical system 760 and the detector 761 are the same as those of the related art, detailed description thereof will be omitted.
The charged beam emitted from the electron gun 721 is shaped by the square aperture of the electron gun, reduced by the two-stage lens system 722, adjusted in the optical axis by the polarizer 730, and adjusted by the deflection center plane of the E × B deflector 723. Is imaged into a square having a side of 1.925 mm. The E × B deflector 723 has a structure in which an electric field and a magnetic field are orthogonal to each other in a plane perpendicular to the normal line of the sample. At other times, it is deflected in a predetermined direction by the mutual relationship between the electric field, the magnetic field and the energy of the electric field. In FIG. 40, it is set so that the charged beam from the electron gun is perpendicularly incident on the sample W, and the secondary electrons emitted from the sample are made to travel straight toward the detector 761. The shaped beam deflected by the E × B polarizer is reduced to 1 / by the lens system 724 and projected onto the sample W. The secondary electrons having the information of the pattern image emitted from the sample W are enlarged by the lens systems 724 and 741, and form a secondary electron image by the detector 761. The four-stage magnifying lens is a distortion-free lens because the lens system 724 forms a symmetric tablet lens and the lens system 741 also forms a symmetric tablet lens.
According to this embodiment, the following effects can be obtained.
(A) Using a stage having the same structure as a static pressure bearing type stage generally used in the atmosphere (a stage supporting a static pressure bearing without a differential pumping mechanism), Processing by a charged beam can be performed stably.
(B) The influence of the charged beam irradiation area on the degree of vacuum can be minimized, and the processing of the sample by the charged beam can be stabilized.
(C) It is possible to provide an inexpensive inspection apparatus in which the stage positioning performance is high precision and the degree of vacuum in the irradiation area of the charged beam is stable.
(D) It is possible to provide an inexpensive exposure apparatus in which the stage positioning performance is high precision and the degree of vacuum in the charged beam irradiation area is stable.
(E) A fine semiconductor circuit can be formed by manufacturing a semiconductor using a device that has high accuracy in stage positioning performance and a stable degree of vacuum in a charged beam irradiation area.
Modification of inspection device
FIG. 41 shows a schematic configuration of a defect inspection apparatus according to a modification of the present invention.
This defect inspection apparatus is the above-mentioned projection type inspection apparatus, and is an electron gun 721 for emitting a primary electron beam, an electrostatic lens 722 for deflecting and shaping the emitted primary electron beam, and an electric field for applying an electric field to the formed primary electron beam. An E × B deflector 723 that deflects the semiconductor wafer W so as to be substantially perpendicular to the field where the E and the magnetic field B are orthogonal to each other, an objective lens 724 that forms an image of the deflected primary electron beam on the wafer W, and can be evacuated to vacuum. A stage 50 that is provided in a sample chamber (not shown) and is movable in a horizontal plane with the wafer W mounted thereon, and a secondary electron beam and / or a reflected electron beam emitted from the wafer W by irradiation of the primary electron beam are emitted to a predetermined position. Controlling the electrostatic lens 741 of the projection system for projecting and forming an image at a magnification, the detector 770 for detecting the formed image as a secondary electron image of the wafer, and controlling the entire apparatus Configured to include a control unit 1016 for executing the processing for detecting defects of the wafer W based on the detected by the detector 770 secondary electronic image. Although the secondary electron image includes not only secondary electrons but also contributions from scattered electrons and reflected electrons, it is referred to as a secondary electron image here.
Further, between the objective lens 724 and the wafer W, a deflection electrode 1011 for deflecting the incident angle of the primary electron beam on the wafer W by an electric field or the like is interposed. A deflection controller 1012 for controlling the electric field of the deflection electrode is connected to the deflection electrode 1011. The deflection controller 1012 is connected to the control unit 1016, and controls the deflection electrodes so that an electric field corresponding to the command from the control unit 1016 is generated by the deflection electrodes 1011. Note that the deflection controller 1012 can be configured as a voltage control device that controls the voltage applied to the deflection electrode 1011.
The detector 770 may have any configuration as long as the secondary electron image formed by the electrostatic lens 741 can be converted into a signal that can be post-processed. For example, as shown in detail in FIG. 46, the detector 770 includes a multi-channel plate 771, a phosphor screen 772, a relay optical system 773, and the image sensor 56 including a large number of CCD elements. be able to. The multi-channel plate 771 has a number of channels in the plate, and generates more electrons while secondary electrons imaged by the electrostatic lens 741 pass through the channels. That is, the secondary electrons are amplified. The fluorescent screen 772 converts the secondary electrons into light by emitting fluorescence by the amplified secondary electrons. The relay lens 773 guides the fluorescence to the CCD image sensor 774, which converts the intensity distribution of the secondary electrons on the surface of the wafer W into an electric signal for each element, that is, digital image data, and outputs it to the control unit 1016. I do.
The control unit 1016 can be configured by a general-purpose personal computer or the like as illustrated in FIG. The computer includes a control unit main body 1014 for executing various controls and arithmetic processing according to a predetermined program, a CRT 1015 for displaying the processing results of the main body 1014, and an input unit 1018 such as a keyboard and a mouse for inputting an instruction by an operator. Of course, the control unit 1016 may be configured by hardware dedicated to the defect inspection apparatus, a workstation, or the like.
The control unit main body 1014 includes various control boards such as a CPU, a RAM, a ROM, a hard disk, and a video board (not shown). A secondary electronic image storage area 1008 for storing an electric signal received from the detector 770, that is, digital image data of a secondary electronic image of the wafer W, is allocated on a memory such as a RAM or a hard disk. Further, on the hard disk, there is a reference image storage unit 1013 that previously stores reference image data of a wafer having no defect. Further, on the hard disk, in addition to a control program for controlling the entire defect inspection apparatus, secondary electron image data is read from the storage area 1008, and a defect of the wafer W is automatically detected based on the image data according to a predetermined algorithm. A defect detection program 1009 is stored. The defect detection program 1009 automatically detects a defective portion by matching the reference image read from the reference image storage unit 1013 with the actually detected secondary electron beam image, as will be described in detail later. If it is determined that there is a defect, a function of displaying a warning to the operator is provided. At this time, the secondary electron image 1017 may be displayed on the display unit of the CRT 1015. Next, the operation of the defect inspection apparatus according to this embodiment will be described with reference to the flowcharts of FIGS.
First, as shown in the flow of the main routine in FIG. 43, a wafer W to be inspected is set on the stage 50 (step 1300). This may be a mode in which all the wafers stored in the loader are automatically set on the stage 50 one by one as described above.
Next, images of a plurality of inspection areas displaced from each other while partially overlapping on the XY plane on the surface of the wafer W are acquired (step 1304). The plurality of inspection areas to be image-acquired are, for example, reference numerals 1032a, 1032b,. . . 1032k,. . . It can be seen that these are shifted around the inspection pattern 1030 of the wafer while partially overlapping. For example, as shown in FIG. 42, 16 images 1032 (inspection images) of the inspection area are acquired. Here, in the image shown in FIG. 42, the rectangular cells correspond to one pixel (or may be a block unit larger than the pixels), and the black cells correspond to the image portion of the pattern on the wafer W. Details of this step 1304 will be described later with reference to the flowchart of FIG.
Next, the image data of the plurality of inspection areas acquired in step 1304 are compared with the reference image data stored in the storage unit 1013, respectively (step 1308 in FIG. 43), and are covered by the plurality of inspection areas. It is determined whether or not there is a defect on the wafer inspection surface. In this step, a so-called matching process between image data is performed, and details thereof will be described later with reference to a flowchart of FIG.
When it is determined from the comparison result in step 1308 that there is a defect on the wafer inspection surface covered by the plurality of inspection areas (step 1312, affirmative determination), the presence of the defect is warned to the operator (step 1318). As a warning method, for example, a message notifying the existence of a defect may be displayed on the display unit of the CRT 1015, and at the same time, an enlarged image 1017 of the pattern having the defect may be displayed. Such a defective wafer may be immediately taken out of the sample chamber 31 and stored in a storage location different from the defect-free wafer (step 1319).
As a result of the comparison processing in step 1308, when it is determined that there is no defect in the wafer W (No in step 1312), it is determined whether an area to be inspected still remains for the wafer W currently being inspected. Is performed (step 1314). If there is an area to be inspected (Yes at Step 1314), the stage 50 is driven, and the wafer W is moved so that another area to be inspected enters the irradiation area of the primary electron beam (Step 1316). . Thereafter, the process returns to step 1302 to repeat the same processing for the other inspection area.
If there is no area to be inspected (No at Step 1314), or after the defective wafer extracting step (Step 1319), whether or not the wafer W to be inspected is the final wafer is determined. That is, it is determined whether or not an uninspected wafer remains in the loader (not shown) (step 320). If the wafer is not the final wafer (No in Step 1320), the inspected wafer is stored in a predetermined storage location, and a new uninspected wafer is set on the stage 50 instead (Step 1322). Thereafter, the process returns to step 1302 to repeat the same processing for the wafer. If it is the last wafer (Yes in step 1320), the inspected wafer is stored in a predetermined storage location, and the entire process is completed.
Next, the flow of the process of step 1304 will be described with reference to the flowchart of FIG.
In FIG. 44, first, the image number i is set to the initial value 1 (step 1330). This image number is an identification number sequentially assigned to each of the plurality of inspection area images. Next, the image position (Xi, Yi) Is determined (step 1332). The image position is defined as a specific position in the region for defining the inspection region, for example, a center position in the region. At this time, since i = 1, the image position (X1, Y1), Which corresponds, for example, to the center position of the inspection area 1032a shown in FIG. The image positions of all the inspected image areas are determined in advance, and are stored on the hard disk of the control unit 1016, for example, and are read in step 1332.
Next, the primary electron beam passing through the deflection electrode 1011 in FIG.i, YiThe deflection controller 1012 applies a potential to the deflection electrode 1011 so that the inspection image area is irradiated (step 1334 in FIG. 44).
Next, a primary electron beam is emitted from the electron gun 721 and is irradiated on the surface of the set wafer W through the electrostatic lens 722, the E × B deflector 723, the objective lens 724, and the deflection electrode 1011 (step 1336). At this time, the primary electron beam is deflected by the electric field created by the deflection electrode 1011 and the image position (Xi, Yi) Is irradiated over the entire inspection image area. When the image number i = 1, the inspection area is 1032a.
Secondary electrons and / or reflected electrons (hereinafter, only “secondary electrons”) are emitted from the region to be inspected irradiated with the primary electron beam. Then, the generated secondary electron beam is formed on the detector 770 at a predetermined magnification by the electrostatic lens 741 of the magnifying projection system. The detector 770 detects the formed secondary electron beam, and converts and outputs an electric signal for each detection element, that is, digital image data (step 1338). Then, the digital image data of the detected image number i is transferred to the secondary electronic image storage area 8 (step 1340).
Next, the image number i is incremented by 1 (step 1342), and the incremented image number (i + 1) is set to a constant value i.MAXIs determined (step 1344). This iMAXIs the number of images to be inspected to be acquired, and is “16” in the example of FIG. 42 described above.
Image number i is constant value iMAXIf it does not exceed (No in step 1344), the flow returns to step 1332 again, and the image position (X) is incremented for the incremented image number (i + 1).i + 1, Yi + 1) Is determined again. This image position is determined by the image position (Xi, Yi) In the X direction and / or the Y direction by a predetermined distance (ΔXi, ΔYi). In the example of FIG. 41, the inspection area is (X1, Y1), The position (X2, Y2), And becomes a rectangular area 1032b indicated by a broken line. Note that (ΔXi, ΔYi) (I = 1, 2,... IMAXThe value of () can be appropriately determined based on data on how much the pattern 1030 on the wafer inspection surface 1034 actually deviates from the field of view of the detector 770 empirically, and the number and area of the inspection area.
Then, the processing of steps 1332 to 1342 isMAXThe processing is sequentially repeated for the plurality of inspection target areas. As shown in FIG. 47, these inspection areas are located at image positions (Xk, YkIn (), the position is shifted while partially overlapping on the inspection surface 1034 of the wafer so as to be the inspection image area 1032k. In this way, the 16 image data to be inspected illustrated in FIG. 42 are acquired in the image storage area 1008. As shown in FIG. 42, the acquired images 1032 (images to be inspected) of the inspected castles partially or completely include the image 1030a of the pattern 1030 on the wafer inspection surface 1034. .
The incremented image number i is iMAXIf it exceeds (step 1344 affirmative determination), this subroutine is returned to shift to the comparison step (step 308) of the main routine of FIG.
Note that the image data transferred to the memory in step 1340 includes the intensity value of secondary electrons (so-called solid data) for each pixel detected by the detector 770. In order to perform the matching calculation with the reference image, the data can be stored in the storage area 1008 in a state where various calculation processes have been performed. Such arithmetic processing includes, for example, normalization processing for matching the size and / or density of the image data with the size and / or density of the reference image data, or an isolated pixel group having a predetermined number of pixels or less as noise. There is a removal process. Furthermore, instead of simple solid data, data compression conversion may be performed on a feature matrix in which the features of the detected pattern are extracted within a range that does not reduce the detection accuracy of the high-definition pattern. As such a feature matrix, for example, a two-dimensional inspection area including M × N pixels is divided into m × n (m <M, n <N) blocks, and the secondary electrons of the pixels included in each block are divided. There is an m × n feature matrix or the like in which the sum of the intensity values (or a normalized value obtained by dividing the sum by the total number of pixels of the entire inspection area) is used as each matrix component. In this case, the reference image data is also stored in the same expression. The image data in the embodiments of the present invention includes not only solid data but also image data whose features are extracted by an arbitrary algorithm.
Next, the flow of the process of step 1308 will be described with reference to the flowchart of FIG.
First, the CPU of the control unit 1016 reads reference image data from the reference image storage unit 1013 (FIG. 41) onto a working memory such as a RAM (step 1350). This reference image is represented by reference numeral 1036 in FIG. Then, the image number i is reset to 1 (step 1352), and the inspection image data of the image number i is read from the storage area 1008 onto the working memory (step 1354).
Next, the read reference image data is matched with the data of the image i, and a distance value D between the two is obtained.1Is calculated (step 1356). This distance value DiRepresents the similarity between the reference image and the image to be inspected i, and indicates that the greater the distance value, the greater the difference between the reference image and the image to be inspected. This distance value DiAny quantity can be adopted as long as it represents the similarity. For example, when the image data is composed of M × N pixels, the secondary electron intensity (or feature amount) of each pixel is regarded as each position vector component of the M × N dimensional space, and the reference image vector on the M × N dimensional space is considered. And the Euclidean distance or the correlation coefficient between the image i vector and the i vector may be calculated. Of course, it is also possible to calculate a distance other than the Euclidean distance, for example, a so-called city area distance. Furthermore, when the number of pixels is large, the amount of calculation becomes enormous. Therefore, a distance value between image data represented by m × n feature vectors may be calculated as described above.
Next, the calculated distance value DiIs smaller than a predetermined threshold Th (step 1358). The threshold value Th is experimentally obtained as a criterion for determining a sufficient match between the reference image and the image to be inspected.
Distance value DiIs smaller than the predetermined threshold value Th (Yes at Step 1358), it is determined that the inspection surface 1034 of the wafer W has no defect (Step 1360), and the subroutine is returned. That is, if at least one of the images to be inspected substantially matches the reference image, it is determined that there is no defect. Since it is not necessary to perform matching with all the images to be inspected, high-speed determination can be performed. In the case of the example of FIG. 42, it can be seen that the inspection image in the third row and the third column substantially matches the reference image without any displacement.
Distance value DiIs greater than or equal to a predetermined threshold value Th (No in step 1358), the image number i is incremented by 1 (step 1362), and the incremented image number (i + 1) becomes a constant value i.MAXIs determined (step 1364).
Image number i is constant value iMAXIf it does not exceed (No in step 1364), the flow returns to step 1354 again, reads out image data for the incremented image number (i + 1), and repeats the same processing.
Image number i is constant value iMAXIs exceeded (Yes in step 1364), the inspection surface 1034 of the wafer W is determined to be “defective” (step 1366), and the subroutine is returned. That is, if all of the images to be inspected do not substantially match the reference image, it is determined that “there is a defect”.
The above is each embodiment of the stage device, but the present invention is not limited to the above example, and can be arbitrarily and suitably changed within the scope of the present invention.
For example, although the semiconductor wafer W has been described as an example of the sample to be inspected, the sample to be inspected of the present invention is not limited to this, and any sample that can detect a defect by an electron beam can be selected. For example, a mask or the like on which an exposure pattern on a wafer is formed can be used as an inspection target.
In addition, the present invention can be applied not only to an apparatus that performs defect detection using a charged particle beam other than an electron, but also to any apparatus that can obtain an image capable of inspecting a defect of a sample.
Further, the deflection electrode 1011 can be placed not only between the objective lens 724 and the wafer W but also at any position as long as the irradiation area of the primary electron beam can be changed. For example, between the E × B deflector 723 and the objective lens 724, between the electron gun 721 and the E × B deflector 723, and the like. Furthermore, the deflection direction may be controlled by controlling the field generated by the E × B deflector 723. That is, the function of the deflection electrode 1011 may be shared by the E × B deflector 723.
Further, in the above embodiment, when performing the matching between the image data, either the matching between the pixels or the matching between the feature vectors is performed, but both may be combined. For example, first, high-speed matching is performed using a feature vector with a small amount of calculation, and as a result, for an image to be inspected having a high degree of similarity, matching is performed using more detailed pixel data. Can be compatible.
Further, in the embodiment of the present invention, the positional deviation of the image to be inspected is dealt with only by the positional deviation of the irradiation area of the primary electron beam. However, the processing of searching for the optimal matching area on the image data before or during the matching processing ( For example, regions having high correlation coefficients are detected and matched) and the present invention can be combined. According to this, a large positional deviation of the inspection image can be dealt with by the positional deviation of the irradiation area of the primary electron beam according to the present invention, and a relatively small positional deviation can be absorbed by the subsequent digital image processing. The accuracy of detection can be improved.
Furthermore, although the configuration of FIG. 41 is shown as an electron beam device for defect inspection, the electron optical system and the like can be arbitrarily and suitably changed. For example, the electron beam irradiating means (721, 722, 723) of the defect inspection apparatus shown in FIG. 41 is a type in which a primary electron beam is incident on the surface of the wafer W from above vertically, but E × B deflection. The device 723 may be omitted, and the primary electron beam may be obliquely incident on the surface of the wafer W.
Also, the flow of the flowchart in FIG. 43 is not limited to this. For example, for the sample determined to have a defect in step 1312, the defect inspection of other regions is not performed, but the processing flow may be changed so as to cover all the regions and detect defects. Further, if the irradiation area of the primary electron beam can be enlarged and one irradiation can cover almost the entire inspection area of the sample, steps 1314 and 1316 can be omitted.
As described in detail above, according to the defect inspection apparatus of the present embodiment, images of a plurality of inspection regions displaced from each other while partially overlapping on the sample are obtained, and the images of these inspection regions are acquired. Since the sample is inspected for defects by comparing the reference image with the reference image, an excellent effect of preventing a decrease in defect inspection accuracy due to a displacement between the image to be inspected and the reference image can be obtained.
Further, according to the device manufacturing method of the present invention, since the defect inspection of the sample is performed using the defect inspection apparatus as described above, an excellent effect of improving the product yield and preventing the shipment of the defective product can be achieved. Is obtained.
Another embodiment of electron beam device
Further, in consideration of the solution of the problem of the projection method, as another method, a plurality of primary electron beams are used, and the plurality of electron beams are scanned two-dimensionally (in the XY direction) (raster scan). The secondary electron optical system irradiates the observation area, and there is a system employing a projection projection system. This method has the advantages of the above-mentioned mapping projection method, and also has the following problems of this mapping method: (1) it is easy to charge up on the surface of the sample to collectively irradiate an electron beam; The fact that the obtained electron beam current has a limit (approximately 1.6 μA) and hinders improvement in inspection speed can be solved by scanning a plurality of electron beams. That is, since the electron beam irradiation point moves, the charge is easily released, and the charge-up is reduced. In addition, the current value can be easily increased by increasing the number of the plurality of electron beams. In the embodiment, when four electron beams are used, a total of 2 μA is obtained when one electron beam current is 500 nA (electron beam diameter is 10 μm). It is possible to easily increase the number of electron beams to about 16, and in this case, it is possible in principle to obtain 8 μA. The scanning of the plurality of electron beams is not limited to the raster scanning as described above, and the scanning of other shapes such as a Lissajous figure can be performed as long as the irradiation amount of the plurality of electron beams is applied so that the irradiation area is uniform. Shape may be sufficient. Therefore, the scanning direction of the stage does not need to be perpendicular to the scanning directions of the plurality of electron beams.
Electron gun (electron beam source)
A thermoelectron beam source is used as an electron beam source used in this embodiment. The electron emission (emitter) material is LaB6It is. As long as the material has a high melting point (low vapor pressure at high temperature) and a small work function, other materials can be used. Two methods are used to obtain a plurality of electron beams. One method is to extract one electron beam from one emitter (one projection) and pass it through a thin plate (aperture plate) with multiple holes to obtain multiple electron beams. This is a method in which a plurality of projections are formed on a book emitter and a plurality of electron beams are directly extracted therefrom. In each case, the electron beam utilizes the property of being easily emitted from the tip of the projection. Other types of electron beam sources, for example, a thermal field emission type electron beam, can also be used.
A thermionic electron beam source is a method of emitting electrons by heating an electron-emitting material. A thermoelectron emission electron beam source emits electrons by applying a high electric field to the electron-emitting material, and further emits electrons. This method stabilizes electron emission by heating the emission part.
FIG. 48A is a schematic diagram of an electron beam device according to the other embodiment. On the other hand, FIG. 48B is a schematic plan view showing an aspect in which the sample is scanned with a plurality of primary electron beams. The electron gun 721 operable under the space charge limiting condition forms a multi-beam as indicated by reference numeral 711 in FIG. 48B. The multi-beam 711 is composed of a primary electron beam 711a, which is eight circular beams arranged on a circumference.
A plurality of primary electron beams 711a generated by the electron gun 721 are focused by using lenses 722-1 and 722-2, and are separated from the sample W by an E × B separator 723 including an electrode 723-1 and a magnet 723-2. It is made to enter at right angles. A multi-beam 711 composed of a plurality of primary electromagnetic rays 711a focused on the sample W by a primary optical system including these elements 711, 722-1, 722-2, 723, a lens 724-1, and an objective lens 724-2. Is used for scanning on the sample W by a two-stage deflector (not shown; included in the primary optical system) provided on the downstream side of the lens 722-2.
The scanning of the sample W is performed in the x-axis direction with the main surface of the objective lens 724-2 as the center of deflection. As shown in FIG. 48B, the primary electron beams 711a of the multi-beam 711 are arranged apart from each other on the circumference, and are adjacent to each other when projected on the y-axis orthogonal to the x direction which is the scanning direction. The distance between the primary electron beams 711a (measured at the center of each primary electron beam) is designed to be equal. At this time, the primary electron beams 711a adjacent to each other may be separated, in contact with each other, or partially overlap each other.
As shown in FIG. 48B, since the primary electron beams 711a constituting the multi-beam 711 are arranged apart from each other, the current density limit value of each primary electron beam 711a, that is, the sample W is not charged. The critical current density value can be maintained equivalent to using a single circular beam, thereby preventing a decrease in S / N ratio. Further, since the primary electron beams 711a are separated from each other, the space charge effect is small.
On the other hand, the multibeam 711 can scan the sample W at a uniform density over the entire field of view 713 in one scan. As a result, an image can be formed with high throughput, and the inspection time can be reduced. In FIG. 48B, if reference numeral 711 indicates a multi-beam at the scanning start point, reference numeral 711a indicates a multi-beam at the scanning end point.
The sample W is placed on a sample stage (not shown). The table is continuously moved along a direction y orthogonal to the scanning direction x during scanning in the x direction (for example, scanning with a width of 200 μm). As a result, raster scanning is performed. A driving device (not shown) for moving the table on which the sample is placed is provided.
Secondary electrons generated from the sample W during scanning and emitted in various directions are accelerated in the optical axis direction by the objective lens 724-2, and as a result, the secondary electrons emitted from each point in various directions are respectively Are narrowly focused, and the distance between images is enlarged by the lenses 724-1, 741-1, and 741-2. A secondary electron beam 712 formed via a secondary optical system including these lenses 724-1, 724-2, 741-1, and 741-2 is projected on a light receiving surface of a detector 761, and an enlarged image of a visual field is formed. Is imaged.
A detector 761 included in the optical optical system multiplies a secondary electron beam by an MCP (micro channel plate), converts the multiplied by a scintillator into an optical signal, and converts it into an electric signal by a CCD detector. A two-dimensional image of the sample W can be formed by an electric signal from the CCD. Each primary electron beam 711a has dimensions of at least two or more CCD pixels.
By operating the electron gun 721 under the space charge limiting condition, the shot noise of the primary electron beam 711a can be reduced by about one digit as compared with the case of operating under the temperature limiting condition. Therefore, the shot noise of the secondary electron signal can be reduced by one digit, so that a signal having a good S / N ratio can be obtained.
According to the electron beam apparatus of the present embodiment, the reduction in the S / N ratio is maintained by maintaining the current density limit value of the primary electron beam that does not cause charging of the sample to be equal to that when a single circular beam is used. Inspection time can be shortened by forming an image at high throughput while preventing the image formation.
In the device manufacturing method according to the present embodiment, the yield can be improved by evaluating the wafer after each wafer process using the electron beam apparatus.
FIG. 49A is a diagram showing details of the electron beam device according to the embodiment of FIG. 48A. The four electron beams 711 (711-1, 711-2, 711-3, and 711-4) emitted from the electron gun 721 are shaped by the aperture stop NA-1, and are provided with two-stage lenses 722-1 and 722-. 2, an image is formed in an elliptical shape of 10 μm × 12 μm on the deflection center plane of the Wien filter 723, raster-scanned by a deflector 730 in a direction perpendicular to the plane of the drawing, and a rectangular area of 1 mm × 0.25 mm as a whole of four electron beams. Is formed so as to cover the image uniformly. The plurality of electron beams deflected by the EXB 723 are crossed over by an NA stop, are reduced to 1/5 by a lens 724, and are irradiated and projected so as to cover 200 μ × 50 μm on the sample W and to be perpendicular to the sample surface. (Called Koehler lighting). The four secondary electron beams 712 having information of the pattern image (sample image F) emitted from the sample are enlarged by lenses 724, 741-1, and 741-2, and four electron beams as a whole are placed on the MCP 767. An image is formed as a rectangular image (enlarged projected image F ′) synthesized in 712. The enlarged projected image F ′ by the secondary electric wire 712 is sensitized 10,000 times by the MCP 767, converted into light by the fluorescent unit 767, and becomes an electric signal synchronized with the continuous moving speed of the sample by the TDI-CCD 762. The image was obtained as a continuous image by the image display unit 765 and output on a CRT or the like.
The electron beam irradiator must irradiate the sample surface as uniformly as possible and reduce irradiation unevenness with a rectangular or elliptical electron beam. It needs to be irradiated. Conventional electron beam irradiation unevenness is about ± 10%, and image contrast unevenness is large. In addition, since the electron beam irradiation current is as small as about 500 nA in an irradiation area, there is a problem that a high throughput cannot be obtained. In addition, compared to the scanning electron beam microscope (SEM) method, this method has a problem that an imaging failure due to charge-up is more likely to occur because a large image observation area is collectively irradiated with an electron beam.
The primary electron beam irradiation method of this embodiment is shown in FIG. 49B. The primary electron beam 711 is composed of four electron beams 711-1, 711-2, 711-3, and 711-4, and each beam has an elliptical shape of 2 μm × 2.4 μm, and each beam has a thickness of 200 μm. A rectangular area of × 12.5 μm is raster-scanned, and they are added so as not to overlap each other to irradiate a rectangular area of 200 μ × 50 μm as a whole. The beam of 711-1 arrives at 711-1 'in a finite time, and then returns to the area immediately below 711-1 (202 direction) shifted by the beam spot diameter (10 μm) with almost no time loss, and again has the same finite At the time of 711-1 ′ to 711-1 ′, it moves directly below 711-1 ′ (711-2 ′ direction), and this is repeated, thereby repeating 1 / of the rectangular irradiation area (200 μm .Times.12.5 .mu.m) and returns to the first point 711-1, which is repeated at high speed. The other electron beams 711-2 to 711-4 repeat scanning at the same speed as the electron beam 711-1, and uniformly irradiate a rectangular irradiation area (200 μ × 50 μm) as a whole at high speed. The raster scan need not be performed as long as irradiation can be performed uniformly. For example, scanning may be performed so as to draw a Lissajous shape. Therefore, the moving direction of the stage need not be the direction A shown in the figure. That is, it is not necessary to be perpendicular to the scanning direction (horizontal high-speed scanning direction in the figure). In this example, the electron beam irradiation unevenness could be irradiated at about ± 3%. The irradiation current was 250 nA per electron beam, and a total of 1.0 μA could be obtained with four electron beams on the sample surface (twice the conventional value). By increasing the number of electron beams, the current can be increased and high throughput can be obtained. Further, since the irradiation point is smaller (about 1/80 in area) and is moving as compared with the related art, the charge-up can be suppressed to 1/20 or less of the related art.
Although not shown in the figure, this apparatus has a limited field stop, a deflector (aligner) having four or more poles for adjusting the axis of the electron beam, astigmatism, as well as a lens. A compensator (stigmeter) and a unit necessary for illumination and image formation of electron beams such as a plurality of quadrupole lenses (quadrupole lenses) for shaping the beam shape are provided.
Device manufacturing method
Next, an embodiment of a method for manufacturing a semiconductor device according to the present invention will be described with reference to FIGS.
FIG. 50 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention. The manufacturing process of this embodiment includes the following main processes.
(1) Wafer manufacturing process for manufacturing a wafer (or wafer preparing process for preparing a wafer) (Step 1400)
(2) A mask manufacturing process for manufacturing a mask used for exposure (or a mask preparing process for preparing a mask) (step 1401)
(3) Wafer processing step for performing necessary processing on the wafer (step 1402)
(4) Chip assembling step of cutting out chips formed on the wafer one by one and making it operable (step 1403)
(5) Chip inspection step of inspecting the formed chip (Step 1404)
Each of the above main steps is further composed of several sub-steps.
Among these main steps, the wafer processing step (3) has a decisive effect on the performance of the semiconductor device. In this step, designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs. This wafer processing step includes the following steps.
(A) A thin film forming step of forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film to form an electrode portion (using CVD, sputtering, or the like)
(B) an oxidation step of oxidizing the thin film layer and the wafer substrate
(C) A lithography step of forming a resist pattern using a mask (reticle) for selectively processing a thin film layer, a wafer substrate, and the like.
(D) An etching step of processing a thin film layer or a substrate according to a resist pattern (for example, using a dry etching technique)
(E) Ion / impurity implantation / diffusion process
(F) Resist stripping process
(G) Step of inspecting the processed wafer
It should be noted that the wafer processing step is repeated as many times as necessary to manufacture a semiconductor device that operates as designed.
FIG. 51A is a flowchart showing a lithography step which is the core of the wafer processing step of FIG. This lithography step includes the following steps.
(A) A resist coating step of coating a resist on a wafer on which a circuit pattern has been formed in a previous step (step 1500)
(B) Step of exposing resist (step 1501)
(C) A developing step of developing the exposed resist to obtain a resist pattern (step 1502)
(D) Annealing step for stabilizing the developed resist pattern (step 1503)
The above-described semiconductor device manufacturing process, wafer processing process, and lithography process are well known and need not be further described.
When the defect inspection method and the defect inspection apparatus according to the present invention are used in the inspection step (G), even a semiconductor device having a fine pattern can be inspected with a high throughput, so that 100% inspection can be performed, and the product yield can be improved. It is possible to prevent defective products from being shipped.
Inspection procedure
The inspection procedure in the inspection step (G) will be described.
In general, a defect inspection apparatus using an electron beam is expensive and has a lower throughput than other processing apparatuses. Therefore, at present, important steps that are considered to be most necessary for inspection (for example, etching, film formation, or It is used after CMP (Chemical Mechanical Polishing) flattening processing and the like.
The wafer to be inspected is positioned on an ultra-precision XY stage through an atmospheric transfer system and a vacuum transfer system, and then fixed by an electrostatic chuck mechanism or the like. Thereafter, a defect inspection or the like is performed according to the procedure of FIG. 51B. . First, the position of each die and the height of each location are detected and stored by an optical microscope as necessary. The optical microscope also acquires an optical microscope image of a place where a defect or the like is desired to be observed, and is also used for comparison with an electron beam image. Next, the information of the recipe according to the type of the wafer (after which process, the size of the wafer is 20 cm or 30 cm, etc.) is inputted into the apparatus, and the designation of the inspection place, the setting of the electron optical system, the setting of the inspection condition, etc. After that, the defect inspection is usually performed in real time while obtaining the image. Inspection of cell comparison, die comparison, and the like is performed by a high-speed information processing system equipped with an algorithm, and the result is output to a CRT or the like or stored in a memory as necessary. Defects include particle defects, shape abnormalities (pattern defects), and electrical defects (such as disconnection of wiring or vias and poor conduction), and the like. Classification of critical defects that would be impossible) can be automatically performed in real time. The detection of an electrical defect is achieved by detecting a contrast abnormality. For example, a place where conduction is poor is normally positively charged by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place. The electron beam irradiating means in this case is a low-potential (energy) electron beam generating means (thermoelectron generation, UV / photoelectron ). This low potential (energy) electron beam is generated and irradiated before the inspection target area is irradiated with the inspection electron beam. In the case of the projection system in which the electron beam for inspection can be positively charged by itself, it is not necessary to separately provide a low potential electron beam generating means depending on the specification. Further, defect detection can be performed based on a difference in contrast caused by, for example, applying a positive or negative potential to a sample such as a wafer with respect to a reference potential (caused by a difference in ease of flow depending on the forward or reverse direction of the element). It can also be used for line width measurement equipment and alignment accuracy measurement.
[Brief description of the drawings]
FIG. 1 is an elevational view showing the main components of the inspection apparatus according to the present invention, and is a view taken along line AA in FIG.
FIG. 2A is a plan view of main components of the inspection apparatus shown in FIG. 1 and is a view taken along line BB of FIG. 1.
FIG. 2B is a schematic sectional view showing another embodiment of the substrate loading device according to the present invention.
FIG. 3 is a cross-sectional view illustrating the mini-environment device of FIG. 1, as viewed along line CC.
FIG. 4 is a view showing the loader housing of FIG. 1 and is a view taken along line DD of FIG.
FIG. 5 is an enlarged view of the wafer rack, [A] is a side view, and [B] is a cross-sectional view taken along line EE of [A].
FIG. 6 is a view showing a modification of the method of supporting the main housing.
FIG. 7 is a view showing a modification of the method of supporting the main housing.
FIG. 8 is a schematic diagram showing a schematic configuration of the electron optical device of the inspection device of FIG.
FIG. 9 is a sectional view showing the structure of the electron beam deflecting unit of the EXB separator.
FIG. 10 is a sectional view taken along line AA of FIG.
FIG. 11 is an overall configuration diagram for explaining the embodiment apparatus of the present invention.
FIG. 12 is a perspective view of the electrode, and is a perspective view showing a case where the electrode is cylindrically symmetric with respect to the axis.
FIG. 13 is a perspective view of the electrode, and is a perspective view showing a case where the electrode has an axially symmetric disk shape.
FIG. 14 is a graph showing a voltage distribution between the wafer and the objective lens.
FIG. 15 is a flowchart showing a secondary electron detection operation of the electron beam device.
FIG. 16 is a cross-sectional view of the E × B separator of the present invention.
FIG. 17 is a diagram showing an electric field distribution of the E × B separator of the present invention.
FIG. 18 is a schematic configuration diagram showing a main part of one embodiment of a precharge unit according to the present invention.
FIG. 19 is a schematic configuration diagram showing another embodiment of the precharge unit.
FIG. 20 is a schematic configuration diagram showing still another embodiment of the precharge unit.
FIG. 21 is a schematic configuration diagram showing still another embodiment of the precharge unit.
FIG. 22 is a schematic diagram of one embodiment of an imaging device according to the present invention.
FIG. 23 is a diagram illustrating an operation timing for equalizing or reducing the electric charge charged to the target of the imaging apparatus in FIG. 22.
FIG. 24 is a schematic configuration diagram of a defect inspection apparatus including a precharge unit according to another embodiment of the present invention.
FIG. 25 is a schematic configuration diagram of a defect inspection apparatus including a precharge unit according to another embodiment of the present invention.
FIG. 26 is a schematic configuration diagram of a defect inspection apparatus including a precharge unit according to still another embodiment of the present invention.
FIG. 27 is a flowchart showing the flow of the wafer inspection of the defect inspection apparatus according to the embodiment of FIGS.
FIGS. 28A and 28B are diagrams for explaining a specific example of a wafer defect detection method in the defect inspection apparatus according to the embodiment of FIGS. 24 to 26, where FIG. 28A shows pattern defect detection, and FIG. (C) shows the potential contrast measurement.
FIG. 29 is a diagram showing a potential application mechanism.
FIG. 30 is a view for explaining the electron beam calibration mechanism, where [A] is a side view and [B] is a plan view.
FIG. 31 is a schematic explanatory view of a wafer alignment control device.
FIG. 32 is a diagram showing a vacuum chamber and an XY stage of an embodiment of the charged beam device of the present invention, wherein [A] is a front view and [B] is a side view.
FIG. 33 is a diagram showing a vacuum chamber and an XY stage of another embodiment of the charged beam device of the present invention.
FIG. 34 is a diagram showing a vacuum chamber and an XY stage of another embodiment of the charged beam device of the present invention.
FIG. 35 is a diagram showing a vacuum chamber and an XY stage of still another embodiment of the charged beam device of the present invention.
FIG. 36 is a diagram showing a vacuum chamber and an XY stage of still another embodiment of the charged beam device of the present invention.
FIG. 37 is a diagram showing a vacuum chamber and an XY stage of one embodiment of the charged beam device of the present invention.
FIG. 38 is a view showing an example of an operation exhaust mechanism provided in the device shown in FIG.
FIG. 39 is a view showing a gas circulation piping system of the apparatus shown in FIG.
FIG. 40 is a schematic diagram illustrating an example of an optical system and a detection system provided in a lens barrel.
FIG. 41 is a schematic configuration diagram of a defect inspection device according to a modification of the present invention.
FIG. 42 is a diagram illustrating an example of a plurality of inspected images and a reference image acquired by the defect inspection apparatus of FIG.
FIG. 43 is a flowchart showing a flow of a main routine of the wafer inspection in the defect inspection apparatus of FIG.
FIG. 44 is a flowchart showing a detailed flow of a subroutine of a plurality of inspection image data acquisition steps (step 1304) in FIG.
FIG. 45 is a flowchart showing a detailed flow of the subroutine of the comparison step (step 1308) in FIG.
FIG. 46 is a diagram showing a specific configuration example of a detector of the defect inspection device of FIG.
FIG. 47 is a view conceptually showing a plurality of inspection regions whose positions are shifted from each other while partially overlapping on the surface of the semiconductor wafer.
FIG. 48A is a schematic diagram of an electron beam device according to another embodiment of the present invention.
FIG. 48B is a schematic plan view showing a mode of scanning the sample with a plurality of primary electron beams in the embodiment of FIG. 48A.
FIG. 49A is a more detailed illustration of the embodiment of FIG. 48A.
FIG. 49B is a diagram illustrating a method of irradiating a primary electron beam in the embodiment.
FIG. 50 is a flowchart showing one embodiment of a method for manufacturing a semiconductor device according to the present invention.
FIG. 51 is a flowchart showing a lithography step which is the core of the wafer processing step of FIG.
FIG. 52 is a schematic configuration of an example of a projection type electron beam inspection apparatus.
FIG. 53 is a diagram showing the movement of secondary electrons emitted from a rectangular area.
FIG. 54 is a diagram showing an electric field distribution of the conventional E × B separator.
FIG. 55 is a diagram showing a vacuum chamber and an XY stage of a conventional charged beam device, wherein [A] is a front view and [B] is a side view.
FIG. 56 is a diagram showing the relationship between the hydrostatic bearing used in the XY stage in FIG. 1 and the differential pumping mechanism.

Claims (60)

荷電粒子又は電磁波のいづれか一つを検査対象に照射して該検査対象を検査する検査装置において、
真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、
荷電粒子又は電磁波のいづれか一つをビームとして発生させるビーム発生手段と、
該ビームを前記ワーキングチャンバ内に保持した検査対象に導き照射し、検査対象から発生する二次荷電粒子を検出し、画像処理系へ導く電子光学系と、
該二次荷電粒子により画像を形成する画像処理系と、
該画像処理系の出力に基づき、検査対象の状態情報を表示及び/又は記憶する情報処理系と、
前記ビームに対し相対移動可能に検査対象を保持するステージ装置とを具備することを特徴とする検査装置。
In an inspection apparatus that inspects the inspection target by irradiating any one of the charged particles or the electromagnetic waves to the inspection target,
A working chamber for inspecting an inspection object, which can be controlled in a vacuum atmosphere,
Beam generating means for generating any one of charged particles or electromagnetic waves as a beam,
An electron optical system that guides and irradiates the beam to an inspection target held in the working chamber, detects secondary charged particles generated from the inspection target, and guides the beam to an image processing system.
An image processing system for forming an image with the secondary charged particles,
An information processing system for displaying and / or storing state information of the inspection target based on an output of the image processing system;
A stage device for holding an inspection target so as to be relatively movable with respect to the beam.
請求項1に記載の検出装置において、検査対象を保全し、前記ワーキングチャンバに搬出入する搬出入機構を具備することを特徴とする検査装置。2. The detection apparatus according to claim 1, further comprising a carry-in / out mechanism for preserving a test object and carrying in / out the working chamber. 請求項2に記載の検査装置において、前記搬出入機構には、
清浄気体を前記検査対象に流して前記検査対象への塵埃の付着を阻止するミニエンバイロメント装置と、
前記ミニエンバイロメント装置と前記ワーキングチャンバとの間に配置されていて、それぞれ独立して真空雰囲気に制御可能になっている少なくとも二つのローディングチャンバと、
前記ミニエンバイロメント装置と前記ローディングチャンバとの間で前記検査対象を移送可能な搬送ユニット及び前記一つのローディングチャンバ内と前記ステージ装置上との間で前記検査対象を移送可能な別の搬送ユニットを有するローダーと、を備え、
前記ワーキングチャンバとローディングチャンバが振動遮断装置を介して支持されていることを特徴とする検査装置。
The inspection device according to claim 2, wherein the carry-in / out mechanism includes:
A mini-environment device for flowing a clean gas to the test object to prevent adhesion of dust to the test object,
At least two loading chambers disposed between the mini-environment device and the working chamber, each being independently controllable to a vacuum atmosphere;
A transfer unit capable of transferring the inspection target between the mini-environment device and the loading chamber, and another transfer unit capable of transferring the inspection target between the one loading chamber and the stage device. And a loader having
An inspection apparatus, wherein the working chamber and the loading chamber are supported via a vibration isolator.
請求項1に記載の検査装置において、
前記ワーキングチャンバ内に配置された前記検査対象に荷電粒子を照射して前記検査対象の帯電むらを減少するプレチャージユニット及び前記検査対象に電位を印加する電位印加機構とを備え、
ていることを特徴とする検査装置。
The inspection device according to claim 1,
A potential applying mechanism for applying a potential to the test target and a precharge unit that irradiates the test target with charged particles arranged in the working chamber to reduce charging unevenness of the test target,
An inspection apparatus characterized in that:
請求項3に記載の検査装置において、前記ローダーが、それぞれが独立して雰囲気制御可能になっている第1のローディングチャンバ及び第2のローディングチャンバと、前記検査対象を第1のローディングチャンバ内とその外部との間で搬送する第1の搬送ユニットと、前記第2のローディングチャンバに設けられていて前記検査対象を前記第1のローディングチャンバ内と前記ステージ装置上との間で搬送する第2の搬送ユニットとを備えていることを特徴とする検査装置。The inspection apparatus according to claim 3, wherein the loader is configured to independently control the atmosphere in a first loading chamber and a second loading chamber, and to set the inspection target in the first loading chamber. A first transport unit that transports the test object to and from the outside, and a second transport unit that is provided in the second loading chamber and transports the inspection target between the inside of the first loading chamber and the stage device. An inspection apparatus, comprising: 請求項1,2又は3に記載の検査装置において、更に、前記電子光学系に対する前記検査対象の位置決めのために前記検査対象の表面を観察してアライメントを制御するアライメント制御装置と、前記ステージ装置上の前記検査対象の座標を検出するレーザ干渉測距装置とを備え、前記アライメント制御装置により検査対象に存在するパターンとを利用して検査対象の座標を決めることを特徴とする検査装置。4. The inspection apparatus according to claim 1, further comprising: an alignment control device configured to observe a surface of the inspection target and control alignment for positioning the inspection target with respect to the electron optical system, and the stage device. 5. A laser interferometer for detecting the coordinates of the inspection object, and determining the coordinates of the inspection object by using the pattern existing on the inspection object by the alignment control device. 請求項1,2又は3に記載の検査装置において、前記検査対象の位置合わせは、前記ミニエンバイロメント空間内で行われる粗位置合わせと、前記ステージ装置上で行われるXY方向の位置合わせ及び回転方向の位置合わせとを含むことを特徴とする検査装置。4. The inspection apparatus according to claim 1, wherein the positioning of the inspection target is performed in a coarse positioning performed in the mini-environment space, and a positioning and rotation in the XY directions performed on the stage device. 5. An inspection apparatus comprising: positioning in a direction. 請求項1,2,3のいずれかによる検査装置であって,前記電子光学系が,
電界と磁界が直交する場により前記2次荷電粒子を前記検出器方向に偏向するE×B偏向器と、
前記対物レンズと前記被検査試料との間に配置され、前記ビームの照射光軸に対して略軸対称の形状であり、前記被検査試料の前記電子線の照射面における電界強度を制御する電極を備えたことを特徴とする検査装置。
4. The inspection apparatus according to claim 1, wherein the electron optical system comprises:
An E × B deflector for deflecting the secondary charged particles in the direction of the detector by a field in which an electric field and a magnetic field are orthogonal to each other;
An electrode that is disposed between the objective lens and the sample to be inspected and has a shape that is substantially axially symmetric with respect to the irradiation optical axis of the beam, and controls an electric field intensity on the electron beam irradiation surface of the sample to be inspected An inspection apparatus comprising:
請求項1,2,3のいずれかによる検査装置であって,該装置が,荷電粒子と、荷電粒子とは略反対方向に進む二次荷電粒子とが入射され、前記荷電粒子又は二次荷電粒子を選択的に偏向させるE×B分離器であって、電界を発生させるための電極が、3対以上の非磁性導電体電極で構成され、ほぼ円筒を構成するように配置されるE×B分離器を含むことを特徴とする検査装置。4. The inspection device according to claim 1, wherein the charged particle and the secondary charged particle traveling in a direction substantially opposite to the charged particle are incident on the charged particle or the secondary charged particle. An E × B separator for selectively deflecting particles, wherein an electrode for generating an electric field is composed of three or more pairs of nonmagnetic conductor electrodes, and is arranged so as to form a substantially cylindrical shape. An inspection device comprising a B separator. 請求項1,2,3のいずれかによる検査装置であって,該装置が、検査直前の被検査領域をあらかじめ荷電粒子により照射する荷電粒子照射部を備えたことを特徴とする検査装置。The inspection apparatus according to any one of claims 1, 2, and 3, wherein the inspection apparatus includes a charged particle irradiation unit that previously irradiates a region to be inspected immediately before inspection with charged particles. 請求項1,2,3のいずれかによる検査装置であって,該装置が、前記検査対象に帯電した電荷を均一化若しくは低減化する手段を有することを特徴とする検査装置。4. An inspection apparatus according to claim 1, wherein said apparatus has means for equalizing or reducing the electric charge charged on said inspection object. 請求項1,2,3のいずれかによる検査装置であって,前記装置が,少なくとも前記検出手段が前記二次荷電粒子像を検出する期間内に、前記荷電粒子より低エネルギーを有する電子を前記試料に供給することを特徴とする検査装置。4. The inspection device according to claim 1, wherein said device emits electrons having lower energy than said charged particles at least during a period in which said detection means detects said secondary charged particle image. An inspection device characterized by supplying to a sample. 請求項1,2,3のいずれかによる検査装置であって,前記ステージがXYステージであり、該XYステージはワーキングチャンバ内に収容されかつ静圧軸受けによりワーキングチャンバに対して非接触で支持されており、該ステージが収容されたワーキングチャンバは真空排気され、
該荷電粒子ビーム装置の該試料面上に荷電粒子ビームを照射する部分の周囲には、試料面上の該荷電粒子ビームが照射される領域を排気する差動排気機構が設けられたことを特徴とする検査装置。
4. The inspection apparatus according to claim 1, wherein the stage is an XY stage, and the XY stage is accommodated in a working chamber and supported by a static pressure bearing in a non-contact manner with the working chamber. The working chamber containing the stage is evacuated,
A differential pumping mechanism is provided around a portion of the charged particle beam apparatus that irradiates the charged particle beam onto the sample surface, and a differential exhaust mechanism that evacuates an area of the sample surface irradiated with the charged particle beam. Inspection equipment.
請求項1,2,3のいずれかによる検査装置であって,前記装置が,XYステージに試料を載置し、該試料を真空中で任意の位置に移動して試料面に荷電粒子ビームを照射する装置を有し、
該XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、
該試料面上の荷電粒子ビームが照射される箇所と、該XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたことを特徴とする検査装置。
4. The inspection apparatus according to claim 1, wherein the apparatus mounts a sample on an XY stage, moves the sample to an arbitrary position in a vacuum, and applies a charged particle beam to the sample surface. Has an irradiation device,
The XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential pump.
A partition having a small conductance is provided between a portion on the sample surface where the charged particle beam is irradiated and a static pressure bearing support of the XY stage,
An inspection device wherein a pressure difference is generated between a charged particle beam irradiation area and a static pressure bearing support.
請求項1,2,3のいずれかによる検査装置であって,
前記試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、
基準画像を記憶する記憶手段と、
前記画像取得手段により取得された複数の被検査領域の画像と、前記記憶手段に記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断手段と、
を含む検査装置。
An inspection device according to any one of claims 1, 2, and 3,
Image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the sample,
Storage means for storing a reference image;
Defect determination means for determining a defect of the sample by comparing the images of the plurality of inspection areas acquired by the image acquisition means and the reference image stored in the storage means,
Inspection equipment including.
請求項1ないし15に記載の検査装置を用いてプロセス途中又はその後のウエハの欠陥を検出するデバイス製造方法。A device manufacturing method for detecting a defect in a wafer during or after a process using the inspection apparatus according to claim 1. 荷電粒子を被検査試料に照射するビーム発生装置と、
前記荷電粒子を減速させると共に前記荷電粒子が前記被検査試料に照射することにより発生する2次荷電粒子を加速させる減速電界型対物レンズと、
前記2次荷電粒子を検出する検出器と、
電界と磁界が直交する場により前記2次荷電粒子を前記検出器方向に偏向するE×B偏向器と、
前記減速電界型対物レンズと前記被検査試料との間に配置され、前記荷電粒子の照射光軸に対して略軸対称の形状であり、前記被検査試料の前記荷電粒子の照射面における電界強度を制御する電極を備えたことを特徴とする検査装置。
A beam generator for irradiating the sample to be inspected with charged particles,
A deceleration electric field type objective lens for decelerating the charged particles and accelerating secondary charged particles generated by irradiating the test sample with the charged particles;
A detector for detecting the secondary charged particles,
An E × B deflector for deflecting the secondary charged particles in the direction of the detector by a field in which an electric field and a magnetic field are orthogonal to each other;
An electric field intensity at an irradiation surface of the charged particle of the sample to be inspected, which is disposed between the decelerating electric field type objective lens and the sample to be inspected and has a shape substantially symmetrical with respect to an irradiation optical axis of the charged particle. An inspection apparatus, comprising: an electrode for controlling the pressure.
前記被検査試料の種類によって、前記電界強度を制御する為に前記電極に与える電圧を制御することを特徴とする請求項17記載の検査装置。18. The inspection apparatus according to claim 17, wherein a voltage applied to the electrode for controlling the electric field intensity is controlled according to a type of the sample to be inspected. 前記被検査試料は半導体ウェーハであり、前記電界強度を制御する為に前記電極に与える電圧は、前記半導体ウェーハのビアの有無によって制御することを特徴とする請求項17記載の検査装置。18. The inspection apparatus according to claim 17, wherein the sample to be inspected is a semiconductor wafer, and a voltage applied to the electrode for controlling the electric field intensity is controlled by the presence or absence of a via in the semiconductor wafer. 請求項17〜19のいずれか1項記載の検査装置を用いたデバイス製造方法であって、
デバイス製造途中または製造後において前記検査装置を用いて前記被検査試料であるところの半導体ウェーハの欠陥を検査することを特徴とするデバイス製造方法。
A device manufacturing method using the inspection apparatus according to any one of claims 17 to 19,
A device manufacturing method, wherein a defect of a semiconductor wafer, which is the sample to be inspected, is inspected using the inspection apparatus during or after device production.
第1の荷電粒子線と、第1の荷電粒子線とは略反対方向に進む第2の荷電粒子線とが入射され、前記第1の荷電粒子線又は第2の荷電粒子線を選択的に偏向させるE×B分離器であって、
電界を発生させるための電極が、3対以上の非磁性導電体電極で構成され、円筒を構成するように配置されるE×B分離器。
A first charged particle beam and a second charged particle beam traveling in a direction substantially opposite to the first charged particle beam are incident, and the first charged particle beam or the second charged particle beam is selectively applied to the first charged particle beam or the second charged particle beam. An E × B separator for deflecting,
An E × B separator in which an electrode for generating an electric field is formed of three or more pairs of non-magnetic conductor electrodes and arranged to form a cylinder.
請求項21記載のE×B分離器であって、
磁界を発生させる平行平板磁極を、前記3対以上の非磁性導電体電極が構成する円筒の外側に配置し、かつ前記平行平板電極の対向面周辺部に突起を形成したE×B分離器。
The E × B separator according to claim 21, wherein:
An E × B separator in which a parallel plate magnetic pole for generating a magnetic field is arranged outside a cylinder formed by the three or more pairs of non-magnetic conductor electrodes, and a projection is formed around an opposing surface of the parallel plate electrode.
請求項22記載のE×B分離器であって、
発生した磁界の磁力線の通路のうち、前記平行平板磁極間以外の通路の大部分は、前記3対以上の非磁性導電体電極が構成する円筒と同軸の円筒形状であるE×B分離器。
The E × B separator according to claim 22, wherein:
An E × B separator in which most of the paths of the lines of magnetic force of the generated magnetic field other than between the parallel plate magnetic poles have a cylindrical shape coaxial with a cylinder formed by the three or more pairs of nonmagnetic conductor electrodes.
請求項22又は23記載のE×B分離器であって、
前記平行平板磁極は、永久磁石であるE×B分離器。
An ExB separator according to claim 22 or claim 23,
The E × B separator, wherein the parallel plate magnetic pole is a permanent magnet.
請求項21ないし24のいずれか1項記載のE×B分離器を用いた検査装置であって、
前記第1の荷電粒子線又は第2の荷電粒子線の一方が、被検査試料に照射する1次荷電粒子線であり、他方が、前記1次荷電粒子線の照射により前記試料から発生する2次荷電粒子線である検査装置。
An inspection apparatus using the ExB separator according to any one of claims 21 to 24,
One of the first charged particle beam and the second charged particle beam is a primary charged particle beam for irradiating the test sample, and the other is a secondary charged particle beam generated from the sample by the irradiation of the primary charged particle beam. An inspection device that is the next charged particle beam.
荷電粒子照射部、レンズ系、偏向器(EXBフィルタ(ウィーンフィルタ)、二次荷電粒子検出器を有し、前記荷電粒子照射部から荷電粒子を前記レンズ系、偏向器、EXBフィルタを介して試料の被検査領域に照射し、試料から生成する二次荷電粒子を前記二次荷電粒子検出器に前記レンズ系、偏向器、EXBフィルタにより結像させ、その電気信号を画像として検査する写像投影型電子線検査装置において、検査直前の被検査領域をあらかじめ荷電粒子により照射する荷電粒子照射部を備えたことを特徴とする検査装置。A charged particle irradiation unit, a lens system, a deflector (an EXB filter (Wien filter), a secondary charged particle detector is provided, and charged particles are sampled from the charged particle irradiation unit through the lens system, the deflector, and the EXB filter) A projection type in which the secondary charged particles generated from the sample are formed by irradiating the secondary charged particle detector with the lens system, the deflector, and the EXB filter, and the electrical signal is inspected as an image. An electron beam inspection apparatus, comprising: a charged particle irradiation unit for irradiating a region to be inspected immediately before inspection with charged particles in advance. 前記荷電粒子が電子、正または負のイオン、またはプラズマであることを特徴とする請求項26記載の装置。The device of claim 26, wherein the charged particles are electrons, positive or negative ions, or plasma. 前記荷電粒子のエネルギーが100eV以下であることを特徴とする請求項26または27に記載の装置。28. The apparatus according to claim 26, wherein the energy of the charged particles is 100 eV or less. 前記荷電粒子のエネルギーが30eV以下であることを特徴とする請求項26または27に記載の装置。The device according to claim 26 or 27, wherein the energy of the charged particles is 30 eV or less. 前記請求項26ないし29のいずれかによる検査装置を使用してデバイス製造プロセス途中のパターン検査をおこなうことを特徴とするデバイス製造方法。30. A device manufacturing method, wherein a pattern inspection is performed during a device manufacturing process using the inspection apparatus according to claim 26. ビーム発生装置から放出された荷電粒子ビームを対象に照射し、対象から放出された二次荷電粒子を検出器を用いて検出し、前記対象の画像情報の収集、対象の欠陥の検査等を行う撮像装置において、
前記対象に帯電した電荷を均一化若しくは低減化する手段を有することを特徴とする撮像装置。
The charged particle beam emitted from the beam generator is irradiated on the target, secondary charged particles emitted from the target are detected using a detector, and image information of the target is collected, and a defect of the target is inspected. In the imaging device,
An imaging apparatus comprising: means for equalizing or reducing the electric charge charged on the object.
請求項31に記載の撮像装置において、前記手段が、前記ビーム発生装置と前記対象との間に配置されていて、前記帯電した電荷を制御可能な電極を備えることを特徴とする撮像装置。32. The imaging device according to claim 31, wherein said means comprises an electrode disposed between said beam generator and said object, said electrode being capable of controlling said charged charge. 請求項31に記載の撮像装置において、前記手段は計測タイミングの空き時間に動作するようになされている撮像装置。32. The imaging apparatus according to claim 31, wherein the means operates during an idle time of the measurement timing. 請求項31に記載の撮像装置において、
複数の荷電粒子ビームを前記対象に照射する少なくとも1以上の一次光学系と、
前記対象から放出された電子を少なくとも1以上の検出器に導く少なくとも1以上の二次光学系とを有し、
前記複数の一次電子ビームは、互いに前記二次光学系の距離分解能より離れた位置に照射されるものである撮像装置。
The imaging device according to claim 31,
At least one or more primary optics for irradiating the object with a plurality of charged particle beams;
At least one or more secondary optical systems for guiding electrons emitted from the object to at least one or more detectors,
An imaging apparatus, wherein the plurality of primary electron beams are applied to positions separated from each other by a distance resolution of the secondary optical system.
請求項31ないし34のいずれかに記載の撮像装置を用いてプロセス途中のウエハの欠陥を検出するデバイスの製造方法。A device manufacturing method for detecting a defect of a wafer during a process using the imaging device according to any one of claims 31 to 34. 試料の欠陥を検査する欠陥検査装置であって、
一次荷電粒子を前記試料に照射可能な荷電粒子照射手段と、
前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影手段と、
前記写像投影手段により結像された像を前記試料の電子画像として検出する検出手段と、
前記検出手段により検出された電子画像に基づいて、前記試料の欠陥を判断する欠陥判断手段と、
を含み、
少なくとも前記検出手段が前記電子画像を検出する期間内に、前記一次荷電粒子より低エネルギーを有する電子を前記試料に供給することを特徴とする、検査装置。
A defect inspection device for inspecting a defect of a sample,
Charged particle irradiation means capable of irradiating the sample with primary charged particles,
Mapping projection means for mapping and projecting secondary charged particles emitted from the sample by irradiation of the primary charged particles,
Detection means for detecting the image formed by the mapping projection means as an electronic image of the sample,
Defect determination means for determining a defect of the sample based on the electronic image detected by the detection means,
Including
An inspection apparatus, wherein an electron having lower energy than the primary charged particles is supplied to the sample at least during a period in which the detection unit detects the electronic image.
試料の欠陥を検査する検査装置であって、
一次荷電粒子を前記試料に照射可能な荷電粒子照射手段と、
前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影手段と、
前記写像投影手段により結像された像を前記試料の電子画像として検出する検出手段と、
前記検出手段により検出された電子画像に基づいて、前記試料の欠陥を判断する欠陥判断手段と、
前記試料にUV光電子を供給可能なUV光電子供給手段と、
を含むことを特徴とする、検査装置。
An inspection device for inspecting a sample for defects,
Charged particle irradiation means capable of irradiating the sample with primary charged particles,
Mapping projection means for mapping and projecting secondary charged particles emitted from the sample by irradiation of the primary charged particles,
Detection means for detecting the image formed by the mapping projection means as an electronic image of the sample,
Defect determination means for determining a defect of the sample based on the electronic image detected by the detection means,
UV photoelectron supply means capable of supplying UV photoelectrons to the sample,
An inspection apparatus characterized by including:
試料の欠陥を検査する検査方法であって、
一次電子線を前記試料に照射する工程と、
前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影工程と、
前記写像投影工程で結像された像を前記試料の電子画像として検出する検出工程と、
前記検出工程で検出された前記電子画像に基づいて、前記試料の欠陥を判断する欠陥判断工程と、
を含み、
少なくとも前記検出工程で前記電子画像を検出する期間内に、前記一次荷電粒子より低エネルギーを有する電子を前記試料に供給することを特徴とする、検査方法。
An inspection method for inspecting a sample for defects,
Irradiating the sample with a primary electron beam,
A mapping projection step of mapping and projecting secondary charged particles emitted from the sample by irradiation of the primary charged particles,
A detection step of detecting an image formed in the mapping projection step as an electronic image of the sample,
A defect determining step of determining a defect of the sample based on the electronic image detected in the detecting step;
Including
An inspection method, characterized in that electrons having lower energy than the primary charged particles are supplied to the sample at least during a period in which the electronic image is detected in the detection step.
試料の欠陥を検査する検査方法であって、
一次荷電粒子を試料に照射する荷電粒子照射工程と、
前記一次荷電粒子の照射により前記試料から放出された二次荷電粒子を写像投影して結像させる写像投影工程と、
前記写像投影工程で結像された像を前記試料の電子画像として検出する検出工程と、
前記検出工程で検出された電子画像に基づいて、前記試料の欠陥を判断する欠陥判断工程と、
を含み、
前記試料にUV光電子を供給するUV光電子供給工程を更に含むことを特徴とする、検査方法。
An inspection method for inspecting a sample for defects,
Charged particle irradiation step of irradiating the sample with primary charged particles,
A mapping projection step of mapping and projecting secondary charged particles emitted from the sample by irradiation of the primary charged particles,
A detection step of detecting an image formed in the mapping projection step as an electronic image of the sample,
A defect determining step of determining a defect of the sample based on the electronic image detected in the detecting step;
Including
An inspection method, further comprising a UV photoelectron supplying step of supplying UV photoelectrons to the sample.
請求項36又は請求項37に記載の検査装置を用いて、半導体デバイスの製造に必要となる試料の欠陥を検査する工程を含む、半導体製造方法。38. A semiconductor manufacturing method, comprising a step of using the inspection apparatus according to claim 36 or 37 to inspect a sample for defects required for manufacturing a semiconductor device. XYステージに試料を載置し、該試料を真空中で任意の位置に移動して試料面に荷電粒子ビームを照射する装置において、
該XYステージには、静圧軸受けによる非接触支持機構と差動排気による真空シール機構とを設け、
該試料面上の荷電粒子ビームが照射される箇所と、該XYステージの静圧軸受け支持部との間にコンダクタンスが小さくなる仕切りを設け、
荷電粒子ビーム照射領域と静圧軸受け支持部との間に圧力差が生じるようにしたことを特徴とする荷電粒子ビーム装置。
An apparatus for placing a sample on an XY stage, moving the sample to an arbitrary position in a vacuum, and irradiating a charged particle beam on the sample surface,
The XY stage is provided with a non-contact support mechanism using a static pressure bearing and a vacuum sealing mechanism using a differential pump.
A partition having a small conductance is provided between a portion on the sample surface where the charged particle beam is irradiated and a static pressure bearing support of the XY stage,
A charged particle beam apparatus, wherein a pressure difference is generated between a charged particle beam irradiation area and a static pressure bearing support.
請求項41に記載の荷電粒子ビーム装置において、前記仕切りが差動排気構造を内蔵していることを特徴とする荷電粒子ビーム装置。42. The charged particle beam device according to claim 41, wherein the partition has a built-in differential pumping structure. 請求項41又は42に記載の荷電粒子ビーム装置において、前記仕切りがコールドトラップ機能を有していることを特徴とする荷電粒子ビーム装置。43. The charged particle beam device according to claim 41, wherein the partition has a cold trap function. 請求項41ないし43のいずれかに記載の荷電粒子ビーム装置において、前記仕切りが、荷電粒子ビーム照射位置の近傍と、静圧軸受け近傍の2カ所に設けられていることを特徴とする荷電粒子ビーム装置。The charged particle beam device according to any one of claims 41 to 43, wherein the partition is provided at two positions near a charged particle beam irradiation position and near a static pressure bearing. apparatus. 請求項41ないし44のいずれかに記載の荷電粒子ビーム装置において、前記XYステージの静圧軸受けに供給されるガスが、窒素もしくは不活性ガスであることを特徴とする荷電粒子ビーム装置。45. The charged particle beam device according to claim 41, wherein the gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas. 請求項41ないし45のいずれかに記載の荷電粒子ビーム装置において、前記XYステージの、少なくとも静圧軸受けに面する部品表面に放出ガスを低減するための表面処理を施したことを特徴とする荷電粒子ビーム装置。46. The charged particle beam apparatus according to claim 41, wherein at least a surface of a part of the XY stage facing the hydrostatic bearing is subjected to a surface treatment for reducing gas emission. Particle beam device. 請求項41ないし46のいずれかに記載の装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ欠陥検査装置。A wafer defect inspection apparatus for inspecting a defect on a surface of a semiconductor wafer using the apparatus according to any one of claims 41 to 46. 請求項41ないし46のいずれかに記載の装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置。An exposure apparatus for drawing a circuit pattern of a semiconductor device on a surface of a semiconductor wafer or a reticle using the apparatus according to any one of claims 41 to 46. 請求項41ないし48に記載の装置を用いて半導体を製造する半導体製造方法。A semiconductor manufacturing method for manufacturing a semiconductor using the apparatus according to claim 41. 試料の欠陥を検査する検査装置および方法であって、
前記試料上で部分的に重なり合いながら互いから変位された複数の被検査領域の画像を各々取得する画像取得手段と、
基準画像を記憶する記憶手段と、
前記画像取得手段により取得された複数の被検査領域の画像と、前記記憶手段に記憶された前記基準画像とを比較することによって前記試料の欠陥を判断する欠陥判断手段と、
を含む、検査装置および方法。
An inspection apparatus and method for inspecting a sample for defects,
Image acquisition means for acquiring images of a plurality of inspection areas displaced from each other while partially overlapping on the sample,
Storage means for storing a reference image;
Defect determination means for determining a defect of the sample by comparing the images of the plurality of inspection areas acquired by the image acquisition means and the reference image stored in the storage means,
Inspection apparatus and method, including:
一次荷電粒子線を前記複数の被検査領域に各々照射し、前記試料から二次荷電粒子線を放出させる荷電粒子照射手段を更に含み、
前記画像取得手段は、前記複数の被検査領域から放出された二次荷電粒子線を検出することによって該複数の被検査領域の画像を順次取得する、請求項50に記載の検査装置および方法。
Each of the plurality of regions to be inspected is irradiated with a primary charged particle beam, further comprising charged particle irradiation means for emitting a secondary charged particle beam from the sample,
51. The inspection apparatus and method according to claim 50, wherein the image acquisition unit sequentially acquires images of the plurality of inspection regions by detecting secondary charged particle beams emitted from the plurality of inspection regions.
前記荷電粒子照射手段は、
一次荷電粒子を放出する粒子源と、一次荷電粒子を偏向させる偏向手段とを備え、
前記粒子源から放出された一次荷電粒子を前記偏向手段で偏向させることによって、該一次荷電粒子を前記複数の被検査領域に順次照射する、請求項51に記載の検査装置および方法。
The charged particle irradiation means,
A particle source that emits primary charged particles, and a deflecting unit that deflects the primary charged particles,
52. The inspection apparatus and method according to claim 51, wherein the primary charged particles emitted from the particle source are deflected by the deflecting unit to sequentially irradiate the plurality of inspection areas with the primary charged particles.
一次荷電粒子線を試料に照射する1次光学系と、
二次荷電粒子を検出器に導く2次光学系とを有することを特徴とする、請求項50乃至52のいずれか1項に記載の検査装置および方法。
A primary optical system for irradiating the sample with a primary charged particle beam;
The inspection apparatus and method according to any one of claims 50 to 52, further comprising a secondary optical system that guides the secondary charged particles to the detector.
請求項50乃至53のいずれか1項に記載の欠陥検査装置を用いて、加工中又は完成品のウェーハの欠陥を検査する工程を含む、半導体製造方法。A semiconductor manufacturing method, comprising a step of using a defect inspection apparatus according to any one of claims 50 to 53 to inspect a wafer during processing or a finished product for defects. XYステージ上に載置された試料に荷電粒子ビームを照射する装置において、
該XYステージはハウジング内に収容されかつ静圧軸受けによりハウジングに対して非接触で支持されており、
該ステージが収容されたハウジングは真空排気され、
該荷電粒子ビーム装置の該試料面上に荷電粒子ビームを照射する部分の周囲には、試料面上の該荷電粒子ビームが照射される領域を排気する差動排気機構が設けられたことを特徴とする荷電粒子ビーム装置。
In an apparatus for irradiating a charged particle beam on a sample placed on an XY stage,
The XY stage is housed in a housing and supported by a static pressure bearing in a non-contact manner with respect to the housing.
The housing housing the stage is evacuated,
A differential pumping mechanism is provided around a portion of the charged particle beam apparatus that irradiates the charged particle beam onto the sample surface, and a differential exhaust mechanism that evacuates an area of the sample surface irradiated with the charged particle beam. Charged particle beam device.
請求項55に記載の荷電粒子ビーム装置において、前記XYステージの静圧軸受けに供給されるガスは窒素もしくは不活性ガスであり、該窒素もしくは不活性ガスは、該ステージを収納するハウジングから排気された後加圧され、再び前記静圧軸受けに供給されることを特徴とする荷電粒子ビーム装置。56. The charged particle beam apparatus according to claim 55, wherein the gas supplied to the static pressure bearing of the XY stage is nitrogen or an inert gas, and the nitrogen or the inert gas is exhausted from a housing accommodating the stage. A charged particle beam apparatus, which is pressurized and supplied to the static pressure bearing again. 請求項55又は56に記載の装置を用いて、半導体ウエハ表面の欠陥を検査するウエハ検査装置。A wafer inspection apparatus for inspecting a defect on a surface of a semiconductor wafer using the apparatus according to claim 55. 請求項55又は56のいずれかに記載の装置を用いて、半導体ウエハ表面又はレチクルに半導体デバイスの回路パターンを描画する露光装置。57. An exposure apparatus for drawing a circuit pattern of a semiconductor device on a surface of a semiconductor wafer or a reticle using the apparatus according to claim 55. 請求項55ないし58に記載の装置を用いて半導体を製造する半導体製造方法。A semiconductor manufacturing method for manufacturing a semiconductor using the apparatus according to claim 55. 荷電粒子又は電磁波のいづれか一つを検査対象に照射して該検査対象を検査する検査方法において、
真空雰囲気に制御可能な、検査対象を検査するワーキングチャンバと、
荷電粒子又は電磁波のいづれか一つをビームとして発生させるビーム発生手段と、
該ビームを前記ワーキングチャンバ内に保持した検査対象に導き照射し、検査対象から発生する二次荷電粒子を検出し、画像処理系へ導く電子光学系と、
該二次荷電粒子により画像を形成する画像処理系と、
該画像処理系の出力に基づき、検査対象の状態情報を表示および/又は記憶する情報処理系と、
前記ビームに対し相対移動可能に検査対象を保持するステージ装置とを備え、
検査対象の位置を測定することで、前記ビームを検査対象上に正確に位置付け、
測定された前記検査対象の所望の位置に前記ビームを偏向させ、
前記検査対象表面の前記所望位置を前記ビームで照射し、
前記検査対象から生じる二次荷電粒子を検出し、
前記二次荷電粒子により画像を形成し、
前記画像処理系の出力に基づき、検査対象の状態情報を表示および/又は記憶することを特徴とする検査方法。
In an inspection method of inspecting the inspection target by irradiating any one of the charged particles or the electromagnetic waves to the inspection target,
A working chamber for inspecting an inspection object, which can be controlled in a vacuum atmosphere,
Beam generating means for generating any one of charged particles or electromagnetic waves as a beam,
An electron optical system that guides and irradiates the beam to an inspection target held in the working chamber, detects secondary charged particles generated from the inspection target, and guides the beam to an image processing system.
An image processing system for forming an image with the secondary charged particles,
An information processing system for displaying and / or storing state information of the inspection target based on an output of the image processing system;
A stage device that holds the inspection target so as to be relatively movable with respect to the beam,
By measuring the position of the inspection object, accurately positioning the beam on the inspection object,
Deflecting the beam to a desired position of the measured test object,
Irradiating the desired position on the surface to be inspected with the beam,
Detect secondary charged particles generated from the inspection object,
Forming an image with the secondary charged particles,
An inspection method characterized by displaying and / or storing state information of an inspection object based on an output of the image processing system.
JP2002505647A 2000-06-27 2001-06-27 Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus Expired - Fee Related JP3890015B2 (en)

Applications Claiming Priority (13)

Application Number Priority Date Filing Date Title
JP2000193104 2000-06-27
JP2000193104 2000-06-27
JP2000229101 2000-07-28
JP2000229101 2000-07-28
JP2001011218 2001-01-19
JP2001011218 2001-01-19
JP2001035069 2001-02-13
JP2001035069 2001-02-13
JP2001162041 2001-05-30
JP2001162041 2001-05-30
JP2001189304 2001-06-22
JP2001189304 2001-06-22
PCT/JP2001/005495 WO2002001596A1 (en) 2000-06-27 2001-06-27 Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2006231971A Division JP4224089B2 (en) 2000-06-27 2006-08-29 Imaging apparatus, defect inspection apparatus, defect inspection method, and electron beam inspection apparatus

Publications (2)

Publication Number Publication Date
JPWO2002001596A1 true JPWO2002001596A1 (en) 2004-01-08
JP3890015B2 JP3890015B2 (en) 2007-03-07

Family

ID=37929966

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002505647A Expired - Fee Related JP3890015B2 (en) 2000-06-27 2001-06-27 Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus

Country Status (1)

Country Link
JP (1) JP3890015B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4515020B2 (en) * 2002-09-20 2010-07-28 大日本印刷株式会社 Pseudo-SEM image data generation method and photomask defect inspection method
NL2003263A (en) * 2008-08-20 2010-03-10 Asml Holding Nv Particle detection on an object surface.

Also Published As

Publication number Publication date
JP3890015B2 (en) 2007-03-07

Similar Documents

Publication Publication Date Title
KR100875230B1 (en) Inspection device by charged particle beam and device manufacturing method using the inspection device
JP5302423B2 (en) Sheet beam inspection system
JP6220423B2 (en) Inspection device
US6855929B2 (en) Apparatus for inspection with electron beam, method for operating same, and method for manufacturing semiconductor device using former
US6593152B2 (en) Electron beam apparatus and method of manufacturing semiconductor device using the apparatus
WO2002037527A1 (en) Electron beam apparatus and device production method using the apparatus
WO2002001597A1 (en) Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2011142090A (en) Inspection device by electron beam, inspection method, and device manufacturing method using its inspection device
JP2005235777A (en) Inspection apparatus and method by electron beam, and device manufacturing method using the inspection apparatus
JP2003173756A (en) Electron beam device and manufacturing method of device using the same
JP4939235B2 (en) Sheet beam inspection system
JP4221428B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP4224089B2 (en) Imaging apparatus, defect inspection apparatus, defect inspection method, and electron beam inspection apparatus
JP2008193119A (en) Inspection system by charged particle beam and method of manufacturing devices using system
JP3890015B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
JP2007184283A (en) Charged particle beam device and method
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060630

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060829

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20061102

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20061201

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 3890015

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20091208

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101208

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101208

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111208

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111208

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121208

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121208

Year of fee payment: 6

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121208

Year of fee payment: 6

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121208

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131208

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees