JPS61185929A - X-ray exposure mask - Google Patents

X-ray exposure mask

Info

Publication number
JPS61185929A
JPS61185929A JP60025483A JP2548385A JPS61185929A JP S61185929 A JPS61185929 A JP S61185929A JP 60025483 A JP60025483 A JP 60025483A JP 2548385 A JP2548385 A JP 2548385A JP S61185929 A JPS61185929 A JP S61185929A
Authority
JP
Japan
Prior art keywords
alignment mark
ray
exposure
pattern
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP60025483A
Other languages
Japanese (ja)
Inventor
Katsumi Suzuki
克美 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP60025483A priority Critical patent/JPS61185929A/en
Publication of JPS61185929A publication Critical patent/JPS61185929A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26

Abstract

PURPOSE:To prevent the effect of an adjoining shot by a method wherein a material, which is transparent for a visible light and absorbs the X-rays to be used for exposure, is filled in the aperture region of the Si substrate whereon an alignment mark is formed. CONSTITUTION:In the structure diagram of a cross section, the X-ray absorbing pattern 21, which constitutes a transfer pattern, the mask pattern 25 consisting of an Si3N4 film to be used for selective removal by etching of the prescribed region of an alignment mark 22, an X-ray transmitting thin film 23, and an Si frame 24 using the anisotropic etchant such as a KO aqueous solution and the like, for example, and the organic material 26 which is filled to attenuate the X-rays to be made incident on the aperture region provided on the Si frame 24 of the region whereon the alignment mark 22 is formed, are indicated respectively. As a result, the superpositional exposure of the adjoining shots in a step-and-repeat X-ray exposure can be prevented, and the X-rays pass through the aperture part on the circumference of the alignment mark, thereby enabling to prevent the effect affecting on the adjoining shot region.

Description

【発明の詳細な説明】 (産業上の利用分野) 本発明は微細パターンの高精度転写技術とじて期待され
ているX線露光に於いて用いられるX線露光用マスクに
関する〇 (従来技術とその問題点) X線露光法は、波長の短い軟x#!を図形の転写媒体と
して用いるため、グロキシミティ露光による微細パター
ンの高精度一括転写が可能であシ。
Detailed Description of the Invention (Field of Industrial Application) The present invention relates to an X-ray exposure mask used in X-ray exposure, which is expected to be a highly accurate transfer technology for fine patterns. Problem) X-ray exposure method uses soft x# with short wavelength! Since it is used as a pattern transfer medium, it is possible to perform high-precision batch transfer of fine patterns using gloximity exposure.

この為マスクの汚れが生じ難く、また高精度のマスク位
置合せも可能になるといった長所を有している。その反
面、電子ビーム励起方式のX線源を用いたX線露光装置
では、点光源から放射状に発生する軟X!t−図形の転
写媒体として用いるが故にマスク及びウェハの反りや歪
が転写パターンの位置ずれやボケに大きく影響するとい
う問題も抱えている。しかしながら、ウニへの大口径化
もまた半導体デバイスの生産性及び歩留シを高める為に
不可欠である。この為、従来、マイラー、カプトン、ポ
リイミド、パリレン−Nなどといったプラスチックの薄
膜を転写パターンの支持層とする大口径のX線露光マス
ク(以降グラスチックマスクと称する)t−用いて、密
着露光によシ大ロ径つエバに転写しようとする試みがな
されている。
For this reason, it has the advantage that the mask is less likely to become contaminated and that highly accurate mask positioning is possible. On the other hand, in an X-ray exposure apparatus using an electron beam excitation type X-ray source, soft X! Since it is used as a T-pattern transfer medium, there is a problem in that warpage and distortion of the mask and wafer greatly affect the positional deviation and blurring of the transferred pattern. However, increasing the diameter of the wire is also essential for increasing the productivity and yield of semiconductor devices. For this reason, conventionally, a large-diameter X-ray exposure mask (hereinafter referred to as a glass mask) with a thin film of plastic such as Mylar, Kapton, polyimide, or Parylene-N as a support layer for the transferred pattern has been used for contact exposure. Attempts have been made to transfer the image to a larger diameter Eva.

ところが、現在までのところ、これらプラスチックマス
クには、プラスチック薄膜の寸法の経時変化や温度及び
湿度の変動に伴う寸法の変化及び使用状態においてもウ
ェハに密着したプラスチック薄膜を引き離す時に生ずる
歪等々の問題があるため、1μm前後若しくはそれ以下
の超微細パターンを所望の精度で重ね合せ露光すること
は非常に困難である〇 一方、第3図に示すように人U等のX4Iを曳く吸収す
る重金属で形成した所望の転写パターン31t−8i 
’P 8i1N4 、8i01 、8iC、BN、 u
宜Om等々の軟X線の透過率が大きい無機材料から成る
薄膜32で支持し保護膜33を用いて選択的に蝕刻除去
して形成した8i7レーム34で前記薄膜32を補強支
持する構造のxan元マスク(以後これt無機マスクと
称する)の開発もまた盛んである@こうし九無機マスク
は、一般に寸法の経時変化が殆ど無くまた、温度や湿度
の変化に伴う寸法の変動が小さいため、極めて高精度の
位置合せt必要とする超L8I等の製造に適している。
However, to date, these plastic masks have problems such as changes in the dimensions of the plastic thin film over time, changes in dimensions due to fluctuations in temperature and humidity, and distortion that occurs when the plastic thin film that is in close contact with the wafer is separated even during use. Therefore, it is extremely difficult to overlay and expose ultra-fine patterns of around 1 μm or less with the desired precision. On the other hand, as shown in Figure 3, heavy metals such as humans that absorb X4I Desired transfer pattern 31t-8i formed by
'P 8i1N4, 8i01, 8iC, BN, u
The xan has a structure in which the thin film 32 is supported by a thin film 32 made of an inorganic material having a high transmittance for soft X-rays such as Y-Om, and the thin film 32 is reinforced and supported by an 8i7 frame 34 formed by selectively etching away using a protective film 33. The development of original masks (hereinafter referred to as inorganic masks) is also active.@Koshi9 Inorganic masks generally have almost no change in dimensions over time, and small fluctuations in dimensions due to changes in temperature and humidity. It is suitable for manufacturing ultra-L8I etc. that require extremely high precision alignment.

無機マスクの欠点は、一般に該薄膜32と該Siフレー
ム34との界面に働く応力によって、反−シを生じ、ま
た機械的強度がプラスチックマスクに比べて小さい為、
大口径ウェハに一括露光することはやはシ困難な点であ
る□しかし、大口径ウェハに露光する場合の上記の問題
点は比較的小口径の高平面度を有する無機マスクを用い
たステップ・アンド・リピ、ト露光方式を採用すること
により解決できる。なぜならば、こうすることによって
マスク面積は小さくてもよいことになり、マスクの寸法
が小さいために反りが小さくなシ、シかも温度や湿度の
変化に伴うマスクのピッチ精度の低下が小さく抑えられ
、更に各露光ステップ毎にX線露光マスクとウェハの間
隔及び平行度を微妙に調整できるようになる為、ウェハ
の口径とは無関係に高精度の位置合せが可能になるので
ある0更に、こうしたステップ・アンド・リピート方式
用のX線露光マスクt−製造する場合を考えてみても電
子ビーム露光技術等の微細パターン描画技術を用いてす
るX線g元マスクのパターン形成も描面パターン数が、
少なくて済むために容易になるという利点する享受し得
ることになる口ところが、上記のごとく多くの長所を有
するステップ・アンド・リピート方式のX線露光法に於
いても1次に述べるような問題点が有ることが最近認識
され始めている。第4図は従来の一般的表X線露光マス
クの模式的平面構造を示す。このマスクでは4チップ分
のマスクパターンが形成され。
The disadvantages of inorganic masks are that they generally cause cracking due to the stress acting on the interface between the thin film 32 and the Si frame 34, and that their mechanical strength is lower than that of plastic masks.
It is difficult to expose large-diameter wafers all at once. However, the above-mentioned problems when exposing large-diameter wafers are due to the step-by-step process using an inorganic mask with a relatively small diameter and high flatness. This problem can be solved by adopting an AND-REPIE exposure method. This is because by doing this, the mask area can be small, and because the mask dimensions are small, the warpage is small, and the decrease in pitch accuracy of the mask due to changes in temperature and humidity is kept to a minimum. Furthermore, since the spacing and parallelism between the X-ray exposure mask and the wafer can be finely adjusted for each exposure step, highly accurate alignment is possible regardless of the wafer diameter. When considering the case of manufacturing an X-ray exposure mask for the step-and-repeat method, pattern formation of an X-ray source mask using fine pattern writing technology such as electron beam exposure technology also requires a large number of patterns to be drawn on the surface. ,
The step-and-repeat X-ray exposure method, which has many advantages as mentioned above, has the advantage of being easier because it requires less, but it also has the following problems. Recently, it has begun to be recognized that there are points. FIG. 4 shows a schematic planar structure of a conventional general front X-ray exposure mask. In this mask, a mask pattern for four chips is formed.

ている。図中41はX1st−良く透過する材料で形成
した薄膜(以後XH透過層と称する)で、XSを良く吸
収する材料から成るチップパター/42及びマスクのア
ライメントマーク43i支持する。
ing. In the figure, reference numeral 41 denotes a thin film (hereinafter referred to as an XH transparent layer) made of a material that transmits XS well, and supports a chip pattern 42 made of a material that absorbs XS well and an alignment mark 43i of the mask.

更に前記薄膜41は、その周囲全Si製フレーム44で
支持されておシ、このフレーム44は、 該XAI露光
マスクをX@露元装置に固定したシ、又は取り扱いの際
の被把持部となる0 上記のような構造を有する従来のX線露光マスクを用い
て、ステップ・アンド・リピート方式に> h k’r
PjA八山#鳳もへI礒Δ ^11、lべh詰、ら取れ
るチップ数(歩留b>t−できる限シ多く取る為には、
第5図に示すように隣接する71ット間のチップ間隔を
なるべく小さくして露光する必要がある@ところがこの
場合第5図に示すように。
Furthermore, the thin film 41 is supported entirely by a frame 44 made of Si, and this frame 44 serves as a part for fixing the XAI exposure mask to the X@ exposure device or as a gripped part during handling. 0 Using a conventional X-ray exposure mask with the structure described above, the step-and-repeat method
PjA Yazan #Otori Mohe I ∆ ^11, lbeh, the number of chips that can be taken (yield b > t - in order to get as many chips as possible,
As shown in FIG. 5, it is necessary to perform exposure with the chip interval between adjacent 71 bits as small as possible. However, in this case, as shown in FIG.

チップ51の周囲にある余白領域52はそれほど小さく
できないから、互いに隣接するシlットにおいて斜線部
53のように重なシ合う為5本来露光すべきでない部分
が露光されてしまうという不都合があった。たとえばチ
ップパターン42の大きさを8X8mmとし、アライメ
ントマーク43がある外周部の幅f 2 mmとしチッ
プパターン42間の間か<txooμmとする07my
ト間のチップ間隔は上記のようになるぺ〈小さくと夛た
いから上記チップパターン42間の間隔と同じ100μ
mに設定しウェハ上のどのチップも100μm間隔で並
ぶようにすると、第5図の斜線部53に示すように2m
m〜100μmの幅の重な夛露元領域が生じる。
Since the margin area 52 around the chip 51 cannot be made so small, there is an inconvenience that adjacent silts overlap as shown in the shaded area 53, resulting in the exposure of parts that should not be exposed in the first place. Ta. For example, the size of the chip pattern 42 is 8 x 8 mm, the width of the outer periphery where the alignment mark 43 is located is f 2 mm, and the space between the chip patterns 42 is 07 my
The chip spacing between the two patterns is as shown above. (Since we want to increase the number of small patterns, the spacing between the chip patterns 42 is 100 μm, which is the same as the spacing between the chip patterns 42.
m, and all chips on the wafer are lined up at intervals of 100 μm, as shown in the shaded area 53 in FIG.
Overlapping exposure source regions with a width of m to 100 μm are created.

上記の外周部つtb余白領域52t−X線の吸収体パタ
ーンの形成材料で被覆して髪、一般に該X線吸収体を透
過するXfIsの強度は数チないし十数チある為、この
X線が重なって露光された場合にはその影響を防ぐこと
は不可能である。第5図中央に斜線部53が重なり九部
分があることでもかるように一つのシ冒ットの四隅で4
IK影響が大きい◎ この問題は前記の外周部t S iフレーム44で覆う
ようKすれば、解決されるが、アライメントマーク43
t−検出する為の対物顕微鏡の外径上の制約から、X線
露光マスク上のアライメントマーク相互の距離を一定値
以上に離す必要がある。また該アライメントマーク43
は少なくともS1フレームの開口領域に形成する必要が
ある為、チップパターン4217)寸法によってその周
囲にチップパターンがなくX線があるていど透過してし
まうような空白領域が生ずることを避けられない口した
がって第4図に示したような従来のX線露光マスクを用
いてステップ・アンド・リピート露光を行なう場合には
、X線露光マスクのチップパターン42の端部が過大に
露光されないようにシmy)間の重なシを小さくして露
光する必要があシ、その結果ウニ61枚尚りのチップの
収率が大幅に低下してしまうという欠点があった。前記
の例では幅21画の空白領域だけがショット間で重なる
ようにするとチップの間かくをすべて100μmにでき
る場合に比べて収率がはぼ162/202=0.64と
なシ確かに低下する。
The above-mentioned outer periphery and tb margin area 52t-hair is coated with an X-ray absorber pattern forming material.Generally, the intensity of XfIs transmitted through the X-ray absorber is from several orders of magnitude to more than ten orders of magnitude, so this X-ray It is impossible to prevent the effects of overlapping exposures. As can be seen from the fact that the hatched area 53 overlaps in the center of Fig. 5 and there are 9 parts, there are 4
The IK influence is large◎ This problem can be solved by covering the outer periphery with the I-frame 44, but the alignment mark 43
Due to restrictions on the outer diameter of the objective microscope for t-detection, it is necessary to set the distance between the alignment marks on the X-ray exposure mask to a certain value or more. Also, the alignment mark 43
must be formed at least in the opening area of the S1 frame, so depending on the size of the chip pattern 4217), it is inevitable that there will be a blank area around it where there is no chip pattern and X-rays will pass through. Therefore, when step-and-repeat exposure is performed using a conventional X-ray exposure mask as shown in FIG. ) It is necessary to reduce the overlapped lines between the exposed areas, and as a result, the yield of chips of 61 or more sea urchins is significantly reduced. In the above example, if only the blank area with a width of 21 pixels overlaps between shots, the yield will be 162/202 = 0.64, which is certainly lower than when the chip spacing can be made all 100 μm. do.

(発明の目的) 本発明の目的は、上記のごとき従来のX線露光マスクの
欠点を改善し、転写チップパターンの寸法に関わらず、
常に隣接シ冒ットの影響が無く且つチップ間隔を十分近
接して露光できるステップ・アンド・リピート用X線露
光マスクを提供するととくある。
(Objective of the Invention) The object of the present invention is to improve the drawbacks of the conventional X-ray exposure mask as described above, and to
It is an object of the present invention to provide a step-and-repeat X-ray exposure mask that can always expose chips with sufficiently close intervals without being influenced by adjacent shots.

(発明の構成) 本発明のX線露光マスクはX線透過性薄膜と、このX線
透過性薄膜の一表面上に密着し、且つ複数の開口領域を
有する8i基板と、前記X線透過性薄膜の他方の表面上
に該8i基板の第一の開口領域内に含まれるように成形
配置されたマスクパターンと、マスクパターンが配置さ
れた開口領域と分離して設けた他の開口領域内に形成し
たアライメントマークを少なくと4有し、且つ該アライ
メントマークが形成されたSi基板の開口領域には可視
光に対して透明で露光用のXJie吸収する材料が充て
んされていることを特徴としている。
(Structure of the Invention) The X-ray exposure mask of the present invention includes an X-ray transparent thin film, an 8i substrate that is in close contact with one surface of the X-ray transparent thin film and has a plurality of opening areas, and the X-ray transparent thin film. A mask pattern formed and arranged on the other surface of the thin film so as to be included in the first opening area of the 8i substrate, and another opening area provided separately from the opening area where the mask pattern is placed. The Si substrate has at least four alignment marks formed therein, and the opening area of the Si substrate where the alignment marks are formed is filled with a material that is transparent to visible light and absorbs XJie for exposure. .

(構成の詳細な説明) 本発明の構成に於いて%X線透過性薄膜は、所望の転写
パターンを構成する重金属パターンと、アライメントマ
ークを形成する為の基板として用いられる。X線露光に
よシ前記重金属パターンを精度良く且つできるだけ短時
間で転写する為には、膜は、 8i、 N、 0.  
B、  C,H等の原子量が少なくとも30以下の軽元
素を主成分とする材料で形成するとよい。またその膜厚
は機械的強度が許す限シ小さくすることが望ましい@該
Xls透過性薄膜自体は脆弱であ)1機械的に把持する
ことは不可能に近い為、その周囲を例えば窓枠状のSi
基板で補強支持する。本発明が提供するX線露光マスク
は、この窓枠状の8i基板に%X線露光時の不用な露光
領域の発生を防ぐ為のブランキングの機能を持たせるこ
とを第一の特徴としておシ、所望の転写パターンを構成
する前記重金属パターン部に設けた前記si基板の開口
領域は、必要最小限の寸法にしている。
(Detailed Description of Configuration) In the configuration of the present invention, the X-ray transparent thin film is used as a substrate for forming a heavy metal pattern constituting a desired transfer pattern and an alignment mark. In order to transfer the heavy metal pattern with high precision and in as short a time as possible by X-ray exposure, the film should have the following properties: 8i, N, 0.
It is preferable to use a material whose main component is a light element such as B, C, or H having an atomic weight of at least 30 or less. In addition, it is desirable that the film thickness be as small as the mechanical strength allows (@The Xls-permeable thin film itself is fragile) 1. Since it is almost impossible to mechanically grip it, the surrounding area should be shaped like a window frame, etc. of Si
Reinforce and support with the board. The first feature of the X-ray exposure mask provided by the present invention is that this window frame-shaped 8i substrate has a blanking function to prevent unnecessary exposure areas from occurring during X-ray exposure. The opening area of the Si substrate provided in the heavy metal pattern portion constituting the desired transfer pattern is set to the minimum necessary size.

一方、X線露光マスクを半導体装置の製造等に利用する
場合には、半導体基板上のパターンに対して正確な位置
合せを必要とする為、1枚のX線露光マスクについて少
なくとも2個のアライメントマークが必要となる0本発
明が提供するX線露光マスクに於いては、上記アライメ
ントマークは、チップ寸法及び、マスクアライメント用
対物顕微鏡の外径のいずれによっても制約を受けること
がないように、前記重金属パターン部の該8i基板の開
口部と独立して設けた開口領域部に形成されている。更
に、上記アライメントマーク部の開口領域には1本X線
露元マスクによるステップ・アンド・リピート露光に於
いて隣接する露光領域に、該開口領域を透過したX線が
重なって露光されることがないように、軟xlfst−
十分吸収し且つマスクアライメントに用いる可視光を十
分透過する透明な材料を充てんした事を特徴としている
。上記材料は、具体的には1例えばポリ・メチル・メタ
・アクリレート(PMMA)のような一般的なレジスト
が適しておシ、このレジストを100μmの厚さになる
ように充てんすると、例えば波長7.1Xの8iKa線
の透過率は0.1%以下となシ、ステップ・アンド・リ
ピート露光に於ける重ね合せ露光の影響は無視できるま
でに改善される。上記材料は、PMMAに限らず、ポリ
スチレンやポリイミド又はシリカ液等を用いても同様な
効果が得られる。
On the other hand, when using an X-ray exposure mask for the manufacture of semiconductor devices, accurate alignment is required with respect to the pattern on the semiconductor substrate, so at least two alignments are required for one X-ray exposure mask. In the X-ray exposure mask provided by the present invention that requires a mark, the alignment mark is not restricted by either the chip size or the outer diameter of the objective microscope for mask alignment. It is formed in an opening region of the heavy metal pattern section provided independently of the opening of the 8i substrate. Furthermore, in the step-and-repeat exposure using a single X-ray exposure mask, the opening area of the alignment mark portion may be exposed so that the adjacent exposure area is overlapped with the X-rays transmitted through the opening area. Soft xlfst-
It is characterized by being filled with a transparent material that sufficiently absorbs and transmits visible light used for mask alignment. For example, a general resist such as polymethyl methacrylate (PMMA) is suitable for the above material.If this resist is filled to a thickness of 100 μm, for example, a wavelength of 7 The transmittance of the 8iKa line of .1X is 0.1% or less, and the influence of overlapping exposure in step-and-repeat exposure is improved to the point where it can be ignored. The above material is not limited to PMMA, and similar effects can be obtained by using polystyrene, polyimide, silica liquid, or the like.

(実施例) 次に本発明の実施例を図を参照しながら詳細に説明する
0第1図は本発明のX線露光マスクの模式平面図で、1
1は所望の転写パターンを構成するようにAu、 Pt
、 W、 Taのいずれかを主体に成形お互いの間隔は
4チツプの間でどれも100μmとする0第4図で説明
した従来例とくらべてパターン11の外周部分の幅も例
えば100μmと小さくできる〇 従りてチップをウェハ上に100μm間隔で並べられる
から収率は第4図について示した場合に比べて110.
64中1656倍に向上する。12は上記X線吸収体パ
ターンと同一材料を用いて形成したアライメントマーク
で、Si2N3 、 BN 、 stc 、 Si0g
ダイヤそンド状カーボン膜又はプラスチック膜のいずれ
かを用いたX線透過性薄膜13によってそれぞれ支持さ
れているロアライメントマークを転写パターン11と同
じ開口部に配置していないのでアライメントマーク間の
間隔を対物レンズの外径に合せて十分広くとれる。X線
透過性薄膜13は、その周囲t8i7レーム14によっ
て補強支持されている。
(Example) Next, an example of the present invention will be explained in detail with reference to the drawings.0 Figure 1 is a schematic plan view of an X-ray exposure mask of the present invention.
1 is Au, Pt so as to form a desired transfer pattern.
, W, or Ta are mainly formed. The distance between each of the four chips is 100 μm. Compared to the conventional example explained in FIG. 〇Therefore, since the chips can be arranged on the wafer at intervals of 100 μm, the yield is 110.0 μm compared to the case shown in FIG.
This is an improvement of 1656 times out of 64. 12 is an alignment mark formed using the same material as the above X-ray absorber pattern, Si2N3, BN, stc, Si0g
Since the lower alignment marks, each supported by an X-ray transparent thin film 13 made of either a diamond-shaped carbon film or a plastic film, are not placed in the same opening as the transfer pattern 11, the spacing between the alignment marks can be reduced. It can be made wide enough to match the outer diameter of the objective lens. The X-ray transparent thin film 13 is reinforced and supported by a t8i7 frame 14 around it.

第2図は、第1図に於いてA −AIで示した平面で切
断した断面の模式構造図で、21は転写パターンを構成
するX線吸収体パターン、22はアライメントマーク、
23はX線透過性薄膜、24はSiフレーム、25は該
S1フレームの所定の領域を、例えばKOH水溶液等の
異方性蝕刻液を用いて選択的に蝕刻除去する為に用いた
8 i @ N4膜から成るマスクパターン、26は、
アライメントマーク22を形成した領域の8iフレーム
24に設けた開口領域に入射するXSi減衰させる為に
充てんした有機材料をそれぞれ示す。上記実施例のX線
露光マスクは次のようにして得ることができる。
FIG. 2 is a schematic structural diagram of a cross section taken along the plane indicated by A-AI in FIG.
Reference numeral 23 denotes an X-ray transparent thin film, 24 denotes a Si frame, and 25 denotes a film used to selectively etch a predetermined region of the S1 frame using an anisotropic etchant such as a KOH aqueous solution. A mask pattern 26 made of N4 film is
The organic material filled in order to attenuate the XSi incident on the opening area provided in the 8i frame 24 in the area where the alignment mark 22 is formed is shown. The X-ray exposure mask of the above embodiment can be obtained as follows.

先ず厚さ数百μmないし1mmの8i単結晶基板の一表
面上に通常のCVD法によシ厚さ数百芙ないし数千芙の
8i1N、膜を形成し、通常のフォトリング2フイ技術
を用いて所望のパターン25t−形成する。次に該8i
単結晶基板の他方の表面上にCVD法又はプラズマCV
D法若しくはスパッタリング法等の方法によシs 8t
、N、 、 BN、 8tC,Si、、等の薄膜のいず
れか一つ又はこれ等の複合膜から成るX線透過層23t
−形成し、このX線透過層23上にAu、 pt、 W
又はTaのいずれかを用いて所望の転写パターン21及
びアライメントマーク22を形成する0次に該転写パタ
ーン21及びアライメントマーク22を任意の治具を用
いて保護しつつ。
First, on one surface of an 8i single crystal substrate with a thickness of several hundred μm to 1 mm, an 8i1N film with a thickness of several hundred to several thousand micrometers is formed by the usual CVD method, and then a film of 8i1N with a thickness of several hundred to several thousand is formed using the usual Photoring 2 film technique. A desired pattern 25t is formed using the following method. Next, the 8i
CVD method or plasma CV on the other surface of the single crystal substrate
By method such as D method or sputtering method s 8t
, N, , BN, 8tC, Si, etc., or a composite film thereof.
- Au, pt, W are formed on this X-ray transparent layer 23.
or Ta to form a desired transfer pattern 21 and alignment mark 22. Next, the transfer pattern 21 and alignment mark 22 are protected using an arbitrary jig.

例えば30 % KOH水溶液等の蝕刻液を用いて前記
8i単結晶基板の所定の領域を核8i1NJパターン2
5を保護膜にして蝕刻除去し、前記4単結晶基板の一部
から成る窓枠状の補強支持將z4ft形成する。最後に
、該アライメントマーク22の領域光てんし、加熱・硬
化すれば、第1図及び第2図に示すような本発明が提供
するX線露光マスクが得られる〇 (発明の効果) 本発明のX線露光マスクによれば、X線露光装置のマス
クアライメント用対物顕微鏡の外径の制約を受けること
なく、転写チップパターンの配置領域に応じてSi7レ
ームの寸法を決定することができ、その8iフレームt
−X線露光に於けるブランキングマスクとして用いるこ
とができる為、ステツブ・アンド・リピートX線露光に
於ける隣接シ冒ット相互の重畳露光の影響を防ぐことが
でき、しかもアライメントマーク及びその周辺領域には
可視光のみを通し、X@を殆んど吸収する有機膜を設け
た為、アライメントマーク周辺の開口領域部をX線が透
過して隣接シlット領域に影響を及ぼす恐れもない。こ
の結果ウェハ1枚当)から取れる半導体デバイスの収率
は、従来に比べ大幅に改善されると共に、X線露光マス
クの設計も容易になった。
For example, using an etching liquid such as a 30% KOH aqueous solution, a predetermined area of the 8i single crystal substrate is etched into a core 8i1NJ pattern 2.
5 is used as a protective film and removed by etching to form a window frame-shaped reinforcing support z4ft consisting of a part of the single crystal substrate 4. Finally, by heating and curing the area of the alignment mark 22, an X-ray exposure mask provided by the present invention as shown in FIGS. 1 and 2 can be obtained. According to the X-ray exposure mask, the dimensions of the Si7 frame can be determined according to the placement area of the transferred chip pattern without being constrained by the outer diameter of the objective microscope for mask alignment of the X-ray exposure device, and the 8i frame t
- Since it can be used as a blanking mask in X-ray exposure, it is possible to prevent the influence of overlapping exposure of adjacent shots in step-and-repeat X-ray exposure, and it is also possible to prevent alignment marks and their Since an organic film is provided in the peripheral area that allows only visible light to pass through and absorbs most of the Nor. As a result, the yield of semiconductor devices obtained from one wafer (per wafer) has been significantly improved compared to the conventional method, and the design of X-ray exposure masks has also become easier.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明のX線露光マスクの模式平面図、第2図
は第1図のA−にで示した平面に於ける模式断面図、第
3図は従来のxsm元マスクの模式断面図、第4図は従
来の一般的X線露光マスクの模式平面図、第5図は第4
図に示した従来のX線露光マスクによシステップ・アン
ド・リピート露光した場合の隣接シ璽ットの影響を示す
為の概略図をそれぞれ示す。図中各番号はそれぞれ次の
ものを示す。 11.21,31.42・・・X線吸収体パターン。 12.22,43・・・アライメントマーク、13゜膜
、51・・・チップパターン、52・・・余白領域、5
3・・・重畳g光領域。 亭 3  図 享  4  胆 手続補正書(自発) 1.事件の表示  昭和60年  特許願 第 254
83号2、発明の名称 X線露光マスク 3、補正をする者 事件との関係        出願人 東京都港区芝五丁目33番1号 (423)  日本電気株式会社 代表者 関本忠弘 4、代理人 明細書の発明の詳細な説明の欄 6、補正の内容
Fig. 1 is a schematic plan view of the X-ray exposure mask of the present invention, Fig. 2 is a schematic cross-sectional view on the plane indicated by A- in Fig. 1, and Fig. 3 is a schematic cross-section of a conventional xsm original mask. Figure 4 is a schematic plan view of a conventional general X-ray exposure mask, and Figure 5 is a schematic plan view of a conventional general X-ray exposure mask.
Schematic diagrams are shown to show the influence of adjacent marks when step-and-repeat exposure is performed using the conventional X-ray exposure mask shown in the figure. Each number in the figure indicates the following. 11.21, 31.42...X-ray absorber pattern. 12.22, 43... Alignment mark, 13° film, 51... Chip pattern, 52... Margin area, 5
3...Superimposed g-light region. Tei 3 Illustration 4 Written amendment of bile procedure (voluntary) 1. Display of case 1985 Patent application No. 254
No. 83 No. 2, Name of the invention Column 6 of Detailed Description of the Invention of the Book, Contents of Amendment

Claims (1)

【特許請求の範囲】[Claims]  X線透過性薄膜と、この薄膜の一表面上に密着し、且
つ複数の開口領域を有するSi基板と、前記薄膜の他方
の表面上に該Si基板の開口領域内に含まれるように成
形配置されたマスクパターンと、このマスクパターンが
配置された開口領域と分離して設けた他の開口領域内に
形成したアライメントマークとを少なくとも有し、且つ
このアライメントマークが形成されたSi基板の開口領
域にはアライメント用の光に対して透明で露光用のX線
を吸収する材料が充てんされていることを特徴とするX
線露光マスク。
an X-ray transparent thin film, a Si substrate in close contact with one surface of the thin film and having a plurality of opening areas, and a molded arrangement on the other surface of the thin film so as to be included in the opening area of the Si substrate. and an alignment mark formed in another opening area provided separately from the opening area where this mask pattern is arranged, and an opening area of a Si substrate in which this alignment mark is formed. The X is characterized by being filled with a material that is transparent to alignment light and absorbs exposure X-rays.
Line exposure mask.
JP60025483A 1985-02-13 1985-02-13 X-ray exposure mask Pending JPS61185929A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP60025483A JPS61185929A (en) 1985-02-13 1985-02-13 X-ray exposure mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP60025483A JPS61185929A (en) 1985-02-13 1985-02-13 X-ray exposure mask

Publications (1)

Publication Number Publication Date
JPS61185929A true JPS61185929A (en) 1986-08-19

Family

ID=12167297

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60025483A Pending JPS61185929A (en) 1985-02-13 1985-02-13 X-ray exposure mask

Country Status (1)

Country Link
JP (1) JPS61185929A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63254727A (en) * 1987-04-10 1988-10-21 Nissin Electric Co Ltd X-ray exposure mask and manufacture thereof
WO2005043242A1 (en) * 2003-10-30 2005-05-12 Hoya Corporation Photomask and video device manufacturing method
WO2007043323A1 (en) * 2005-10-07 2007-04-19 V Technology Co., Ltd. Photomask and exposure method using same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63254727A (en) * 1987-04-10 1988-10-21 Nissin Electric Co Ltd X-ray exposure mask and manufacture thereof
WO2005043242A1 (en) * 2003-10-30 2005-05-12 Hoya Corporation Photomask and video device manufacturing method
WO2007043323A1 (en) * 2005-10-07 2007-04-19 V Technology Co., Ltd. Photomask and exposure method using same

Similar Documents

Publication Publication Date Title
JP5198071B2 (en) Exposure method for thermal management in imprint lithography process
JPH1070074A (en) Pattern writing method in the course of manufacturing x-ray mask
JP2002252157A (en) Member for preparing mask and method for preparing the same, mask and method for preparing the same, exposure method and method for preparing semiconductor device
JPH0590137A (en) Exposure mask
JPS61185929A (en) X-ray exposure mask
JPS59160144A (en) Photomask
TW577108B (en) Optical device and the manufacturing method thereof, optical system, and manufacturing method of exposure device and micro-device
JPH09218032A (en) Method for calculating positional distortion of pattern
JPS5814837A (en) Production of x-ray exposure mask
JP3278312B2 (en) Mask, mask support method, mask support mechanism, and exposure apparatus and device manufacturing method using the same
JP3529967B2 (en) Manufacturing method of photomask blanks with alignment marks
JP2674180B2 (en) Structure of X-ray exposure mask and manufacturing method
JPS641926B2 (en)
JP4591919B2 (en) Manufacturing method of counter substrate for liquid crystal panel
JPH07120623B2 (en) X-ray mask and method of manufacturing the same
JPH05134387A (en) Structure of phase shift mask, exposing system, exposing device and semiconductor device
JPS6365621A (en) Mask for x-ray exposure
JPS6123192A (en) Manufacture of matrix type display unit
JP4229101B2 (en) Double-sided alignment method, substrate holder, substrate holder holder, and double-sided lens array forming method.
JPS6068340A (en) Structural body of mask for lithography
JPS61124943A (en) Mask
JPS61111528A (en) Exposure method
JPS61194724A (en) Mask pattern adjustment and mask to be used therefor
TWI267906B (en) Alignment accuracy measurement vernier
KR100658886B1 (en) Optical mask having portion to modify path of beam and photo etching process using the same