JPS5964769A - Plasma cvd apparatus - Google Patents

Plasma cvd apparatus

Info

Publication number
JPS5964769A
JPS5964769A JP57172826A JP17282682A JPS5964769A JP S5964769 A JPS5964769 A JP S5964769A JP 57172826 A JP57172826 A JP 57172826A JP 17282682 A JP17282682 A JP 17282682A JP S5964769 A JPS5964769 A JP S5964769A
Authority
JP
Japan
Prior art keywords
electrode
electrodes
plasma discharge
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP57172826A
Other languages
Japanese (ja)
Other versions
JPS643950B2 (en
Inventor
Tomitaro Koyama
小山 富太郎
Saburo Shimoi
下井 三郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shimadzu Corp
Shimazu Seisakusho KK
Original Assignee
Shimadzu Corp
Shimazu Seisakusho KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shimadzu Corp, Shimazu Seisakusho KK filed Critical Shimadzu Corp
Priority to JP57172826A priority Critical patent/JPS5964769A/en
Publication of JPS5964769A publication Critical patent/JPS5964769A/en
Publication of JPS643950B2 publication Critical patent/JPS643950B2/ja
Granted legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Light Receiving Elements (AREA)
  • Photoreceptors In Electrophotography (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PURPOSE:To easily form an amorphous thin film with good quality even on the surface of a relatively large substrate, in a plasma CVD apparatus, by a method wherein a plasma discharge field is divided into two sections by a common gas permeable electrode and stock gas is uniformly supplied from a plurality of small orifices provided to opposed electrodes. CONSTITUTION:A cylindrical net like common electrode 3 having gas permeability is arranged in a vacuum container 2 and a cylindrical second selectrode 5 and a first electrode 4 having a plurality of small orifices are arranged to the inside and the outside of said electrode 3. High frequency voltage is applied to the common electrode 3 from a power source 6 while DC voltages are applied to both electrodes 4, 5 from power sources 7, 8 and a first and a second plasma discharge fields 9, 10 are provided between the electrodes 3 and 4 and the electrodes 3 and 5. Stock gas such as monosilane is supplied from an inlet 12 to be injected from the small orifices of the jacket shaped first electrode 4 and passed through the plasma discharge fields 9, 10 two times before and behind the common electrode 3 to form a uniform amorphous silicon layer with good quality on the surface of the second electrode 5 as a substrate.

Description

【発明の詳細な説明】 本発明は例えはアルミニウム円筒上の表面にアモルファ
ス(非晶質)シリコン膜(以下「λ−8i膜」という)
などを生成させて、レーザラインプリンタ用感光祠料等
を製造する場合に用いられるプラズマCVI)装置に関
するものである。
[Detailed Description of the Invention] The present invention applies, for example, to an amorphous silicon film (hereinafter referred to as "λ-8i film") on the surface of an aluminum cylinder.
This invention relates to a plasma CVI) device used to produce photosensitive abrasive materials for laser line printers.

従来この種のプラズマCVD装置としてi、A圧空間e
旧こ1種類または数種類の原料ガスを連続的に導入し、
この原料ガスを前記減圧空間内に形成したプラズマ放電
場を通過させてプラズマ分解し、その分解したガスを加
熱した基板の成膜面に導いて該成膜面に非晶質膜を生成
させるようにしたものかある。
Conventionally, this type of plasma CVD equipment has i, A pressure space e
Continuously introducing one or several types of raw material gas,
This raw material gas is passed through a plasma discharge field formed in the reduced pressure space to undergo plasma decomposition, and the decomposed gas is guided to the film-forming surface of the heated substrate to form an amorphous film on the film-forming surface. There's something I did.

しかしながら、従来の装置では原料ガスを単一のプラズ
マ放電場を通過させた後、偵ちに基板の成膜面へ導くよ
うにしているので、比較的大形の基板に良質の非晶質膜
を短時間に生成さぜることは困難であった。
However, in conventional equipment, the raw material gas passes through a single plasma discharge field and then is guided sideways to the film-forming surface of the substrate. It was difficult to generate it in a short time.

第1図は従来の装置の縦断面図である7、すなわち内部
に減圧空間3を形成する減JJ−容器すの非金属祠料か
らなる側面の外側に、たとえ目コイル状の電極Cを配設
させて、前記減圧容器1)の内側にプラズマ放電場dを
形成してあり、前記減圧容器す内に成膜面を前記プラズ
マ放電場に対向させた円筒状基板eと、この基板eの背
面(内側面)に添設さUた熱板fか配設されている。そ
して前記減圧容器1)の上端部から減圧容器bl’Ul
こ入口ノズルgを通して尋人した原料ガスhを前記プラ
ズマ放電場dを通して基板eの成膜面へ導入するように
なっている。しかしこのような構成の装置ではノズルg
の形状や寸法についてまたその配置等に関して十分(0
[究をした後でないと、一般的には減圧空間内に導入さ
れた原料ガスか基板C上に逐次成膜するので、人口から
の距離の遠近によって成膜膜厚に差を生しる結果均一な
厚さでもって成膜することが難しいという欠点がある。
FIG. 1 is a vertical cross-sectional view of a conventional device 7, in which a coil-shaped electrode C is arranged on the outside of the side surface made of a non-metallic abrasive material of a decompression JJ-container that forms a decompression space 3 inside. A plasma discharge field d is formed inside the vacuum vessel 1), and a cylindrical substrate e whose film-forming surface faces the plasma discharge field is placed inside the vacuum vessel 1). A heating plate f is attached to the back (inner surface). Then, from the upper end of the reduced pressure container 1) to the reduced pressure container bl'Ul.
Through the inlet nozzle g, the raw material gas h is introduced into the film-forming surface of the substrate e through the plasma discharge field d. However, in a device with such a configuration, the nozzle g
Regarding the shape, size, and arrangement of the
[Unless the investigation is carried out, the film is generally formed sequentially on the substrate C using the raw material gas introduced into the depressurized space, resulting in differences in the film thickness depending on the distance from the population. A drawback is that it is difficult to form a film with a uniform thickness.

この欠点を除去するため大量の原料ガスを導入する方法
も試みられているか、大量にガスを尋人すれば、その原
料ガスは未反応のま\排出されることとなり。
In order to eliminate this drawback, attempts have been made to introduce a large amount of raw material gas, but if a large amount of gas is introduced, the raw material gas will be discharged unreacted.

一般には高価とされる原料ガスを低効率で消費するとい
う別異の欠点も生じる。さらに第1図の従来装置では減
圧容器すの側面は電極Cが当該側面の外側に配設しであ
るため石英ガラス等の非金属、少透過性の耐気密、高純
度材料でなければならず、そのため生産用装置としては
強度確保、生産コストの点でも問題がある。
Another drawback is that raw material gas, which is generally expensive, is consumed with low efficiency. Furthermore, in the conventional device shown in Fig. 1, the side surface of the vacuum vessel must be made of a non-metallic, low-permeability, airtight, high-purity material such as quartz glass, since the electrode C is disposed on the outside of the side surface. Therefore, as a production device, there are problems in terms of ensuring strength and production cost.

第2図は特に上記役名の欠点を除去した従来装置の要部
縦断面図である。
FIG. 2 is a vertical cross-sectional view of the main part of a conventional device in which the above-mentioned drawbacks have been specifically eliminated.

ずなイ)ち第1図との差異は電極C′が減圧容器1)′
F/旧こ配設されていることで、そのため減圧容器1)
′の構成材料は非金属である必要はなく堅固な構造とす
ることが可能である。しかしてこの場合には電極(′と
基板C′の間の電位には種々の組合ゼが考えられ、実施
されている。第3図は基板e′に対する電4シC’の配
■、形状、電圧印加状態をしめす略本ヌ1である。すな
わち第3図(a)、(b)、および(C)に示す如き組
合せて1. (a)を除いて(」))、(C)は共に電
極C′と基板C′の間に高周波電場を形成し、この間で
一様な放電空間、ひいては均一な膜厚を得ようとするも
のであって、これに伴いガスの吹出し口片′もたとえば
分割または一体化された電極C′の各所に設けた小孔に
分散することにより均一な膜厚を得ようとするものであ
るが、このような構成であっても各部に均一な放電状態
をJul待するにはC′、01間の距離をあまり大きく
することはできず、そのため放電場d′の厚ざの増大化
には限界がある。
The difference from Figure 1 is that electrode C' is in a vacuum vessel 1)'
F/Originally installed, therefore a vacuum vessel 1)
The constituent material of ' does not need to be non-metallic and can have a strong structure. However, in this case, various combinations of the potential between the electrode (' and the substrate C') have been considered and implemented. , which shows the voltage application state.That is, the combinations shown in FIGS. 3(a), (b), and (C) are 1. Except for (a), In both cases, a high-frequency electric field is formed between the electrode C' and the substrate C', and a uniform discharge space and a uniform film thickness are to be obtained between the electrodes C' and the substrate C'. For example, an attempt is made to obtain a uniform film thickness by dispersing the discharge into small holes provided in various parts of the divided or integrated electrode C', but even with such a configuration, it is difficult to obtain a uniform discharge in each part. The distance between C' and 01 cannot be made too large in order to wait until the state is Jul, and therefore there is a limit to the increase in the thickness of the discharge field d'.

したがって原料ガスの前記プラズマ放電電場d′に対す
る11LI過距MIIを十分に確保することはむつかし
く、プラズマ分解の不十分なガスか基板に供給されがぢ
になる。この結果、先に述べたように高価な原料ガスか
未反応のま5排出されたり、膜の生成に長時間を要する
という欠点がある。
Therefore, it is difficult to ensure a sufficient 11LI distance MII of the raw material gas with respect to the plasma discharge electric field d', and gas that is insufficiently plasma decomposed is likely to be supplied to the substrate. As a result, as mentioned above, there are disadvantages in that expensive raw material gas is discharged unreacted and that it takes a long time to form a film.

前述の如〈従来装置ではいずれの形式の場合にも欠点か
あり、比較的大形の基板に良質の非晶質薄膜を短時間に
生成させたいとする要求を同時にだ4足することができ
ない。
As mentioned above, conventional apparatuses have drawbacks in either type, and cannot simultaneously meet the requirements of producing a high-quality amorphous thin film on a relatively large substrate in a short time. .

本発明は」二記事情に鑑みてなされたもので、減圧空間
内にグロー放電による複数のプラズマ放電場を形成する
よう電極を設け、原料ガスをこれらのプラズマ放電場に
供給することによって比較的大面積の基板にも良質の非
晶質薄膜を短時間に、しかも原料ガスの高利用率により
経済的に生成させることができるようにしたプラズマC
VD装置を提供することを目的とする。
The present invention has been made in view of the above two circumstances, and by providing electrodes in a reduced pressure space to form a plurality of plasma discharge fields by glow discharge, and supplying raw material gas to these plasma discharge fields, the present invention is relatively effective. Plasma C enables the production of high-quality amorphous thin films on large-area substrates in a short time and economically due to the high utilization rate of raw material gas.
The purpose is to provide a VD device.

以下本発明の実施例を図面に従って説明する。Embodiments of the present invention will be described below with reference to the drawings.

第4図は本発明に係るプラズマCV I)装置17tの
縦断面図であり第5図は同しく構11J[面図である。
FIG. 4 is a longitudinal cross-sectional view of the plasma CV I) apparatus 17t according to the present invention, and FIG. 5 is a side view of the structure 11J.

この装置は内部に減圧空間1を形成する減圧容器2を設
け、この減圧容器2内の中間位置に網状または相1状の
通気性を有する円筒状共通?トf、極3をその軸を垂的
にして配設する。、そして前記減圧容器2内の前記共通
用、極3の外側に第1の円筒状電極4を前記共通電極3
と同軸に配設すると共に前記共通電極の内側に前記共通
電極3と同軸に第2の円筒状電極5を配設する。そして
i′liJ記共通電極3を高周波電源6に接続すると共
に前記第1の電極4と第2の電極5をそれぞれ所定の直
流電源7.8に接続し、第1の電極4と共通電極3との
間に?(Slのプラズマ放電場9を形成すると共に第2
の↑a電極と共通電極3との間に第2のプラズマ放電場
10を形成する。この場合に於て、第2の電極5が基板
でもあるときには基板が電、極を兼ねることになるが、
基板が非導電性物質の場合には電1・−5の−Fに当該
基板を覆いかぶぜるようにする。Jlは〕、(仮の加熱
を[」的とした加熱装置であって前記第2の電極5の内
側に配置面されている。
This device is provided with a vacuum vessel 2 that forms a vacuum space 1 inside, and has a cylindrical common type with a mesh or phase 1 air permeability at an intermediate position within the vacuum vessel 2. The pole 3 is arranged with its axis vertical. , and a first cylindrical electrode 4 is connected to the common electrode 3 on the outside of the common electrode 3 in the reduced pressure vessel 2.
A second cylindrical electrode 5 is disposed coaxially with the common electrode 3 and inside the common electrode. Then, the common electrode 3 is connected to a high frequency power source 6, and the first electrode 4 and the second electrode 5 are respectively connected to a predetermined DC power source 7.8. Between? (A plasma discharge field 9 of Sl is formed and a second
A second plasma discharge field 10 is formed between the ↑a electrode and the common electrode 3. In this case, if the second electrode 5 is also the substrate, the substrate will serve as the electrode, but
If the substrate is a non-conductive material, cover the substrate with -F of 1.-5. Jl is a heating device intended for temporary heating and is disposed inside the second electrode 5.

なお第1の電極4には略全面にわたって多数の小孔4a
か穿設されてあって、原料ガス16カ猪ス管12を経て
ガス管12に連結された電極4の外側のジャケット13
に到達し1次いで電極4の内側面に穿設された前記多数
の小孔4aを通してプラズマ放電場9及び10に逸散し
得るようになっている。なお前記減圧容器2の上端部に
は原料ガス16の導入用の導管]2が接続されており、
下端または他の適宜な位置に真空ポンプ】5を含む排気
経路14が接続されている。
Note that the first electrode 4 has a large number of small holes 4a over almost the entire surface.
A jacket 13 on the outside of the electrode 4 is connected to the gas pipe 12 through the source gas 16 gas pipe 12.
, and can then be dissipated into the plasma discharge fields 9 and 10 through the large number of small holes 4a drilled in the inner surface of the electrode 4. Note that a conduit 2 for introducing raw material gas 16 is connected to the upper end of the reduced pressure container 2,
An exhaust path 14 including a vacuum pump 5 is connected to the lower end or other suitable location.

次に本発明に係るプラズマCVD装置の作動について説
明する。
Next, the operation of the plasma CVD apparatus according to the present invention will be explained.

まっ、真空ポンプ15を作動させて減圧容器2内を1(
j3 torrあるいはそれ以下の圧力にしたあと、減
圧容器2への原料ガスの例えばニードルバルブの如き微
調整バルブの調節によりILOrr内外の圧力および必
要なガス流速を維持するとともに、加熱装置11を作動
させて、第2の電極5を所定温度にまで加熱する。また
電極3.4.5に夫々所定の電圧を印加して第1の釦、
極4と共通電極3との間、および第2の電極5と共通電
極3との間にプラズマ放電場9 a、;よぴ10を形成
させておく。
Now, operate the vacuum pump 15 to bring the inside of the reduced pressure container 2 to 1 (
After the pressure is reduced to 3 torr or lower, the pressure inside and outside the ILOrr and the required gas flow rate are maintained by adjusting the fine adjustment valve such as a needle valve for supplying the raw material gas to the decompression vessel 2, and the heating device 11 is activated. Then, the second electrode 5 is heated to a predetermined temperature. In addition, by applying predetermined voltages to the electrodes 3, 4, and 5, the first button is pressed.
A plasma discharge field 9a, 10 is formed between the pole 4 and the common electrode 3 and between the second electrode 5 and the common electrode 3.

上記状に!;にしたあと、導管12から前記減圧容器2
内へモノシランその他の適当な原料ガス16を供給する
と、この原料ガス16が第1の電極4の小孔4aを通し
て第1の放電場9に流、入しプラズマ分力・〆か起り、
しかる後、原料ガスJ6は共通電極3を通過して第2の
プラズマ放電場10に尋人され、さらにプラズマ分解が
行われる。
As above! ; After that, the vacuum vessel 2 is removed from the conduit 12.
When monosilane or other suitable raw material gas 16 is supplied into the inside, this raw material gas 16 flows into the first discharge field 9 through the small hole 4a of the first electrode 4, and a plasma component force/clamp occurs.
Thereafter, the raw material gas J6 passes through the common electrode 3 and enters the second plasma discharge field 10, where it is further subjected to plasma decomposition.

こうして分解されたガスは前記加熱された第2の電極5
上に逐次供給され、5の表面上にa−8i膜などが堆積
される。しかして不要となった原料カスは排気経路14
を通−て威圧容器外へ排出される。
The gas thus decomposed is transferred to the heated second electrode 5.
A-8i film etc. are deposited on the surface of 5. The raw material waste that is no longer needed is then removed from the exhaust path 14.
It is ejected from the intimidation container through the

本発明のプラズマCVD装置はプラズマ放fli場を通
気性の共通電極によって第1及び第2の放■−場に区分
けするとともに第1の電極の略全面にわたって原料ガス
放散用の小孔を設りであるので、基板寸法の大小に拘ら
ず、原料ガスは極めて均一に、しかもプラズマ放?1.
.場内において必要な滞留時間を経た上で、泊切にプラ
ズマ分解した状態で基板」二に堆h′(されることにな
る。
The plasma CVD apparatus of the present invention divides the plasma radiation field into a first and second radiation field by means of an air-permeable common electrode, and provides small holes for material gas diffusion over substantially the entire surface of the first electrode. Therefore, regardless of the size of the substrate, the source gas is extremely uniform and plasma is emitted. 1.
.. After the necessary residence time in the facility, the plasma-decomposed state is deposited onto a substrate.

また本装置の場合、電極間距離(すなわち共通電極3と
第1の貢iI倶4との間、共通電極3と第2の電極5と
の間のいづれか、または両方)をη」;源導入部の設U
位程′5“部への絶縁距Fill: (片方接地電源の
場合)またはその2倍(両出力浮上電鯨の場合)にそれ
ぞれ近い寸法より小さく設定すれば、電源導入部におけ
る無効(または有害)放電を防止することができる。
In addition, in the case of this device, the distance between the electrodes (i.e., between the common electrode 3 and the first electrode 4, between the common electrode 3 and the second electrode 5, or both) is η''; Department establishment
Insulation distance Fill: (in the case of one-side grounded power supply) or twice that distance (in the case of a dual-output levitated electric whale) ) Discharge can be prevented.

なお前記実施例では各プラズマ放電場は連続枯成品であ
る円筒状の電極より形成されたものとして説明したが、
これに限定されず、他の実施例として例えば複数の分割
された単位電極の集合体により形成されたものであって
もよい。
In the above embodiments, each plasma discharge field was explained as being formed from a cylindrical electrode that was a continuous product.
The present invention is not limited to this, and as another embodiment, for example, it may be formed by an assembly of a plurality of divided unit electrodes.

また共通電極と第2の)1i、極との間(乙さらに他の
電極を設置して、プラズマ放電場内のイオン等に対する
制御を行わしめるようなことも可能である1、成膜面を
内方側に設定するようにしてもよい。
It is also possible to install another electrode between the common electrode and the second electrode (1) and the second electrode (2) to control ions, etc. in the plasma discharge field. It may also be set on the opposite side.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図、第2図は従来装肪゛の相゛に1[面図、第3図
は第2図におりる基板に対する電極Cの配U″)、形状
、重用印加状態の略示図である。 第4図は本発明に係るプラズマCVD装f(,7の縦断
面図、第5図は同じく横断面図である。 2・・・減圧容器、3・・・共通型’!it+i、4・
・・第1の円筒状↑1う:極、5・・・第2の円筒状電
極、]2・・4管、】3・・・ジャケット。 特許出願人 株式会社島津製作所 代理人弁理士大西孝冶
Figures 1 and 2 are top views of the conventional mounting, and Figure 3 is a schematic diagram of the arrangement of the electrode C relative to the substrate shown in Figure 2, its shape, and the state of heavy application. FIG. 4 is a longitudinal cross-sectional view of the plasma CVD equipment f (, 7) according to the present invention, and FIG. 5 is a cross-sectional view of the same. , 4・
...First cylindrical ↑1: pole, 5... Second cylindrical electrode, ]2...4 tube, ]3... Jacket. Patent applicant Takaharu Onishi, patent attorney representing Shimadzu Corporation

Claims (1)

【特許請求の範囲】 向する位置に配設されて前記共通電極との間に第されて
前記共通Tel 4’Mとの間に第2のプラズマ放電場
を形成する第2の円筒状電極とを具備したことを特徴ど
するプラズマCVD装置。 (2)第2の円筒状ftj極か成膜のための基板とされ
ていることを特徴とする特許請求の範囲第1項のプラズ
マCVD装置。
[Scope of Claims] A second cylindrical electrode disposed opposite to the common electrode and forming a second plasma discharge field between the common electrode and the common Tel 4'M; A plasma CVD apparatus characterized by comprising: (2) The plasma CVD apparatus according to claim 1, wherein the second cylindrical ftj pole is used as a substrate for film formation.
JP57172826A 1982-09-30 1982-09-30 Plasma cvd apparatus Granted JPS5964769A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP57172826A JPS5964769A (en) 1982-09-30 1982-09-30 Plasma cvd apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP57172826A JPS5964769A (en) 1982-09-30 1982-09-30 Plasma cvd apparatus

Publications (2)

Publication Number Publication Date
JPS5964769A true JPS5964769A (en) 1984-04-12
JPS643950B2 JPS643950B2 (en) 1989-01-24

Family

ID=15949075

Family Applications (1)

Application Number Title Priority Date Filing Date
JP57172826A Granted JPS5964769A (en) 1982-09-30 1982-09-30 Plasma cvd apparatus

Country Status (1)

Country Link
JP (1) JPS5964769A (en)

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5745339A (en) * 1980-09-01 1982-03-15 Canon Inc Production of deposited film
JPS58193361A (en) * 1982-04-30 1983-11-11 Shimadzu Corp Plasma chemical vapor deposition apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5745339A (en) * 1980-09-01 1982-03-15 Canon Inc Production of deposited film
JPS58193361A (en) * 1982-04-30 1983-11-11 Shimadzu Corp Plasma chemical vapor deposition apparatus

Also Published As

Publication number Publication date
JPS643950B2 (en) 1989-01-24

Similar Documents

Publication Publication Date Title
CN101443474B (en) Method and apparatus for improving uniformity of large-area substrates
US6835919B2 (en) Inductively coupled plasma system
DE69727624T2 (en) Inductively coupled HDP-CVD reactor
DE69829390T2 (en) GAS INJECTION SYSTEM FOR PLASMA TREATMENT DEVICE
US4948750A (en) Method and apparatus for producing semiconductor layers composed of amorphous silicon-germanium alloys through glow discharge technique, particularly for solar cells
US5439715A (en) Process and apparatus for microwave plasma chemical vapor deposition
US5556474A (en) Plasma processing apparatus
US5443645A (en) Microwave plasma CVD apparatus comprising coaxially aligned multiple gas pipe gas feed structure
US20020046989A1 (en) RF matching network with distributed outputs
US4438188A (en) Method for producing photosensitive film for electrophotography
JPH05275345A (en) Plasma cvd method and its device
JPS6063375A (en) Apparatus for producing deposited film by vapor phase method
JP4195198B2 (en) An apparatus for plasma technical deposition of polycrystalline diamond.
US4913928A (en) Microwave plasma chemical vapor deposition apparatus with magnet on waveguide
JP3079818B2 (en) Plasma processing equipment
JPS5964769A (en) Plasma cvd apparatus
JPH0644138U (en) Plasma CVD equipment
RU2792526C1 (en) Diamond coating device
JPH08209349A (en) Plasma cvd device
JPS62185879A (en) Formation of amorphous carbon film
EP0418438A1 (en) Method and apparatus for the plasma etching, substrate cleaning or deposition of materials by D.C. glow discharge
KR101173568B1 (en) Plasma generation apparatus for making radical effectively
JPH03264674A (en) Cvd device
JPH057462B2 (en)
JPS6116349B2 (en)