JPH1174354A - Semiconductor integrated circuit device and manufacture thereof - Google Patents

Semiconductor integrated circuit device and manufacture thereof

Info

Publication number
JPH1174354A
JPH1174354A JP10177267A JP17726798A JPH1174354A JP H1174354 A JPH1174354 A JP H1174354A JP 10177267 A JP10177267 A JP 10177267A JP 17726798 A JP17726798 A JP 17726798A JP H1174354 A JPH1174354 A JP H1174354A
Authority
JP
Japan
Prior art keywords
film
forming
integrated circuit
semiconductor integrated
circuit device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10177267A
Other languages
Japanese (ja)
Inventor
Takeshi Tamaru
剛 田丸
Yoshitaka Nakamura
吉孝 中村
Hidekazu Goshima
秀和 五嶋
Isamu Asano
勇 浅野
Katsuhiko Tanaka
克彦 田中
Naoki Fukuda
直樹 福田
Hideo Aoki
英雄 青木
Hiroshi Sakuma
浩 佐久間
Keizo Kawakita
惠三 川北
Satoru Yamada
悟 山田
Masanari Hirasawa
賢斉 平沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP10177267A priority Critical patent/JPH1174354A/en
Publication of JPH1174354A publication Critical patent/JPH1174354A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

PROBLEM TO BE SOLVED: To prevent an Al wiring, which is formed on the upper part of a through hole filled with a CVD(Chemical Vapor Deposition)-TiN (Titanium Nitride) film, from being corroded. SOLUTION: A TiN film 71 and a W film 72 are deposited on the upper part of a silicon oxide film 64 being included in the interior of a through hole 66 by a CVD method, thereafter the films 72 and 71 on the upper part of the film 64 are etched back to leave the films 72 and 71 only in the interior of the hole 66, and a plug 73 is formed. Then, after a TiN film 74, an Al alloy film 75 and a Ti film 76 are deposited on the upper part of the film 64 including the surface of the plug 73 by a sputtering method, the films 76, 75 and 74 are patterned to form second layer wirings 77 and 78.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路装
置およびその製造技術に関し、特に、ハロゲン元素を含
む金属ソースを用いたCVD法によって、半導体基板上
に形成された上下層の配線間を接続するスルーホールの
内部や容量絶縁膜の上部電極に窒化チタン(TiN)膜
を成膜した場合における上層配線の腐食防止に適用して
有効な技術に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit device and a manufacturing technique thereof, and more particularly, to a method of connecting upper and lower wirings formed on a semiconductor substrate by a CVD method using a metal source containing a halogen element. The present invention relates to a technique effective for preventing corrosion of an upper wiring when a titanium nitride (TiN) film is formed inside a through hole to be formed or on an upper electrode of a capacitor insulating film.

【0002】[0002]

【従来の技術】LSIの微細化、高集積化に伴って、半
導体基板上に形成された上下層の配線間を接続するスル
ーホールのアスペクト比(スルーホールの深さ/径)が
増大すると、配線用の導電膜をスルーホール内に堆積す
ることが困難になるため、従来より、高アスペクト比の
スルーホール内にプラグを埋め込む技術が利用されてい
る。
2. Description of the Related Art As the aspect ratio (through-hole depth / diameter) of through-holes connecting upper and lower wirings formed on a semiconductor substrate increases with the miniaturization and higher integration of LSIs, Since it becomes difficult to deposit a conductive film for wiring in a through-hole, a technique of embedding a plug in a through-hole having a high aspect ratio has been conventionally used.

【0003】一方、例えば特開平8−204144号公
報に記載されている通り、微細化されたコンタクトホー
ル内の金属配線層とその下地膜との反応を防止するため
に、反応バリア層として窒化チタン膜が利用されてい
る。
On the other hand, as described in, for example, JP-A-8-204144, titanium nitride is used as a reaction barrier layer in order to prevent a reaction between a metal wiring layer in a miniaturized contact hole and its underlying film. Membranes are used.

【0004】この窒化チタン膜は、CVD(Chemical Va
por Deposition) 法で堆積した場合には、カバレージが
良好なことから、高アスペクト比のスルーホール内に埋
め込むプラグ材料として広く利用されている。例えば、
特開平9−45770号には、層間絶縁膜に形成したス
ルーホールの内部にCVD法でTiN膜を形成し、この
TiN膜上にタングステン膜あるいはタングステン化合
物を形成する技術を開示している。
The titanium nitride film is formed by CVD (Chemical Vapor).
When deposited by the por deposition method, it is widely used as a plug material to be embedded in a high-aspect-ratio through-hole because of good coverage. For example,
Japanese Patent Application Laid-Open No. 9-45770 discloses a technique in which a TiN film is formed by CVD in a through hole formed in an interlayer insulating film, and a tungsten film or a tungsten compound is formed on the TiN film.

【0005】一方、容量素子の容量絶縁膜である酸化タ
ンタル膜上に上部電極としてTiN膜をCVD法で堆積
する技術が開発されている。例えば、特開平9−219
501号公報には、容量絶縁膜である酸化タンタル膜上
に、上部電極としてCVD法によりTiN膜を形成する
技術が開示されている。
On the other hand, there has been developed a technique of depositing a TiN film as an upper electrode on a tantalum oxide film which is a capacitive insulating film of a capacitive element by a CVD method. For example, JP-A-9-219
No. 501 discloses a technique of forming a TiN film as a top electrode by a CVD method on a tantalum oxide film which is a capacitive insulating film.

【0006】[0006]

【発明が解決しようとする課題】TiN膜をCVD法で
堆積する場合には、一般に四塩化チタン(TiCl4 )
のようなハロゲン元素を含むソースガスが使用される。
これは、このソースガスを使用して成膜したTiN膜は
ステップカバレージが良好で、しかも450℃程度の低
温で成膜できるので素子の特性を劣化させない、といっ
た利点があるためである。
When a TiN film is deposited by the CVD method, titanium tetrachloride (TiCl4) is generally used.
A source gas containing a halogen element such as is used.
This is because the TiN film formed by using this source gas has an advantage that it has good step coverage and can be formed at a low temperature of about 450 ° C. so that the characteristics of the element are not deteriorated.

【0007】しかし、ハロゲン元素を含むソースガスを
使用して成膜したTiN膜中には、ソースガスの分解に
よって生じた塩素などのハロゲン元素が含まれているた
め、CVD−TiN膜を埋め込んだスルーホールの上部
にAl(アルミニウム)配線を形成すると、ハロゲン元
素とAlが反応して配線腐食を引き起こすという問題が
ある。
However, since a TiN film formed using a source gas containing a halogen element contains a halogen element such as chlorine generated by decomposition of the source gas, the CVD-TiN film is embedded. When an Al (aluminum) wiring is formed above the through hole, there is a problem that the halogen element reacts with the Al to cause corrosion of the wiring.

【0008】TiN膜をCVD法で堆積する場合には、
一般に四塩化チタン(TiCl4 )のようなハロゲン元
素を含むソースガスが使用される。これは、このソース
ガスを使用して成膜したTiN膜はステップカバレージ
が良好で、しかも450℃程度の低温で成膜できるので
素子の特性を劣化させない、といった利点があるためで
ある。
When depositing a TiN film by the CVD method,
Generally, a source gas containing a halogen element such as titanium tetrachloride (TiCl 4 ) is used. This is because the TiN film formed by using this source gas has an advantage that it has good step coverage and can be formed at a low temperature of about 450 ° C. so that the characteristics of the element are not deteriorated.

【0009】しかし、ハロゲン元素を含むソースガスを
使用して成膜したTiN膜中には、ソースガスの分解に
よって生じた塩素などのハロゲン元素が含まれているた
め、CVD−TiN膜を埋め込んだスルーホールの上部
にAl(アルミニウム)配線を形成する場合や、容量絶
縁膜の上部電極にCVD−TiN膜を形成しその上にA
l配線を形成する際に、ハロゲン元素とAlが反応して
配線腐食を引き起こすという問題がある。
However, since a TiN film formed using a source gas containing a halogen element contains a halogen element such as chlorine generated by the decomposition of the source gas, the CVD-TiN film is embedded. When an Al (aluminum) wiring is formed above the through-hole, or when a CVD-TiN film is formed on the upper electrode of the capacitive insulating film and A
When forming the l wiring, there is a problem that a halogen element and Al react to cause wiring corrosion.

【0010】また、特開平9−45770号記載のよう
に、スルーホール内に埋め込まれた窒化チタン膜上にタ
ングステン膜またはタングステン化合物膜を形成する方
法では、窒化タングステン膜のようなタングステン化合
物膜に比してタングステン膜の方が、ハロゲン元素をト
ラップする能力は大きいとはいっても、全体的にはタン
グステン膜はハロゲン元素をトラップする効果は小さ
く、タングステン膜上のアルミニウム配線層にハロゲン
元素が侵入し、ハロゲン元素によってアルミニウムが腐
食してしまう。さらに、タングステン膜は下地膜との接
着性が悪く、剥がれやすいという問題がある。
[0010] As described in Japanese Patent Application Laid-Open No. 9-45770, a method of forming a tungsten film or a tungsten compound film on a titanium nitride film embedded in a through hole involves forming a tungsten compound film such as a tungsten nitride film. Although the tungsten film has a higher ability to trap a halogen element than the tungsten film, the effect of trapping the halogen element is generally small, and the halogen element penetrates into the aluminum wiring layer on the tungsten film. Then, aluminum is corroded by the halogen element. Further, there is a problem that the tungsten film has poor adhesion to the underlying film and is easily peeled off.

【0011】本発明の目的は、CVD−TiN膜を埋め
込んだスルーホールの上部に形成されるAl配線の腐食
を防止する技術を提供することにある。
An object of the present invention is to provide a technique for preventing corrosion of an Al wiring formed above a through hole in which a CVD-TiN film is embedded.

【0012】本発明の他の目的は、容量絶縁膜の上部電
極をCVD−TiN膜で構成した場合において、上部電
極の上部に形成されるAl配線の腐食を防止する技術を
提供することにある。
Another object of the present invention is to provide a technique for preventing corrosion of an Al wiring formed on an upper electrode when the upper electrode of the capacitive insulating film is formed of a CVD-TiN film. .

【0013】本発明の前記ならびにその他の目的と新規
な特徴は、本明細書の記述および添付図面から明らかに
なるであろう。
The above and other objects and novel features of the present invention will become apparent from the description of the present specification and the accompanying drawings.

【0014】[0014]

【課題を解決するための手段】本願において開示される
発明のうち、代表的なものの概要を簡単に説明すれば、
次のとおりである。
SUMMARY OF THE INVENTION Among the inventions disclosed in the present application, the outline of a representative one will be briefly described.
It is as follows.

【0015】ソースガスとしてハロゲンを含むガスを用
いて形成された窒化チタン膜と、第2の導電膜との間
に、ハロゲン元素と結合する金属原子を含み、かつハロ
ゲンをトラップする能力がタングステンよりも高い膜を
設けるものである。このように、アルミニウムのような
第2の導電膜への腐食作用を有するハロゲン元素をトラ
ップする膜を、窒化チタン膜とアルミニウムのような第
2の導電膜との間に設けることによって、ハロゲン元素
の第2の導電膜への拡散を防ぐことができ、第2の導電
膜の腐食を防止することが可能となる。
[0015] Between the titanium nitride film formed using a gas containing halogen as a source gas and the second conductive film, a metal atom which binds to a halogen element and has a capability of trapping halogen is higher than that of tungsten. A high film is also provided. As described above, by providing a film that traps a halogen element having a corrosive effect on the second conductive film such as aluminum between the titanium nitride film and the second conductive film such as aluminum, Can be prevented from diffusing into the second conductive film, and corrosion of the second conductive film can be prevented.

【0016】このハロゲン元素と結合する金属原子を含
み、かつハロゲンをトラップする能力がタングステンよ
りも高いトラップ膜は、具体的には、スパッタ法により
形成されたチタン膜、窒化チタン膜、タンタル膜、窒化
タンタル膜や、ハロゲンを構成元素として含まないガス
を原料ガスとして用いたCVD法により形成されたチタ
ン膜や窒化チタン膜、タンタル膜や窒化タンタル膜など
が挙げられる。これらの膜は、単層で用いる他、これら
の2層以上の積層膜で用いてもよい。単層で用いられる
場合には、スパッタ法により形成されたチタン膜が、ハ
ロゲン元素をトラップする能力が最も大きい。スパッタ
法によるチタン膜とスパッタ法による窒化チタン膜の積
層で用いられる場合には、チタン膜がよりトラップ能力
に優れているため、チタン膜を窒化チタン膜の膜厚以上
とした方が、腐食防食の効果が高い。なお、上記のトラ
ップ膜は単層でも積層でも5nm以上設けられるのが好ま
しく、望ましくは20nm以上である。ただし、120nm
を超えると、抵抗が高くなるので実用上好ましくない。
このようなトラップ層を設けることで、ハロゲン元素を
含む窒化チタン膜と層間絶縁膜との接着性が向上する。
また、トラップ膜は、ハロゲンとの結合エネルギーが1
11Kcal/molを超える原子を含んだ膜で構成される。結
合エネルギーが大きいほどハロゲンをトラップする効果
が大きく、実用上は111Kcal/molを超えていればよ
い。
The trap film containing a metal atom bonded to a halogen element and having a higher capability of trapping halogen than tungsten is, for example, a titanium film, a titanium nitride film, a tantalum film, a tantalum film formed by a sputtering method. Examples include a tantalum nitride film, a titanium film, a titanium nitride film, a tantalum film, and a tantalum nitride film formed by a CVD method using a gas containing no halogen as a constituent element as a source gas. These films may be used as a single layer or a stacked film of two or more layers. When used as a single layer, a titanium film formed by a sputtering method has the highest ability to trap a halogen element. In the case of using a titanium film formed by sputtering and a titanium nitride film formed by sputtering, the titanium film has a better trapping ability. The effect is high. It is preferable that the above-described trap film is provided with a thickness of 5 nm or more, preferably a single layer or a stacked layer, more preferably 20 nm or more. However, 120nm
If it exceeds, the resistance increases, which is not preferable for practical use.
By providing such a trap layer, adhesion between the titanium nitride film containing a halogen element and the interlayer insulating film is improved.
Further, the trap film has a binding energy of 1 with the halogen.
It is composed of a film containing atoms exceeding 11 Kcal / mol. The larger the binding energy is, the greater the effect of trapping the halogen is, and in practice, it should be more than 111 Kcal / mol.

【0017】なお、このトラップ膜は、第2の導電膜の
直下に設けられていても、第2の導電膜とトラップ膜と
の間にさらに1層以上の別の膜が設けられていても第2
の導電膜の腐食防止の効果は同じである。窒化チタン膜
のソースガスとして、塩素は勿論のことフッ素、臭素、
ヨウ素を構成元素として含むガスを用いた場合にも、チ
タン膜などのトラップ膜は、ハロゲンをトラップする能
力に優れている。また、ハロゲンを含むソースガスとし
て、具体的には例えば四塩化チタン、四ヨウ化チタンな
どが挙げられるが、四塩化チタンを用いた場合には、最
もメタルに対する腐食性が高く、特に四塩化チタンを原
料ガスとして用いた場合にトラップ膜を設ける意義があ
る。
The trap film may be provided immediately below the second conductive film, or one or more other films may be provided between the second conductive film and the trap film. Second
The effect of preventing corrosion of the conductive film is the same. As a source gas for the titanium nitride film, chlorine, as well as fluorine, bromine,
Even when a gas containing iodine as a constituent element is used, a trap film such as a titanium film has an excellent ability to trap halogen. Specific examples of the halogen-containing source gas include, for example, titanium tetrachloride and titanium tetraiodide. When titanium tetrachloride is used, it is the most corrosive to metals, particularly titanium tetrachloride. When is used as a source gas, it is meaningful to provide a trap film.

【0018】また、この第2の導電膜は、腐食され得る
膜であり、アルミニウム膜やアルミニウム合金膜、銅
膜、銅合金膜などからなっている。
The second conductive film is a film that can be corroded, and is made of an aluminum film, an aluminum alloy film, a copper film, a copper alloy film, or the like.

【0019】このトラップ膜は、絶縁膜の開口部にCV
D法によりハロゲン元素含有の窒化チタン膜を形成した
後、この開口部上に形成する。具体的には、基体上の絶
縁膜の開口部からこの絶縁膜上に、ハロゲンを含むガス
をソースガスとして用いたCVD法により形成された窒
化チタン膜を含む第1の導電膜を堆積した後、この絶縁
膜上部の第1の導電膜を除去して開口部内部にプラグを
形成し、プラグ表面を含む絶縁膜上に、最下層がスパッ
タリング法で形成された第2の窒化チタン膜を含む第2
の導電膜を堆積し、この第2の導電膜をパターニングし
て配線層を形成する。上記の第1の導電膜は、上記のC
VD法によって形成された窒化チタン膜単層の他、上記
のCVD法によって形成された窒化チタン膜とタングス
テン膜との積層膜であってもよい。また、MISFET
と蓄積用容量素子が設けられた基体上の絶縁膜の開口部
からこの絶縁膜上に、ハロゲンを構成元素として含むガ
スをソースガスとして用いたCVD法により形成された
窒化チタン膜を含む第1の導電膜を堆積した後、この絶
縁膜上部の第1の導電膜を除去して開口部内部にプラグ
を形成し、プラグ表面を含む絶縁膜上に、最下層がスパ
ッタリング法で形成された第2の窒化チタン膜を含む第
2の導電膜を堆積し、この第2の導電膜をパターニング
して配線層を形成する。この場合も、第1の導電膜は、
上記のCVD法によって形成された窒化チタン膜単層の
他、上記のCVD法によって形成された窒化チタン膜と
タングステン膜との積層膜であってもよい。
This trap film has a CV at the opening of the insulating film.
After a halogen element-containing titanium nitride film is formed by the method D, it is formed on the opening. Specifically, after depositing a first conductive film including a titanium nitride film formed by a CVD method using a gas containing halogen as a source gas on the insulating film from the opening of the insulating film on the base, A plug is formed inside the opening by removing the first conductive film above the insulating film, and a second titanium nitride film having a lowermost layer formed by a sputtering method on the insulating film including the plug surface; Second
Is deposited, and the second conductive film is patterned to form a wiring layer. The first conductive film is formed of the C
In addition to a single layer of a titanium nitride film formed by the VD method, a stacked film of a titanium nitride film and a tungsten film formed by the above-described CVD method may be used. Also, MISFET
A titanium nitride film formed by a CVD method using a gas containing halogen as a constituent element as a source gas on the insulating film through an opening of the insulating film on the substrate provided with the storage capacitor element; After the conductive film is deposited, the first conductive film on the insulating film is removed to form a plug inside the opening, and the lowermost layer is formed on the insulating film including the plug surface by the sputtering method. A second conductive film including the second titanium nitride film is deposited, and the second conductive film is patterned to form a wiring layer. Also in this case, the first conductive film is
In addition to a single layer of a titanium nitride film formed by the CVD method, a stacked film of a titanium nitride film and a tungsten film formed by the CVD method may be used.

【0020】また、特に腐食しやすい銅配線層を用いた
半導体装置では、ハロゲン元素含有窒化チタン膜と銅配
線層との間に、タンタルまたは窒化タンタルなどのトラ
ップ膜を設けることで、銅の腐食を低減することが可能
となる。
In a semiconductor device using a copper wiring layer which is particularly susceptible to corrosion, by providing a trapping film such as tantalum or tantalum nitride between the halogen-containing titanium nitride film and the copper wiring layer, the corrosion of copper is reduced. Can be reduced.

【0021】また、蓄積容量部の一方の電極に、CVD
法により形成された窒化チタン膜を用いる場合には、こ
の窒化チタン膜上に、例えばスパッタリング法により形
成されたチタン膜のような前記のトラップ膜を形成す
る。従来、蓄積容量部の電極には、多結晶シリコンが主
に用いられていたが、多結晶シリコンの成膜温度は約6
50℃と、温度を高くする必要があった。しかし、ハロ
ゲンを構成元素として含むソースガスを利用したCVD
法による窒化チタン膜を用いることで、成膜温度をより
低くすることが可能となる。前記のCVD法による窒化
チタン膜の成膜温度は、400℃〜600℃である。
Further, one electrode of the storage capacitor portion is formed by CVD.
When a titanium nitride film formed by a method is used, the above-described trap film such as a titanium film formed by a sputtering method is formed on the titanium nitride film. Conventionally, polycrystalline silicon has been mainly used for the electrodes of the storage capacitor portion.
It was necessary to raise the temperature to 50 ° C. However, CVD using a source gas containing halogen as a constituent element
By using the titanium nitride film formed by the method, it is possible to lower the film formation temperature. The deposition temperature of the titanium nitride film by the above-mentioned CVD method is 400 ° C. to 600 ° C.

【0022】ここで、ソースガスとして四塩化チタンを
用いた場合の窒化チタン膜中の塩素濃度と成膜温度との
関係を図56に示す。同図に示す通り、成膜温度を低く
するほど窒化チタン膜中の塩素濃度は高くなり、特に5
00℃以下で成膜した場合は、蓄積容量部周辺の配線層
の腐食の度合いが高くなる。従って、特に500℃以下
で窒化チタン膜を形成した場合に、本発明のトラップ膜
を設けると、より配線層の腐食防止の効果が顕著とな
る。なお、このように蓄積電極としてハロゲン含有の窒
化チタン膜を形成する場合の他、アルミニウム配線層を
形成した後、窒化チタン膜を形成する場合も500℃以
下で行うことが好ましく、低温で形成することにより窒
化チタン膜中のハロゲンの含有率が高くなる。
FIG. 56 shows the relationship between the chlorine concentration in the titanium nitride film and the film formation temperature when titanium tetrachloride is used as the source gas. As shown in the figure, the lower the deposition temperature, the higher the chlorine concentration in the titanium nitride film.
When the film is formed at a temperature of 00 ° C. or lower, the degree of corrosion of the wiring layer around the storage capacitor portion increases. Therefore, particularly when the titanium nitride film is formed at a temperature of 500 ° C. or lower, the effect of preventing the corrosion of the wiring layer becomes more remarkable by providing the trap film of the present invention. Note that, in addition to the case where a halogen-containing titanium nitride film is formed as a storage electrode, the case where a titanium nitride film is formed after forming an aluminum wiring layer is preferably performed at 500 ° C. or lower, and is formed at a low temperature. This increases the halogen content in the titanium nitride film.

【0023】また、ハロゲンを構成元素として含むソー
スガスを用いて窒化チタン膜を形成した後、窒素ガスや
希ガスの不活性ガス中でアニールすることによっても、
導電膜の腐食を防止することができる。すなわち、アニ
ールすることで、ハロゲンを窒化チタン膜中から除去す
ることができる。このアニールは、400℃から800
℃以上、好ましくはCVD法によりTiN膜を形成する
プロセスの温度以上の温度でアニールを行う。窒化チタ
ン膜の成膜直後に、大気に晒さずにアニールを成膜装置
と同一の装置で行うと、大気に晒されることがないた
め、窒化チタン膜の表面酸化を防ぐことができる。洗浄
工程はなくても構わないが、洗浄を行う場合は、アニー
ルの前後どちらで行ってもよいが、アニールの後に洗浄
を行うことが好ましい。また、洗浄は40℃以上の温水
を用いると、塩素除去に最も効果的である。
Alternatively, after forming a titanium nitride film using a source gas containing halogen as a constituent element, annealing is performed in an inert gas such as a nitrogen gas or a rare gas.
Corrosion of the conductive film can be prevented. That is, halogen can be removed from the titanium nitride film by annealing. This annealing is performed at 400 ° C. to 800 ° C.
Annealing is performed at a temperature equal to or higher than 0 ° C., preferably equal to or higher than a temperature of a process for forming a TiN film by a CVD method. Immediately after the formation of the titanium nitride film, if the annealing is performed in the same apparatus as the film forming apparatus without exposure to the air, the titanium nitride film is not exposed to the air, so that surface oxidation of the titanium nitride film can be prevented. Although the cleaning step may be omitted, the cleaning may be performed before or after the annealing, but it is preferable to perform the cleaning after the annealing. The cleaning is most effective for removing chlorine by using warm water of 40 ° C. or higher.

【0024】さらに、窒化チタン膜中の塩素に何らかの
処理工程で水が侵入すると、塩素はデバイス中を移動し
やすくなり、従って配線層に向かって移動する塩素が増
加し、配線層が腐食しやすくなる。これは、窒化チタン
膜中の塩素が水と接触することによりイオン化し、イオ
ン化した塩素は結合種を求めてより移動し易くなるため
と考えられる。そこで、ハロゲンを構成元素とするソー
スガスにより窒化チタン膜を形成し、その後水のブロッ
キング効果の高い高密度プラズマCVD絶縁膜を形成す
ることで、窒化チタン膜中への水の侵入を防ぐことがで
きる。この絶縁膜は、屈折率が1.46以上のシリコンリ
ッチな絶縁膜である。水が侵入する工程として、ドライ
エッチング後の洗浄工程や無機SOG膜を形成する工程
が挙げられる。この無機SOG膜形成工程では、無機S
OG膜を塗布した後、水蒸気中でベークするスチームベ
ークを行う。従って、無機SOG膜の下に高密度プラズ
マCVD絶縁膜を形成しておくことで、配線層の腐食を
低減することが可能となる。なお、高密度プラズマCV
D絶縁膜の他、高密度プラズマCVD絶縁膜程ではない
が、有機SOG膜も水に対するブロッキング効果がある
ため、上記の高密度プラズマCVD膜に代えて有機SO
G膜を形成してもよい。
Further, if water enters the chlorine in the titanium nitride film in some processing step, the chlorine easily moves in the device, so that the amount of chlorine moving toward the wiring layer increases, and the wiring layer is easily corroded. Become. It is considered that this is because chlorine in the titanium nitride film is ionized by contact with water, and the ionized chlorine is more easily moved for a bonding species. Therefore, by forming a titanium nitride film with a source gas containing halogen as a constituent element and then forming a high-density plasma CVD insulating film having a high water blocking effect, it is possible to prevent water from entering the titanium nitride film. it can. This insulating film is a silicon-rich insulating film having a refractive index of 1.46 or more. Examples of the step of water penetration include a cleaning step after dry etching and a step of forming an inorganic SOG film. In this inorganic SOG film forming step, inorganic SOG
After the application of the OG film, steam baking for baking in steam is performed. Therefore, by forming the high-density plasma CVD insulating film under the inorganic SOG film, it becomes possible to reduce the corrosion of the wiring layer. In addition, high density plasma CV
In addition to the D insulating film, the organic SOG film has a blocking effect against water, though not as much as the high density plasma CVD insulating film.
A G film may be formed.

【0025】[0025]

【発明の実施の形態】以下、本発明の実施の形態を図面
に基づいて詳細に説明する。なお、実施の形態を説明す
るための全図において、同一の機能を有する部材には同
一の符号を付し、その繰り返しの説明は省略する。
Embodiments of the present invention will be described below in detail with reference to the drawings. In all the drawings for describing the embodiments, members having the same functions are denoted by the same reference numerals, and the repeated description thereof will be omitted.

【0026】(実施の形態1)以下、本発明の実施の形
態を図面に基づいて詳細に説明する。なお、実施の形態
を説明するための全図において、同一の機能を有する部
材には同一の符号を付し、その繰り返しの説明は省略す
る。
(Embodiment 1) Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. In all the drawings for describing the embodiments, members having the same functions are denoted by the same reference numerals, and the repeated description thereof will be omitted.

【0027】図1は、本実施の形態のDRAMを形成し
た半導体チップの全体平面図である。図示のように、単
結晶シリコンからなる半導体チップ1Aの主面には、X
方向(半導体チップ1Aの長辺方向)およびY方向(半
導体チップ1Aの短辺方向)に沿って多数のメモリアレ
イMARYがマトリクス状に配置されている。X方向に
沿って互いに隣接するメモリアレイMARYの間にはセ
ンスアンプSAが配置されている。半導体チップ1Aの
主面の中央部には、ワードドライバWD、データ線選択
回路などの制御回路や、入出力回路、ボンディングパッ
ドなどが配置されている。
FIG. 1 is an overall plan view of a semiconductor chip on which a DRAM of the present embodiment is formed. As shown in the drawing, the main surface of the semiconductor chip 1A made of single crystal silicon has X
A large number of memory arrays MARY are arranged in a matrix along the direction (the long side direction of the semiconductor chip 1A) and the Y direction (the short side direction of the semiconductor chip 1A). A sense amplifier SA is arranged between memory arrays MARY adjacent to each other along the X direction. In the center of the main surface of the semiconductor chip 1A, control circuits such as a word driver WD and a data line selection circuit, input / output circuits, and bonding pads are arranged.

【0028】図2は、上記DRAMのなど価回路図であ
る。図示のように、このDRAMのメモリアレイ(MA
RY)は、マトリクス状に配置された複数のワード線W
L(WLn-1 、WLn 、WLn+1 …)と複数のビット線
BLおよびそれらの交点に配置された複数のメモリセル
(MC)により構成されている。1ビットの情報を記憶
する1個のメモリセルは、1個の情報蓄積用容量素子C
とこれに直列に接続された1個のメモリセル選択用MI
SFETQsとで構成されている。メモリセル選択用M
ISFETQsのソース、ドレインの一方は、情報蓄積
用容量素子Cと電気的に接続され、他方はビット線BL
と電気的に接続されている。ワード線WLの一端は、ワ
ードドライバWDに接続され、ビット線BLの一端は、
センスアンプSAに接続されている。
FIG. 2 is an equivalent circuit diagram of the DRAM. As shown, the memory array of this DRAM (MA
RY) includes a plurality of word lines W arranged in a matrix.
L (WLn-1, WLn, WLn + 1...), A plurality of bit lines BL, and a plurality of memory cells (MC) arranged at their intersections. One memory cell for storing one bit of information is composed of one information storage capacitor C
And one memory cell selecting MI connected in series
SFET Qs. M for memory cell selection
One of a source and a drain of the ISFET Qs is electrically connected to the information storage capacitor C, and the other is a bit line BL.
Is electrically connected to One end of the word line WL is connected to a word driver WD, and one end of the bit line BL is
It is connected to the sense amplifier SA.

【0029】次に、本実施の形態のDRAMの製造方法
を図3〜図45を用いて工程順に説明する。
Next, a method of manufacturing the DRAM of this embodiment will be described in the order of steps with reference to FIGS.

【0030】まず、図3に示すように、p型で比抵抗が
10Ωcm程度の半導体基板1を850℃程度でウェット
酸化してその表面に膜厚10nm程度の薄い酸化シリコン
膜2を形成した後、この酸化シリコン膜2の上部にCV
D(Chemical Vapor Deposition )法で膜厚140nm程
度の窒化シリコン膜3を堆積する。酸化シリコン膜2
は、後の工程で素子分離溝の内部に埋め込まれる酸化シ
リコン膜をシンタリング(焼き締め)するときなどに基
板に加わるストレスを緩和するために形成される。窒化
シリコン膜3は酸化されにくい性質を持つので、その下
部(活性領域)の基板表面の酸化を防止するマスクとし
て利用される。
First, as shown in FIG. 3, a p-type semiconductor substrate 1 having a specific resistance of about 10 Ωcm is wet-oxidized at about 850 ° C. to form a thin silicon oxide film 2 having a thickness of about 10 nm on its surface. CV is formed on the silicon oxide film 2
A silicon nitride film 3 having a thickness of about 140 nm is deposited by a D (Chemical Vapor Deposition) method. Silicon oxide film 2
Is formed to alleviate the stress applied to the substrate when sintering (burning) a silicon oxide film embedded in the element isolation groove in a later step. Since the silicon nitride film 3 has the property of being hardly oxidized, it is used as a mask for preventing the oxidation of the substrate surface below (the active region).

【0031】次に、図4に示すように、フォトレジスト
膜4をマスクにして窒化シリコン膜3、酸化シリコン膜
2および半導体基板1をドライエッチングすることによ
り、素子分離領域の半導体基板1に深さ300〜400
nm程度の溝5aを形成する。溝5aを形成するには、フ
ォトレジスト膜4をマスクにして窒化シリコン膜3をド
ライエッチングし、次いでフォトレジスト膜4を除去し
た後、窒化シリコン膜3をマスクにして酸化シリコン膜
2および半導体基板1をドライエッチングしてもよい。
Next, as shown in FIG. 4, the silicon nitride film 3, the silicon oxide film 2 and the semiconductor substrate 1 are dry-etched using the photoresist film 4 as a mask, so that the semiconductor substrate 1 in the element isolation region is deeply etched. 300-400
A groove 5a of about nm is formed. In order to form the groove 5a, the silicon nitride film 3 is dry-etched using the photoresist film 4 as a mask, the photoresist film 4 is removed, and then the silicon oxide film 2 and the semiconductor substrate are etched using the silicon nitride film 3 as a mask. 1 may be dry-etched.

【0032】次に、フォトレジスト膜4を除去した後、
図5に示すように、前記のエッチングによって溝5aの
内壁に生じたダメージ層を除去するために、半導体基板
1を850〜900℃程度でウェット酸化して溝5aの
内壁に膜厚10nm程度の薄い酸化シリコン膜6を形成す
る。
Next, after removing the photoresist film 4,
As shown in FIG. 5, in order to remove a damaged layer formed on the inner wall of the groove 5a by the above-described etching, the semiconductor substrate 1 is wet-oxidized at about 850 to 900 ° C. to form a film having a thickness of about 10 nm on the inner wall of the groove 5a. A thin silicon oxide film 6 is formed.

【0033】次に、図6に示すように、半導体基板1上
に膜厚300〜400nm程度の酸化シリコン膜7を堆積
した後、半導体基板1を1000℃程度でドライ酸化す
ることにより、溝5aに埋め込まれた酸化シリコン膜7
の膜質を改善するためのシンタリング(焼き締め)を行
う。酸化シリコン膜7は、例えばオゾン(O3 )とテト
ラエトキシシラン(TEOS)とをソースガスに用いた
熱CVD法で堆積する。
Next, as shown in FIG. 6, after a silicon oxide film 7 having a thickness of about 300 to 400 nm is deposited on the semiconductor substrate 1, the semiconductor substrate 1 is dry-oxidized at about 1000.degree. Silicon oxide film 7 embedded in
(Sintering) is performed to improve the film quality. The silicon oxide film 7 is deposited by, for example, a thermal CVD method using ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas.

【0034】次に、図7に示すように、酸化シリコン膜
7の上部にCVD法で膜厚140nm程度の窒化シリコン
膜8を堆積した後、図8に示すように、フォトレジスト
膜9をマスクにして窒化シリコン膜8をドライエッチン
グすることにより、メモリアレイと周辺回路領域との境
界部のような相対的に広い面積の溝5aの上部のみに窒
化シリコン膜8を残す。溝5aの上部に残った窒化シリ
コン膜8は、次の工程で酸化シリコン膜7をCMP法で
研磨して平坦化する際に、相対的に広い面積の溝5aの
内部の酸化シリコン膜7が相対的に狭い面積の溝5aの
内部の酸化シリコン膜7に比べて深く研磨される現象
(ディッシング;dishing )を防止するために形成され
る。
Next, as shown in FIG. 7, a silicon nitride film 8 having a thickness of about 140 nm is deposited on the silicon oxide film 7 by the CVD method, and then, as shown in FIG. Then, the silicon nitride film 8 is dry-etched to leave the silicon nitride film 8 only on the upper portion of the groove 5a having a relatively large area such as the boundary between the memory array and the peripheral circuit region. When the silicon nitride film 8 remaining on the groove 5a is planarized by polishing the silicon oxide film 7 by a CMP method in the next step, the silicon oxide film 7 inside the groove 5a having a relatively large area is removed. It is formed in order to prevent a phenomenon (dishing) that is polished deeper than the silicon oxide film 7 inside the groove 5a having a relatively small area.

【0035】次に、フォトレジスト膜9を除去した後、
図9に示すように、窒化シリコン膜3、8をストッパに
用いたCMP法で酸化シリコン膜7を研磨して溝5aの
内部に残すことにより、素子分離溝5を形成する。
Next, after removing the photoresist film 9,
As shown in FIG. 9, the element isolation groove 5 is formed by polishing the silicon oxide film 7 by a CMP method using the silicon nitride films 3 and 8 as stoppers and leaving the silicon oxide film 7 inside the groove 5a.

【0036】次に、熱リン酸を用いたウェットエッチン
グで窒化シリコン膜3、8を除去した後、図10に示す
ように、メモリセルを形成する領域(メモリアレイ)の
半導体基板1にn型不純物、例えばP(リン)をイオン
打ち込みしてn型半導体領域10を形成し、メモリアレ
イと周辺回路領域の一部(nチャネル型MISFETを
形成する領域)にp型不純物、例えばB(ホウ素)をイ
オン打ち込みしてp型ウエル11を形成し、周辺回路領
域の他の一部(pチャネル型MISFETを形成する領
域)にn型不純物、例えばP(リン)をイオン打ち込み
してn型ウエル12を形成する。また、このイオン打ち
込みに続いて、MISFETのしきい値電圧を調整する
ための不純物、例えばBF2 (フッ化ホウ素) をp型ウ
エル11およびn型ウエル12にイオン打ち込みする。
n型半導体領域10は、入出力回路などから半導体基板
1を通じてメモリアレイのp型ウエル11にノイズが侵
入するのを防止するために形成される。
Next, after removing the silicon nitride films 3 and 8 by wet etching using hot phosphoric acid, as shown in FIG. 10, an n-type semiconductor substrate 1 in a region (memory array) where a memory cell is to be formed is formed. An n-type semiconductor region 10 is formed by ion-implanting an impurity, for example, P (phosphorus), and a p-type impurity, for example, B (boron) is formed in a part of a memory array and a peripheral circuit region (a region for forming an n-channel MISFET). Is ion-implanted to form a p-type well 11, and an n-type impurity, for example, P (phosphorus) is ion-implanted into another part of the peripheral circuit region (a region where a p-channel MISFET is formed). To form Following this ion implantation, impurities for adjusting the threshold voltage of the MISFET, for example, BF 2 (boron fluoride) are ion-implanted into the p-type well 11 and the n-type well 12.
The n-type semiconductor region 10 is formed to prevent noise from entering the p-type well 11 of the memory array from the input / output circuit and the like through the semiconductor substrate 1.

【0037】次に、p型ウエル11およびn型ウエル1
2の各表面の酸化シリコン膜2をHF(フッ酸)系の洗
浄液を使って除去した後、半導体基板1を850℃程度
でウェット酸化してp型ウエル11およびn型ウエル1
2の各表面に膜厚7nm程度の清浄なゲート酸化膜13を
形成する。
Next, the p-type well 11 and the n-type well 1
After removing the silicon oxide film 2 on each surface of the semiconductor substrate 1 using a HF (hydrofluoric acid) -based cleaning solution, the semiconductor substrate 1 is wet-oxidized at about 850 ° C. to form a p-type well 11 and an n-type well 1.
Then, a clean gate oxide film 13 having a thickness of about 7 nm is formed on each surface of No. 2.

【0038】特に限定はされないが、上記ゲート酸化膜
13を形成した後、半導体基板1をNO(酸化窒素)雰
囲気中またはN2 O(亜酸化窒素)雰囲気中で熱処理す
ることによって、ゲート酸化膜13と半導体基板1との
界面に窒素を偏析させてもよい(酸窒化処理)。ゲート
酸化膜13が7nm程度まで薄くなると、半導体基板1と
の熱膨張係数差に起因して両者の界面に生じる歪みが顕
在化し、ホットキャリアの発生を誘発する。半導体基板
1との界面に偏析した窒素はこの歪みを緩和するので、
上記の酸窒化処理は、極薄ゲート酸化膜13の信頼性を
向上できる。
Although not particularly limited, after the gate oxide film 13 is formed, the semiconductor substrate 1 is subjected to a heat treatment in an NO (nitrogen oxide) atmosphere or an N 2 O (nitrogen oxide) atmosphere to form the gate oxide film. Nitrogen may be segregated at the interface between the semiconductor substrate 1 and the semiconductor substrate 1 (oxynitriding treatment). When the thickness of the gate oxide film 13 is reduced to about 7 nm, distortion generated at the interface between the semiconductor substrate 1 and the semiconductor substrate 1 due to a difference in thermal expansion coefficient becomes apparent, and hot carriers are generated. Nitrogen segregated at the interface with the semiconductor substrate 1 relaxes this distortion.
The above oxynitriding process can improve the reliability of the ultra-thin gate oxide film 13.

【0039】次に、図11に示すように、ゲート酸化膜
13の上部にゲート電極14A、14B、14Cを形成
する。ゲート電極14Aは、メモリセル選択用MISF
ETの一部を構成し、活性領域以外の領域ではワード線
WLとして使用される。このゲート電極14A(ワード
線WL)の幅、すなわちゲート長は、メモリセル選択用
MISFETの短チャネル効果を抑制して、しきい値電
圧を一定値以上に確保できる許容範囲内の最小寸法(例
えば0.24μm程度)で構成される。また、隣接するゲ
ート電極14A(ワード線WL)同士の間隔は、フォト
リソグラフィの解像限界で決まる最小寸法(例えば0.2
2μm)で構成される。ゲート電極14Bおよびゲート
電極14Cは、周辺回路のnチャネル型MISFETお
よびpチャネル型MISFETの各一部を構成する。
Next, as shown in FIG. 11, gate electrodes 14A, 14B and 14C are formed on the gate oxide film 13. The gate electrode 14A is provided with a memory cell selecting MISF.
It forms a part of the ET, and is used as a word line WL in a region other than the active region. The width of the gate electrode 14A (word line WL), that is, the gate length is the minimum dimension (for example, within an allowable range) in which the short channel effect of the memory cell selecting MISFET can be suppressed and the threshold voltage can be secured to a certain value or more. (About 0.24 μm). The distance between the adjacent gate electrodes 14A (word lines WL) is the minimum dimension (for example, 0.2) determined by the resolution limit of photolithography.
2 μm). The gate electrode 14B and the gate electrode 14C constitute each part of the n-channel MISFET and the p-channel MISFET of the peripheral circuit.

【0040】ゲート電極14A(ワード線WL)および
ゲート電極14B、14Cは、例えばP(リン)などの
n型不純物をドープした膜厚70nm程度の多結晶シリコ
ン膜を半導体基板1上にCVD法で堆積し、次いでその
上部に膜厚50nm程度のWN(タングステンナイトライ
ド)膜と膜厚100nm程度のW膜とをスパッタリング法
で堆積し、さらにその上部に膜厚150nm程度の窒化シ
リコン膜15をCVD法で堆積した後、フォトレジスト
膜16をマスクにしてこれらの膜をパターニングするこ
とにより形成する。WN膜は、高温熱処理時にW膜と多
結晶シリコン膜とが反応して両者の界面に高抵抗のシリ
サイド層が形成されるのを防止するバリア層として機能
する。バリア層は、WN膜の他、TiN膜などを使用す
ることもできる。
For the gate electrode 14A (word line WL) and the gate electrodes 14B and 14C, a polycrystalline silicon film having a thickness of about 70 nm doped with an n-type impurity such as P (phosphorus) is formed on the semiconductor substrate 1 by the CVD method. Then, a WN (tungsten nitride) film having a thickness of about 50 nm and a W film having a thickness of about 100 nm are deposited thereon by sputtering, and a silicon nitride film 15 having a thickness of about 150 nm is further formed thereon by CVD. After deposition by a method, these films are formed by patterning these films using the photoresist film 16 as a mask. The WN film functions as a barrier layer that prevents the W film and the polycrystalline silicon film from reacting during the high-temperature heat treatment to form a high-resistance silicide layer at the interface between them. As the barrier layer, a TiN film or the like can be used in addition to the WN film.

【0041】ゲート電極14A(ワード線WL)の一部
を低抵抗の金属(W)で構成した場合には、そのシート
抵抗を2〜2.5Ω/□程度にまで低減できるので、ワー
ド線遅延を低減することができる。また、ゲート電極1
4(ワード線WL)をAl配線などで裏打ちしなくとも
ワード線遅延を低減できるので、メモリセルの上部に形
成される配線層の数を1層減らすことができる。
When a part of the gate electrode 14A (word line WL) is made of low-resistance metal (W), the sheet resistance can be reduced to about 2 to 2.5 Ω / □, so that the word line delay is reduced. Can be reduced. Also, the gate electrode 1
Since the word line delay can be reduced without backing 4 (word line WL) with an Al wiring or the like, the number of wiring layers formed above the memory cells can be reduced by one.

【0042】次に、フォトレジスト膜16を除去した
後、フッ酸などのエッチング液を使って、半導体基板1
の表面に残ったドライエッチング残渣やフォトレジスト
残渣などを除去する。このウェットエッチングを行う
と、ゲート電極14A(ワード線WL)およびゲート電
極14B、14Cの下部以外の領域のゲート酸化膜13
が削られると同時に、ゲート側壁下部のゲート酸化膜1
3もなど方的にエッチングされてアンダーカットが生じ
るため、そのままではゲート酸化膜13の耐圧が低下す
る。そこで、半導体基板1を900℃程度でウェット酸
化することによって、削れたゲート酸化膜13の膜質を
改善する。
Next, after removing the photoresist film 16, the semiconductor substrate 1 is etched using an etching solution such as hydrofluoric acid.
Dry etching residues and photoresist residues remaining on the surface of the substrate are removed. When this wet etching is performed, the gate oxide film 13 in a region other than the region under the gate electrode 14A (word line WL) and the gate electrodes 14B and 14C is formed.
At the same time that the gate oxide film 1 under the gate sidewall is removed.
3 is also isotropically etched and an undercut occurs, so that the breakdown voltage of the gate oxide film 13 is reduced as it is. Therefore, the film quality of the shaved gate oxide film 13 is improved by wet oxidizing the semiconductor substrate 1 at about 900 ° C.

【0043】次に、図12に示すように、n型ウエル1
2にp型不純物、例えばB(ホウ素)をイオン打ち込み
してゲート電極14Cの両側のn型ウエル12にp-
半導体領域17を形成する。また、p型ウエル11にn
型不純物、例えばP(リン)をイオン打ち込みしてゲー
ト電極14Bの両側のp型ウエル11にn- 型半導体領
域18を形成し、ゲート電極14Aの両側のp型ウエル
11にn型半導体領域19を形成する。これにより、メ
モリアレイにメモリセル選択用MISFETQsが形成
される。
Next, as shown in FIG.
A p - type semiconductor region 17 is formed in the n-type well 12 on both sides of the gate electrode 14C by ion implantation of a p-type impurity, for example, B (boron) into the gate electrode 14C. In addition, the p-type well 11 has n
An n - type semiconductor region 18 is formed in the p-type well 11 on both sides of the gate electrode 14B by ion-implanting a p-type impurity, for example, P (phosphorus), and an n - type semiconductor region 19 is formed in the p-type well 11 on both sides of the gate electrode 14A. To form As a result, the memory cell selecting MISFET Qs is formed in the memory array.

【0044】次に、図13に示すように、半導体基板1
上にCVD法で膜厚50〜100nm程度の窒化シリコン
膜20を堆積した後、図14に示すように、メモリアレ
イの窒化シリコン膜20をフォトレジスト膜21で覆
い、周辺回路領域の窒化シリコン膜20を異方性エッチ
ングすることにより、ゲート電極14B、14Cの側壁
にサイドウォールスペーサ20aを形成する。このエッ
チングは、ゲート酸化膜13や素子分離溝5に埋め込ま
れた酸化シリコン膜7の削れ量を最少とするために、酸
化シリコン膜に対する窒化シリコン膜20のエッチング
レートが大きくなるようなエッチングガスを使用して行
う。また、ゲート電極14B、14C上の窒化シリコン
膜15の削れ量を最少とするために、オーバーエッチン
グ量を必要最小限にとどめるようにする。
Next, as shown in FIG.
After a silicon nitride film 20 having a thickness of about 50 to 100 nm is deposited thereon by the CVD method, the silicon nitride film 20 of the memory array is covered with a photoresist film 21 as shown in FIG. 20 is anisotropically etched to form sidewall spacers 20a on the side walls of the gate electrodes 14B and 14C. In this etching, an etching gas that increases the etching rate of the silicon nitride film 20 with respect to the silicon oxide film is used in order to minimize the shaving amount of the silicon oxide film 7 buried in the gate oxide film 13 and the element isolation trench 5. Use to do. Further, in order to minimize the amount of the silicon nitride film 15 shaved on the gate electrodes 14B and 14C, the amount of over-etching is minimized.

【0045】次に、フォトレジスト膜21を除去した
後、図15に示すように、周辺回路領域のn型ウエル1
2にp型不純物、例えばB(ホウ素)をイオン打ち込み
してpチャネル型MISFETのp+ 型半導体領域22
(ソース、ドレイン)を形成し、周辺回路領域のp型ウ
エル11にn型不純物、例えばAs(ヒ素)をイオン打
ち込みしてnチャネル型MISFETのn+ 型半導体領
域23(ソース、ドレイン)を形成する。これにより、
周辺回路領域にLDD(Lightly Doped Drain) 構造を備
えたpチャネル型MISFETQpおよびnチャネル型
MISFETQnが形成される。
Next, after removing the photoresist film 21, as shown in FIG. 15, the n-type well 1 in the peripheral circuit region is formed.
2 is ion-implanted with a p-type impurity, for example, B (boron) to form ap + -type semiconductor region 22 of a p-channel MISFET.
(Source, drain) are formed, and an n-type impurity, for example, As (arsenic) is ion-implanted into the p-type well 11 in the peripheral circuit region to form an n + -type semiconductor region 23 (source, drain) of the n-channel MISFET. I do. This allows
A p-channel MISFET Qp and an n-channel MISFET Qn having an LDD (Lightly Doped Drain) structure are formed in the peripheral circuit region.

【0046】次に、図16に示すように、半導体基板1
上に膜厚300nm程度のSOG(スピンオングラス)膜
24をスピン塗布した後、半導体基板1を800℃、1
分程度熱処理してSOG膜24をシンタリング(焼き締
め)する。
Next, as shown in FIG.
After spin coating an SOG (spin-on-glass) film 24 having a thickness of about 300 nm on the semiconductor substrate 1,
The heat treatment is performed for about a minute, and the SOG film 24 is sintered (sintered).

【0047】次に、図17に示すように、SOG膜24
の上部に膜厚600nm程度の酸化シリコン膜25を堆積
した後、この酸化シリコン膜25をCMP法で研磨して
その表面を平坦化する。酸化シリコン膜25は、例えば
オゾン(O3 )とテトラエトキシシラン(TEOS)と
をソースガスに用いたプラズマCVD法で堆積する。こ
のように、本実施の形態では、ゲート電極14A(ワー
ド線WL)およびゲート電極14B、14Cの上部に成
膜直後でも平坦性が良好なSOG膜24を塗布し、さら
にその上部に堆積した酸化シリコン膜25をCMP法で
平坦化する。これにより、ゲート電極14A(ワード線
WL)同士の微細な隙間のギャップフィル性が向上する
と共に、ゲート電極14A(ワード線WL)およびゲー
ト電極14B、14Cの上部の絶縁膜の平坦化を実現す
ることができる。
Next, as shown in FIG.
After a silicon oxide film 25 having a thickness of about 600 nm is deposited on the upper surface of the silicon oxide film 25, the silicon oxide film 25 is polished by a CMP method to flatten the surface. The silicon oxide film 25 is deposited by, for example, a plasma CVD method using ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas. As described above, in the present embodiment, the SOG film 24 with good flatness is applied to the upper portion of the gate electrode 14A (word line WL) and the gate electrodes 14B and 14C even immediately after the film formation, and the oxidation deposited on the SOG film 24 is further deposited thereon. The silicon film 25 is planarized by the CMP method. Thereby, the gap fill property of the fine gap between the gate electrodes 14A (word lines WL) is improved, and the flattening of the insulating film on the gate electrodes 14A (word lines WL) and the gate electrodes 14B and 14C is realized. be able to.

【0048】次に、図18に示すように、酸化シリコン
膜25の上部に膜厚100nm程度の酸化シリコン膜26
を堆積する。この酸化シリコン膜26は、CMP法で研
磨されたときに生じた前記酸化シリコン膜25の表面の
微細な傷を補修するために堆積する。酸化シリコン膜2
6は、例えばオゾン(O3 )とテトラエトキシシラン
(TEOS)とをソースガスに用いたプラズマCVD法
で堆積する。酸化シリコン膜25の上部には、上記酸化
シリコン膜26に代えてPSG(Phospho Silicate Glas
s)膜などを堆積してもよい。
Next, as shown in FIG. 18, a silicon oxide film 26 having a thickness of about 100 nm is formed on the silicon oxide film 25.
Is deposited. The silicon oxide film 26 is deposited in order to repair fine scratches on the surface of the silicon oxide film 25 generated when the silicon oxide film 25 is polished by the CMP method. Silicon oxide film 2
6 is deposited by a plasma CVD method using, for example, ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas. On top of the silicon oxide film 25, a PSG (Phospho Silicate Glas
s) A film or the like may be deposited.

【0049】次に、図19に示すように、フォトレジス
ト膜27をマスクにしたドライエッチングでメモリセル
選択用MISFETQsのn型半導体領域19(ソー
ス、ドレイン)の上部の酸化シリコン膜26、25およ
びSOG膜24を除去する。このエッチングは、窒化シ
リコン膜20に対する酸化シリコン膜26、25および
SOG膜24のエッチングレートが大きくなるような条
件で行い、n型半導体領域19や素子分離溝5の上部を
覆っている窒化シリコン膜20が完全には除去されない
ようにする。
Next, as shown in FIG. 19, the silicon oxide films 26, 25 on the n-type semiconductor region 19 (source, drain) of the memory cell selection MISFET Qs are dry-etched using the photoresist film 27 as a mask. The SOG film 24 is removed. This etching is performed under such a condition that the etching rates of the silicon oxide films 26 and 25 and the SOG film 24 with respect to the silicon nitride film 20 are increased, and the silicon nitride film covering the n-type semiconductor region 19 and the upper part of the element isolation trench 5 is formed. 20 is not completely removed.

【0050】続いて、図20に示すように、上記フォト
レジスト膜27をマスクにしたドライエッチングでメモ
リセル選択用MISFETQsのn型半導体領域19
(ソース、ドレイン)の上部の窒化シリコン膜15とゲ
ート酸化膜13とを除去することにより、n型半導体領
域19(ソース、ドレイン)の一方の上部にコンタクト
ホール28を形成し、他方の上部にコンタクトホール2
9を形成する。
Subsequently, as shown in FIG. 20, the n-type semiconductor region 19 of the MISFET Qs for selecting a memory cell is dry-etched using the photoresist film 27 as a mask.
By removing the silicon nitride film 15 and the gate oxide film 13 above the (source, drain), a contact hole 28 is formed in one upper part of the n-type semiconductor region 19 (source, drain) and in the other upper part. Contact hole 2
9 is formed.

【0051】このエッチングは、酸化シリコン膜(ゲー
ト酸化膜13および素子分離溝5内の酸化シリコン膜
7)に対する窒化シリコン膜20のエッチングレートが
大きくなるような条件で行い、n型半導体領域19や素
子分離溝5が深く削れないようにする。また、このエッ
チングは、窒化シリコン膜20が異方的にエッチングさ
れるような条件で行い、ゲート電極14A(ワード線W
L)の側壁に窒化シリコン膜20が残るようにする。こ
れにより、フォトリソグラフィの解像限界以下の微細な
径を有するコンタクトホール28、29がゲート電極1
4A(ワード線WL)に対して自己整合で形成される。
コンタクトホール28、29をゲート電極14A(ワー
ド線WL)に対して自己整合で形成するには、あらかじ
め窒化シリコン膜20を異方性エッチングしてゲート電
極14A(ワード線WL)の側壁にサイドウォールスペ
ーサを形成しておいてもよい。
This etching is performed under the condition that the etching rate of the silicon nitride film 20 with respect to the silicon oxide film (the gate oxide film 13 and the silicon oxide film 7 in the element isolation trench 5) is increased. The element isolation groove 5 is prevented from being cut deeply. This etching is performed under such conditions that the silicon nitride film 20 is anisotropically etched, and the gate electrode 14A (word line W
The silicon nitride film 20 is left on the side wall of L). As a result, the contact holes 28 and 29 having a fine diameter smaller than the resolution limit of photolithography are formed in the gate electrode 1.
4A (word line WL) is formed in a self-aligned manner.
In order to form the contact holes 28 and 29 in a self-aligned manner with respect to the gate electrode 14A (word line WL), the silicon nitride film 20 is anisotropically etched in advance to form a sidewall on the side wall of the gate electrode 14A (word line WL). A spacer may be formed.

【0052】次に、フォトレジスト膜27を除去した
後、フッ酸+フッ化アンモニウム混液などのエッチング
液を使って、コンタクトホール28、29の底部に露出
した基板表面のドライエッチング残渣やフォトレジスト
残渣などを除去する。その際、コンタクトホール28、
29の側壁に露出したSOG膜24もエッチング液に曝
されるが、SOG膜24は、前述した800℃程度のシ
ンタリングによってフッ酸系のエッチング液に対するエ
ッチングレートが低減されているので、このウェットエ
ッチング処理によってコンタクトホール28、29の側
壁が大きくアンダーカットされることはない。これによ
り、次の工程でコンタクトホール28、29の内部に埋
め込まれるプラグ同士のショートを確実に防止すること
ができる。
Next, after the photoresist film 27 is removed, dry etching residues and photoresist residues on the substrate surface exposed at the bottoms of the contact holes 28 and 29 are etched using an etching solution such as a mixture of hydrofluoric acid and ammonium fluoride. And so on. At that time, the contact hole 28,
The SOG film 24 exposed on the side wall of the S.sub.29 is also exposed to the etching solution. However, the SOG film 24 has a reduced etching rate with respect to a hydrofluoric acid-based etching solution by the above-described sintering at about 800.degree. The sidewalls of the contact holes 28 and 29 are not largely undercut by the etching process. As a result, it is possible to reliably prevent a short circuit between plugs embedded in the contact holes 28 and 29 in the next step.

【0053】次に、図21に示すように、コンタクトホ
ール28、29の内部にプラグ30を形成する。プラグ
30は、酸化シリコン膜26の上部にn型不純物(例え
ばP(リン))をドープした多結晶シリコン膜をCVD
法で堆積した後、この多結晶シリコン膜をCMP法で研
磨してコンタクトホール28、29の内部に残すことに
より形成する。
Next, as shown in FIG. 21, plugs 30 are formed inside the contact holes 28 and 29. The plug 30 is formed by depositing a polycrystalline silicon film doped with an n-type impurity (for example, P (phosphorus)) on the silicon oxide film 26 by CVD.
After the deposition by the method, the polycrystalline silicon film is polished by the CMP method and is formed by being left inside the contact holes 28 and 29.

【0054】次に、図22に示すように、酸化シリコン
膜26の上部に膜厚200nm程度の酸化シリコン膜31
を堆積した後、半導体基板1を800℃程度で熱処理す
る。酸化シリコン膜31は、例えばオゾン(O3 )とテ
トラエトキシシラン(TEOS)とをソースガスに用い
たプラズマCVD法で堆積する。この熱処理によって、
プラグ30を構成する多結晶シリコン膜中のn型不純物
がコンタクトホール28、29の底部からメモリセル選
択用MISFETQsのn型半導体領域19(ソース、
ドレイン)に拡散し、n型半導体領域19が低抵抗化さ
れる。
Next, as shown in FIG. 22, a silicon oxide film 31 having a thickness of about 200 nm is formed on the silicon oxide film 26.
Is deposited, the semiconductor substrate 1 is heat-treated at about 800 ° C. The silicon oxide film 31 is deposited by, for example, a plasma CVD method using ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas. By this heat treatment,
An n-type impurity in the polycrystalline silicon film forming the plug 30 is supplied from the bottom of the contact holes 28 and 29 to the n-type semiconductor region 19 (source,
Drain) and the resistance of the n-type semiconductor region 19 is reduced.

【0055】次に、図23に示すように、フォトレジス
ト膜32をマスクにしたドライエッチングで前記コンタ
クトホール28の上部の酸化シリコン膜31を除去して
プラグ30の表面を露出させる。次に、フォトレジスト
膜32を除去した後、図24に示すように、フォトレジ
スト膜33をマスクにしたドライエッチングで周辺回路
領域の酸化シリコン膜31、26、25、SOG膜24
およびゲート酸化膜13を除去することにより、nチャ
ネル型MISFETQnのn+ 型半導体領域23(ソー
ス、ドレイン)の上部にコンタクトホール34、35を
形成し、pチャネル型MISFETQpのp+ 型半導体
領域22(ソース、ドレイン)の上部にコンタクトホー
ル36、37を形成する。
Next, as shown in FIG. 23, the surface of the plug 30 is exposed by removing the silicon oxide film 31 above the contact hole 28 by dry etching using the photoresist film 32 as a mask. Next, after removing the photoresist film 32, as shown in FIG. 24, the silicon oxide films 31, 26, 25 and the SOG film 24 in the peripheral circuit region are dry-etched using the photoresist film 33 as a mask.
By removing the gate oxide film 13 and contact holes 34 and 35 above the n + -type semiconductor region 23 (source and drain) of the n-channel MISFET Qn, the p + -type semiconductor region 22 of the p-channel MISFET Qp Contact holes 36 and 37 are formed above (source, drain).

【0056】次に、フォトレジスト膜33を除去した
後、図25に示すように、酸化シリコン膜31の上部に
ビット線BLおよび周辺回路の第1層配線38、39を
形成する。ビット線BLおよび第1層配線38、39を
形成するには、まず酸化シリコン膜31の上部に膜厚5
0nm程度のTi膜をスパッタリング法で堆積し、半導体
基板1を800℃程度で熱処理する。次いで、Ti膜の
上部に膜厚50nm程度のTiN膜をスパッタリング法で
堆積し、さらにその上部に膜厚150nm程度のW膜と膜
厚200nm程度の窒化シリコン膜40とをCVD法で堆
積した後、フォトレジスト膜41をマスクにしてこれら
の膜をパターニングする。
Next, after removing the photoresist film 33, as shown in FIG. 25, the bit lines BL and the first layer wirings 38 and 39 of the peripheral circuit are formed on the silicon oxide film 31. In order to form the bit line BL and the first layer wirings 38 and 39, first, a film thickness 5
A Ti film of about 0 nm is deposited by a sputtering method, and the semiconductor substrate 1 is heat-treated at about 800 ° C. Next, a TiN film having a thickness of about 50 nm is deposited on the Ti film by a sputtering method, and a W film having a thickness of about 150 nm and a silicon nitride film 40 having a thickness of about 200 nm are further deposited thereon by a CVD method. These films are patterned using the photoresist film 41 as a mask.

【0057】酸化シリコン膜31の上部にTi膜を堆積
した後、半導体基板1を800℃程度で熱処理すること
により、Ti膜とSi基板とが反応し、nチャネル型M
ISFETQnのn+ 型半導体領域23(ソース、ドレ
イン)の表面とpチャネル型MISFETQpのp+
半導体領域22(ソース、ドレイン)の表面とに低抵抗
のTiSi2 (チタンシリサイド)層42が形成され
る。図示は省略するが、このとき、メモリセル選択用M
ISFETQsのn型半導体領域19の上部のコンタク
トホール28に埋め込まれたプラグ30の表面にもTi
Si2 (チタンシリサイド)層42が形成される。これ
により、n+ 型半導体領域23およびp+型半導体領域
22に接続される配線(ビット線BL、第1層配線3
8、39)のコンタクト抵抗を低減することができる。
また、ビット線BLをW膜/TiN膜/Ti膜で構成す
ることにより、そのシート抵抗を2Ω/□以下にまで低
減できるので、情報の読み出し速度および書き込み速度
を向上させることができると共に、ビット線BLと周辺
回路の第1層配線38、39とを一つの工程で同時に形
成することができるので、DRAMの製造工程を短縮す
ることができる。さらに、周辺回路の第1層配線(3
8、39)をビット線BLと同層の配線で構成した場合
には、第1層配線をメモリセルの上層のAl配線で構成
する場合に比べて周辺回路のMISFET(nチャネル
型MISFETQn、pチャネル型MISFETQp)
と第1層配線とを接続するコンタクトホール(34〜3
7)のアスペクト比が低減されるため、第1層配線の接
続信頼性が向上する。
After depositing a Ti film on the silicon oxide film 31, the semiconductor substrate 1 is subjected to a heat treatment at about 800 ° C., so that the Ti film reacts with the Si substrate and the n-channel type M
A low-resistance TiSi 2 (titanium silicide) layer 42 is formed on the surface of the n + type semiconductor region 23 (source, drain) of the ISFET Qn and the surface of the p + type semiconductor region 22 (source, drain) of the p-channel MISFET Qp. You. Although not shown, the memory cell selection M
The surface of the plug 30 buried in the contact hole 28 above the n-type semiconductor region 19 of the ISFET Qs
An Si 2 (titanium silicide) layer 42 is formed. Thereby, the wiring (bit line BL, first layer wiring 3) connected to n + type semiconductor region 23 and p + type
8, 39) can be reduced.
Further, since the bit line BL is formed of the W film / TiN film / Ti film, the sheet resistance can be reduced to 2 Ω / □ or less, so that the information reading speed and the writing speed can be improved, and the bit rate can be improved. Since the line BL and the first-layer wirings 38 and 39 of the peripheral circuit can be formed simultaneously in one step, the manufacturing steps of the DRAM can be shortened. Furthermore, the first layer wiring (3
8 and 39) are formed of the same layer wiring as the bit line BL, the MISFETs of the peripheral circuits (n-channel MISFETs Qn and p Channel type MISFET Qp)
Contact holes (34 to 3) for connecting
Since the aspect ratio of 7) is reduced, the connection reliability of the first layer wiring is improved.

【0058】ビット線BLは、隣接するビット線BLと
の間に形成される寄生容量をできるだけ低減して情報の
読み出し速度および書き込み速度を向上させるために、
その間隔がその幅よりも長くなるように形成する。ビッ
ト線BLの間隔は例えば0.24μm程度とし、その幅は
例えば0.22μm程度とする。
The bit line BL is used to reduce the parasitic capacitance formed between the bit line BL and the adjacent bit line BL as much as possible to improve the information reading speed and the writing speed.
The gap is formed so as to be longer than the width. The interval between the bit lines BL is, for example, about 0.24 μm, and the width thereof is, for example, about 0.22 μm.

【0059】次に、フォトレジスト膜41を除去した
後、図26に示すように、ビット線BLの側壁と第1層
配線38、39の側壁とにサイドウォールスペーサ43
を形成する。サイドウォールスペーサ43は、ビット線
BLおよび第1層配線38、39の上部にCVD法で窒
化シリコン膜を堆積した後、この窒化シリコン膜を異方
性エッチングして形成する。
Next, after removing the photoresist film 41, as shown in FIG. 26, sidewall spacers 43 are formed on the side walls of the bit lines BL and the side walls of the first layer wirings 38 and 39.
To form The side wall spacer 43 is formed by depositing a silicon nitride film on the bit line BL and the first layer wirings 38 and 39 by the CVD method, and then anisotropically etching the silicon nitride film.

【0060】次に、図27に示すように、ビット線BL
および第1層配線38、39の上部に膜厚300nm程度
のSOG膜44をスピン塗布する。次いで、半導体基板
1を800℃、1分程度熱処理してSOG膜44をシン
タリング(焼き締め)する。SOG膜44は、BPSG
膜に比べてリフロー性が高く、微細な配線間のギャップ
フィル性に優れているので、フォトリソグラフィの解像
限界程度まで微細化されたビット線BL同士の隙間を良
好に埋め込むことができる。また、SOG膜44は、B
PSG膜で必要とされる高温、長時間の熱処理を行わな
くとも高いリフロー性が得られるため、ビット線BLの
下層に形成されたメモリセル選択用MISFETQsの
ソース、ドレインや周辺回路のMISFET(nチャネ
ル型MISFETQn、pチャネル型MISFETQ
p)のソース、ドレインに含まれる不純物の熱拡散を抑
制して浅接合化を図ることができる。さらに、ゲート電
極14A(ワード線WL)およびゲート電極14B、1
4Cを構成するメタル(W膜)の劣化を抑制できるの
で、DRAMのメモリセルおよび周辺回路を構成するM
ISFETの高性能化を実現することができる。また、
ビット線BLおよび第1層配線38、39を構成するT
i膜、TiN膜、W膜の劣化を抑制して配線抵抗の低減
を図ることができる。
Next, as shown in FIG.
Then, an SOG film 44 having a thickness of about 300 nm is spin-coated on the first layer wirings 38 and 39. Next, the semiconductor substrate 1 is heat-treated at 800 ° C. for about 1 minute to sinter (bake) the SOG film 44. The SOG film 44 is made of BPSG
Since the reflow property is higher than that of the film and the gap fill property between fine wirings is excellent, it is possible to satisfactorily fill gaps between the bit lines BL miniaturized to the resolution limit of photolithography. The SOG film 44 is made of B
Since high reflow properties can be obtained without performing high-temperature and long-time heat treatment required for the PSG film, the MISFET (n) of the source and drain of the memory cell selection MISFET Qs formed under the bit line BL and the peripheral circuit Channel type MISFETQn, p channel type MISFETQ
Thermal diffusion of impurities contained in the source and drain of p) can be suppressed to achieve a shallow junction. Further, the gate electrode 14A (word line WL) and the gate electrodes 14B, 1
Since deterioration of the metal (W film) constituting 4C can be suppressed, the memory cells of the DRAM and the M constituting the peripheral circuit can be suppressed.
High performance of the ISFET can be realized. Also,
T forming bit line BL and first layer wirings 38 and 39
Wiring resistance can be reduced by suppressing deterioration of the i film, TiN film, and W film.

【0061】次に、図28に示すように、SOG膜44
の上部に膜厚600nm程度の酸化シリコン膜45を堆積
した後、この酸化シリコン膜45をCMP法で研磨して
その表面を平坦化する。酸化シリコン膜45は、例えば
オゾン(O3 )とテトラエトキシシラン(TEOS)と
をソースガスに用いたプラズマCVD法で堆積する。
Next, as shown in FIG.
After a silicon oxide film 45 having a thickness of about 600 nm is deposited on the upper surface of the substrate, the silicon oxide film 45 is polished by a CMP method to flatten the surface. The silicon oxide film 45 is deposited by, for example, a plasma CVD method using ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas.

【0062】このように、本実施の形態では、ビット線
BLおよび第1層配線38、39の上部に成膜直後でも
平坦性が良好なSOG膜44を塗布し、さらにその上部
に堆積した酸化シリコン膜45をCMP法で平坦化す
る。これにより、ビット線BL同士の微細な隙間のギャ
ップフィル性が向上すると共に、ビット線BLおよび第
1層配線38、39の上部の絶縁膜の平坦化を実現する
ことができる。また、高温・長時間の熱処理を行わない
ため、メモリセルおよび周辺回路を構成するMISFE
Tの特性劣化を防止して高性能化を実現することができ
ると共に、ビット線BLおよび第1層配線38、39の
低抵抗化を図ることができる。
As described above, in the present embodiment, the SOG film 44 having good flatness is applied to the bit line BL and the first layer wirings 38 and 39 immediately after the film formation, and the oxidation The silicon film 45 is planarized by the CMP method. Thereby, the gap fill property of the minute gap between the bit lines BL is improved, and the flattening of the insulating film on the bit lines BL and the first layer wirings 38 and 39 can be realized. Further, since heat treatment at a high temperature for a long time is not performed, the MISFE forming the memory cell and the peripheral circuit is not required.
It is possible to achieve high performance by preventing the characteristic deterioration of T, and to reduce the resistance of the bit line BL and the first layer wirings 38 and 39.

【0063】次に、図29に示すように、酸化シリコン
膜45の上部に膜厚100nm程度の酸化シリコン膜46
を堆積する。この酸化シリコン膜46は、CMP法で研
磨されたときに生じた前記酸化シリコン膜45の表面の
微細な傷を補修するために堆積する。酸化シリコン膜4
6は、例えばオゾン(O3 )とテトラエトキシシラン
(TEOS)とをソースガスに用いたプラズマCVD法
で堆積する。
Next, as shown in FIG. 29, a silicon oxide film 46 having a thickness of about 100 nm is formed on the silicon oxide film 45.
Is deposited. The silicon oxide film 46 is deposited to repair fine scratches on the surface of the silicon oxide film 45 generated when the silicon oxide film 45 is polished by the CMP method. Silicon oxide film 4
6 is deposited by a plasma CVD method using, for example, ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas.

【0064】次に、図30に示すように、フォトレジス
ト膜47をマスクにしたドライエッチングでコンタクト
ホール29の上部の酸化シリコン膜46、45、SOG
膜44および酸化シリコン膜31を除去してプラグ30
の表面に達するスルーホール48を形成する。このエッ
チングは、酸化シリコン膜46、45、31およびSO
G膜44に対する窒化シリコン膜のエッチングレートが
小さくなるような条件で行い、スルーホール48とビッ
ト線BLの合わせずれが生じた場合でも、ビット線BL
の上部の窒化シリコン膜40やサイドウォールスペーサ
43が深く削れないようにする。これにより、スルーホ
ール48がビット線BLに対して自己整合で形成され
る。
Next, as shown in FIG. 30, the silicon oxide films 46 and 45 over the contact holes 29 are removed by dry etching using the photoresist film 47 as a mask.
After removing the film 44 and the silicon oxide film 31, the plug 30
Is formed to reach the surface of the substrate. This etching is performed on the silicon oxide films 46, 45, 31 and SO
The etching is performed under such a condition that the etching rate of the silicon nitride film with respect to the G film 44 becomes small.
To prevent the silicon nitride film 40 and the sidewall spacers 43 on the upper portion from being etched deeply. As a result, the through hole 48 is formed in self alignment with the bit line BL.

【0065】次に、フォトレジスト膜47を除去した
後、フッ酸+フッ化アンモニウム混液などのエッチング
液を使って、スルーホール48の底部に露出したプラグ
30の表面のドライエッチング残渣やフォトレジスト残
渣などを除去する。その際、スルーホール48の側壁に
露出したSOG膜44もエッチング液に曝されるが、S
OG膜44は、前記800℃程度のシンタリングによっ
てフッ酸系のエッチング液に対するエッチングレートが
低減されているので、このウェットエッチング処理によ
ってスルーホール48の側壁が大きくアンダーカットさ
れることはない。これにより、次の工程でスルーホール
48の内部に埋め込まれるプラグとビット線BLとのシ
ョートを確実に防止することができる。また、プラグと
ビット線BLとを十分に離間させることができるので、
ビット線BLの寄生容量の増加を抑制することができ
る。
Next, after the photoresist film 47 is removed, a dry etching residue or a photoresist residue on the surface of the plug 30 exposed at the bottom of the through hole 48 is etched using an etching solution such as a mixed solution of hydrofluoric acid and ammonium fluoride. And so on. At this time, the SOG film 44 exposed on the side wall of the through hole 48 is also exposed to the etching solution.
Since the etching rate of the OG film 44 with respect to the hydrofluoric acid-based etchant is reduced by the sintering at about 800 ° C., the side wall of the through hole 48 is not largely undercut by the wet etching process. Accordingly, a short circuit between the plug buried in the through hole 48 and the bit line BL in the next step can be reliably prevented. Also, since the plug and the bit line BL can be sufficiently separated from each other,
An increase in the parasitic capacitance of the bit line BL can be suppressed.

【0066】次に、図31に示すように、スルーホール
48の内部にプラグ49を形成する。プラグ49は、酸
化シリコン膜46の上部にn型不純物(例えばP(リ
ン))をドープした多結晶シリコン膜をCVD法で堆積
した後、この多結晶シリコン膜をエッチバックしてスル
ーホール48の内部に残すことにより形成する。
Next, as shown in FIG. 31, a plug 49 is formed inside the through hole 48. The plug 49 is formed by depositing a polycrystalline silicon film doped with an n-type impurity (for example, P (phosphorus)) on the silicon oxide film 46 by a CVD method, and then etching back the polycrystalline silicon film to form a through hole 48. It is formed by leaving it inside.

【0067】次に、図32に示すように、酸化シリコン
膜46の上部に膜厚100nm程度の窒化シリコン膜51
をCVD法で堆積した後、フォトレジスト膜52をマス
クにしたドライエッチングで周辺回路領域の窒化シリコ
ン膜51を除去する。メモリアレイに残った窒化シリコ
ン膜51は、後述する情報蓄積用容量素子の下部電極を
形成する工程で下部電極の間の酸化シリコン膜をエッチ
ングする際のエッチングストッパとして使用される。
Next, as shown in FIG. 32, a silicon nitride film 51 having a thickness of about 100 nm is formed on the silicon oxide film 46.
Is deposited by the CVD method, and the silicon nitride film 51 in the peripheral circuit region is removed by dry etching using the photoresist film 52 as a mask. The silicon nitride film 51 remaining in the memory array is used as an etching stopper when etching a silicon oxide film between the lower electrodes in a step of forming a lower electrode of the information storage capacitor element described later.

【0068】次に、フォトレジスト膜52を除去した
後、図33に示すように、窒化シリコン膜51の上部
に、例えばオゾン(O3 )とテトラエトキシシラン(T
EOS)とをソースガスに用いたプラズマCVD法で膜
厚1.3μm程度の酸化シリコン膜53を堆積し、フォト
レジスト膜54をマスクにしたドライエッチングで酸化
シリコン膜53および窒化シリコン膜51を除去するこ
とにより、プラグ49を埋め込んだスルーホール48の
上部に溝55を形成する。このとき同時に、メモリアレ
イの周囲にメモリアレイを取り囲む帯状の長溝59を形
成する。図34は、溝55のパターンと長溝59のパタ
ーンとを示す半導体基板1の要部平面図である。
Next, after removing the photoresist film 52, as shown in FIG. 33, for example, ozone (O 3 ) and tetraethoxysilane (T
A silicon oxide film 53 having a thickness of about 1.3 μm is deposited by a plasma CVD method using EOS) as a source gas, and the silicon oxide film 53 and the silicon nitride film 51 are removed by dry etching using a photoresist film 54 as a mask. Thereby, a groove 55 is formed above the through hole 48 in which the plug 49 is embedded. At the same time, a strip-shaped long groove 59 surrounding the memory array is formed around the memory array. FIG. 34 is a plan view of a principal part of the semiconductor substrate 1 showing the pattern of the groove 55 and the pattern of the long groove 59. FIG.

【0069】次に、フォトレジスト膜54を除去した
後、図35に示すように、酸化シリコン膜53の上部に
n型不純物(例えばP(リン))をドープした膜厚60
nm程度の多結晶シリコン膜56をCVD法で堆積する。
この多結晶シリコン膜56は、情報蓄積用容量素子の下
部電極材料として使用される。
Next, after removing the photoresist film 54, as shown in FIG. 35, a film thickness 60 doped with an n-type impurity (for example, P (phosphorus)) is formed on the silicon oxide film 53.
A polycrystalline silicon film 56 of about nm is deposited by a CVD method.
This polycrystalline silicon film 56 is used as a lower electrode material of the information storage capacitor.

【0070】次に、図36に示すように、多結晶シリコ
ン膜56の上部に溝55および長溝59を埋め込むのに
十分な膜厚(例えば300〜400nm程度)のSOG膜
57を堆積し、次いで400℃程度の熱処理でSOG膜
57をベークした後、図37に示すように、SOG膜5
7をエッチバックして酸化シリコン膜53の上部の多結
晶シリコン膜56を露出させ、続いてこの多結晶シリコ
ン膜56をエッチバックすることにより、溝55および
長溝59の内側(内壁および底部)に多結晶シリコン膜
56を残す。このとき、溝55および長溝59の内側に
はエッチバックされなかったSOG膜57も残ってい
る。
Next, as shown in FIG. 36, an SOG film 57 having a thickness (for example, about 300 to 400 nm) sufficient to bury the groove 55 and the long groove 59 is deposited on the polycrystalline silicon film 56. After baking the SOG film 57 by a heat treatment at about 400 ° C., as shown in FIG.
7 is etched back to expose the polycrystalline silicon film 56 above the silicon oxide film 53, and then the polycrystalline silicon film 56 is etched back, so that the inside (groove and bottom) of the groove 55 and the long groove 59 is formed. The polycrystalline silicon film 56 is left. At this time, the SOG film 57 that has not been etched back also remains inside the groove 55 and the long groove 59.

【0071】次に、図38に示すように、周辺回路領域
の酸化シリコン膜53をフォトレジスト膜58で覆い、
フッ酸系のエッチング液を用いて溝55の内部のSOG
膜57と溝55の隙間の酸化シリコン膜53とをウェッ
トエッチングすることにより、情報蓄積用容量素子の下
部電極60を形成する。このとき、溝55の隙間の底部
には窒化シリコン膜51が形成されているので、この隙
間の酸化シリコン膜53が全部除去されてもその下部の
酸化シリコン膜46がエッチング液によって削られるこ
とはない。
Next, as shown in FIG. 38, the silicon oxide film 53 in the peripheral circuit region is covered with a photoresist film 58,
SOG inside groove 55 using hydrofluoric acid based etchant
The lower electrode 60 of the information storage capacitor is formed by wet-etching the film 57 and the silicon oxide film 53 in the gap between the grooves 55. At this time, since the silicon nitride film 51 is formed at the bottom of the gap of the groove 55, even if the silicon oxide film 53 in the gap is completely removed, the silicon oxide film 46 thereunder is not removed by the etching solution. Absent.

【0072】周辺回路領域の酸化シリコン膜53を覆う
上記フォトレジスト膜58の一端部は、メモリアレイと
周辺回路領域との境界部、すなわち長溝59の上部に配
置される。従って、上記のウェットエッチングを行う
と、この長溝59の内部のSOG膜57も除去される
が、長溝59の内壁の下部電極材料(多結晶シリコン膜
56)がエッチングストッパとなるので、SOG膜57
の側壁が削られることはない。また、周辺回路領域の酸
化シリコン膜53の表面はフォトレジスト膜58によっ
て覆われているので、その表面が削られることもない。
これにより、メモリアレイと周辺回路領域との段差が解
消され、併せて周辺回路領域の平坦化が実現される。
One end of the photoresist film 58 covering the silicon oxide film 53 in the peripheral circuit region is disposed at the boundary between the memory array and the peripheral circuit region, that is, above the long groove 59. Therefore, when the above-mentioned wet etching is performed, the SOG film 57 inside the long groove 59 is also removed, but the lower electrode material (polycrystalline silicon film 56) on the inner wall of the long groove 59 serves as an etching stopper.
No side wall is cut off. Further, since the surface of the silicon oxide film 53 in the peripheral circuit region is covered with the photoresist film 58, the surface is not scraped.
As a result, a step between the memory array and the peripheral circuit region is eliminated, and the peripheral circuit region is also flattened.

【0073】次に、周辺回路領域を覆うフォトレジスト
膜58を除去し、次いで下部電極60を構成する多結晶
シリコン膜(56)の酸化を防止するために、半導体基
板1をアンモニア雰囲気中、800℃程度で熱処理して
多結晶シリコン膜(56)の表面を窒化した後、図39
に示すように、下部電極60の上部に膜厚20nm程度の
Ta2 5 (酸化タンタル) 膜61をCVD法で堆積
し、次いで半導体基板1を800℃程度で熱処理してT
2 5 膜61の欠陥を修復する。このTa2 5 膜6
1は、情報蓄積用容量素子の容量絶縁膜材料として使用
される。
Next, in order to prevent the photoresist film 58 covering the peripheral circuit region from being removed and to prevent the polycrystalline silicon film (56) constituting the lower electrode 60 from being oxidized, the semiconductor substrate 1 is placed in an ammonia atmosphere at 800.degree. After nitriding the surface of the polycrystalline silicon film (56) by heat treatment at about
As shown in FIG. 2, a Ta 2 O 5 (tantalum oxide) film 61 having a thickness of about 20 nm is deposited on the lower electrode 60 by a CVD method, and then the semiconductor substrate 1 is heat-treated at about 800 ° C.
The defect of the a 2 O 5 film 61 is repaired. This Ta 2 O 5 film 6
Reference numeral 1 is used as a material for a capacitive insulating film of an information storage capacitor.

【0074】次に、図40に示すように、Ta2 5
61の上部にまずCVD法でTiN膜を50〜100nm
形成する。CVD−TiN膜は、四塩化チタン(TiC
4)とアンモニア(NH3 )との混合ガス(TiCl
4 /NH3 =1/2〜1/50)をソースガスに用い、
温度400℃〜650℃、好ましくは400〜500
℃、圧力5〜3000Pa程度の熱CVD法で堆積する。
このCVD−TiNの成膜条件によりステップカバレジ
が良好でかつ良好な容量絶縁膜の耐圧特性を得ることが
可能であるが、膜中に5%程度の塩素原子または塩素イ
オンを含む。
Next, as shown in FIG. 40, a TiN film is first formed on the Ta 2 O 5 film 61 by a CVD method to a thickness of 50 to 100 nm.
Form. The CVD-TiN film is made of titanium tetrachloride (TiC
l 4 ) and ammonia (NH 3 ) mixed gas (TiCl
4 / NH 3 = 1/2 to 1/50) as the source gas,
Temperature 400 ° C to 650 ° C, preferably 400 to 500
It is deposited by a thermal CVD method at a temperature of about 5 ° C. and a pressure of about 5 to 3000 Pa.
Although the step coverage is good and good withstand voltage characteristics of the capacitive insulating film can be obtained by the CVD-TiN film forming conditions, the film contains about 5% of chlorine atoms or chlorine ions.

【0075】CVD−TiN成膜後、さらにスパッタリ
ング法によりTiN膜を50〜100nm形成する。スパ
ッタTiN/CVD−TiNの積層構造は、CVD−T
iN膜中に含有される5%程度の塩素または塩素イオン
を上部のスパッタTiN膜でトラップする事が可能であ
り、CVD−TiN膜中の塩素起因による、この後の工
程で形成される際に用いられるAl配線の腐食を防止す
ることができる。
After forming the CVD-TiN film, a TiN film is further formed to a thickness of 50 to 100 nm by a sputtering method. The laminated structure of sputtered TiN / CVD-TiN is CVD-T
About 5% of chlorine or chlorine ions contained in the iN film can be trapped by the upper sputtered TiN film, and when formed in a subsequent process due to chlorine in the CVD-TiN film. Corrosion of the Al wiring used can be prevented.

【0076】TiN膜62を堆積した後、フォトレジス
ト膜63をマスクにしたドライエッチングでTiN膜6
2およびTa2 5 膜61をパターニングすることによ
り、TiN膜62からなる上部電極と、Ta2 5 膜6
1からなる容量絶縁膜と、多結晶シリコン膜56からな
る下部電極60とで構成される情報蓄積用容量素子Cを
形成する。これにより、メモリセル選択用MISFET
Qsとこれに直列に接続された情報蓄積用容量素子Cと
で構成されるDRAMのメモリセルが略完成する。
After the TiN film 62 is deposited, the TiN film 6 is dry-etched using the photoresist film 63 as a mask.
2 and Ta 2 O 5 film 61 are patterned to form an upper electrode made of TiN film 62 and Ta 2 O 5 film 6.
1 is formed, and an information storage capacitance element C composed of a lower electrode 60 made of a polycrystalline silicon film 56 is formed. Thereby, the MISFET for memory cell selection
A DRAM memory cell composed of Qs and an information storage capacitor C connected in series thereto is substantially completed.

【0077】次に、フォトレジスト膜63を除去した
後、図41に示すように、例えばオゾン(O3 )とテト
ラエトキシシラン(TEOS)とをソースガスに用いた
プラズマCVD法で情報蓄積用容量素子Cの上部に膜厚
100nm程度の酸化シリコン膜64を堆積し、フォトレ
ジスト膜65をマスクにしたドライエッチングで周辺回
路領域の酸化シリコン膜64、酸化シリコン膜53、酸
化シリコン膜46、45、SOG膜44および窒化シリ
コン膜40を除去することにより、第1層配線38の上
部に高アスペクト比のスルーホール66を形成する。
Next, after removing the photoresist film 63, as shown in FIG. 41, the information storage capacitor is formed by a plasma CVD method using, for example, ozone (O 3 ) and tetraethoxysilane (TEOS) as a source gas. A silicon oxide film 64 having a thickness of about 100 nm is deposited on the upper part of the element C, and the silicon oxide film 64, the silicon oxide film 53, and the silicon oxide films 46, 45 in the peripheral circuit region are dry-etched using the photoresist film 65 as a mask. By removing the SOG film 44 and the silicon nitride film 40, a through hole 66 having a high aspect ratio is formed above the first layer wiring 38.

【0078】次に、フォトレジスト膜65を除去した
後、図42に示すように、スルーホール66の内部を含
む酸化シリコン膜64の上部に膜厚5〜50nm、好まし
くは50nm程度のTiN膜71を堆積する。このTiN
膜71は、四塩化チタン(TiCl4 )とアンモニア
(NH3 )との混合ガス(TiCl4 /NH3 =1/2
〜1/50)をソースガスに用い、温度400℃〜65
0℃、好ましくは600℃以上、圧力5〜3000Pa程
度の熱CVD法で堆積する。このTiN膜71はステッ
プカバレージが良好なため、スルーホール66の底部と
開口部とで膜厚がほぼ均一となる。また、このTiN膜
71は、四塩化チタンをソースガスに用いたため、膜中
に5%程度の塩素が取り込まれる。
Next, after removing the photoresist film 65, as shown in FIG. 42, a TiN film 71 having a thickness of 5 to 50 nm, preferably about 50 nm is formed on the silicon oxide film 64 including the inside of the through hole 66. Is deposited. This TiN
The film 71 is formed of a mixed gas (TiCl 4 / NH 3 = 1/2) of titanium tetrachloride (TiCl 4 ) and ammonia (NH 3 ).
~ 1/50) as a source gas at a temperature of 400 ° C to 65 ° C.
Deposition is performed by a thermal CVD method at 0 ° C., preferably 600 ° C. or more and a pressure of about 5 to 3000 Pa. Since the TiN film 71 has good step coverage, the film thickness becomes substantially uniform between the bottom of the through hole 66 and the opening. Since titanium tetrachloride is used as the source gas in the TiN film 71, about 5% of chlorine is taken into the film.

【0079】次に、図43に示すように、TiN膜71
の上部にCVD法で膜厚500nm程度のW膜72を堆積
した後、酸化シリコン膜64の上部のW膜72およびT
iN膜71をエッチバックしてスルーホール66の内部
のみに残すことにより、TiN膜71とW膜72との積
層膜からなるプラグ73を形成する。酸化シリコン膜6
4の上部のW膜72およびTiN膜71を除去するに
は、化学的機械研磨(CMP)法を用いてもよい。
Next, as shown in FIG.
After a W film 72 having a thickness of about 500 nm is deposited on the upper surface of the silicon oxide film 64 by a CVD method,
By etching back the iN film 71 and leaving it only inside the through hole 66, a plug 73 made of a laminated film of the TiN film 71 and the W film 72 is formed. Silicon oxide film 6
In order to remove the W film 72 and the TiN film 71 on the upper part of the substrate 4, a chemical mechanical polishing (CMP) method may be used.

【0080】次に、図44に示すように、プラグ73の
表面を含む酸化シリコン膜64の上部にスパッタリング
法で膜厚50nm程度のTiN膜74を堆積する。続い
て、図45に示すように、TiN膜74の上部にスパッ
タリング法で膜厚500nm程度のAl合金膜75と膜厚
50nm程度のTi膜76とを堆積した後、フォトレジス
ト膜をマスクにしたドライエッチングでTi膜76、A
l合金膜75およびTiN膜74をパターニングして、
酸化シリコン膜64の上部に第2層配線77、78を形
成する。
Next, as shown in FIG. 44, a TiN film 74 having a thickness of about 50 nm is deposited on the silicon oxide film 64 including the surface of the plug 73 by a sputtering method. Subsequently, as shown in FIG. 45, an Al alloy film 75 having a thickness of about 500 nm and a Ti film 76 having a thickness of about 50 nm were deposited on the TiN film 74 by a sputtering method, and the photoresist film was used as a mask. Ti film 76, A by dry etching
l alloy film 75 and TiN film 74 are patterned,
Second layer wirings 77 and 78 are formed on the silicon oxide film 64.

【0081】このようにして形成された第2層配線7
7、78は、プラグ73の一部を構成する(CVD法で
堆積した)TiN膜71と、第2層配線77、78の一
部を構成するAl合金膜75とがスパッタリング法で堆
積したTiN膜74によって隔てられているので、CV
D法で堆積したTiN膜71中に含まれる塩素による第
2層配線77、78の腐食を防止することができる。
The second-layer wiring 7 thus formed
Reference numerals 7 and 78 denote TiN films in which a TiN film 71 constituting a part of the plug 73 (deposited by the CVD method) and an Al alloy film 75 constituting a part of the second layer wirings 77 and 78 are deposited by the sputtering method. CV because it is separated by the membrane 74
Corrosion of the second layer wirings 77 and 78 due to chlorine contained in the TiN film 71 deposited by the method D can be prevented.

【0082】上記のスパッタTiN膜74の代わりにス
パッタTi膜を30nm程度成膜した後、スパッタTiN
膜を30nm程度成膜した場合でも、CVD法で堆積した
TiN膜71中に含まれる塩素による第2層配線77、
78の腐食を防止することができる。スパッタTi膜は
塩素をトラップする効果がスパッタTiNよりも優れて
いる。
After forming a sputtered Ti film of about 30 nm instead of the sputtered TiN film 74, the sputtered TiN film
Even when the film is formed to a thickness of about 30 nm, the second layer wiring 77 due to chlorine contained in the TiN film 71 deposited by the CVD method,
78 can be prevented. The sputtered Ti film has a better effect of trapping chlorine than sputtered TiN.

【0083】また、本実施の形態ではスパッタ窒化チタ
ン膜74を用いたが、スパッタチタン膜、タンタル膜、
窒化タンタル膜などを用いてもよい。
In this embodiment, the sputtered titanium nitride film 74 is used, but the sputtered titanium film, the tantalum film,
A tantalum nitride film or the like may be used.

【0084】本発明は、CVD−TiN膜を含むプラグ
を埋め込んだスルーホールの上部にAl膜を含む導電膜
で配線を形成するプロセスに適用することができる。
The present invention can be applied to a process of forming a wiring with a conductive film containing an Al film above a through hole in which a plug containing a CVD-TiN film is buried.

【0085】(実施の形態2)本実施の形態は、蓄積容
量部の一方の電極にハロゲン元素を含有する窒化チタン
膜を用いたデバイスの実施の形態である。
(Embodiment 2) This embodiment is an embodiment of a device using a titanium nitride film containing a halogen element for one electrode of a storage capacitor portion.

【0086】図46に蓄積容量部の断面図を示す。キャ
パシタの一方の多結晶シリコン膜からなる下部電極10
1と酸化タンタル膜からなる容量絶縁膜102とを前記
実施の形態1と同様の方法により形成する。なお、容量
絶縁膜102は、本実施の形態では酸化タンタル膜を用
いたが、BSTやPZTのような強誘電体膜を用いても
よい。その後、原料ガスとして四塩化チタンとアンモニ
アとの混合ガスをソースガスとして用い、CVD法によ
り膜厚50nmから100nmのTiN膜を堆積して上部電
極103を形成する。このTiN膜は約500℃で形成
され、約4%の塩素を含んでいる。上部電極103にT
iN膜を用いると、従来の多結晶シリコン膜に比べてよ
り低温度で成膜できる利点がある。続いて、塩素含有T
iN膜からなる上部電極103上に、例えばスパッタ法
により膜厚約30nmのTi膜104を堆積して塩素のト
ラップ層を形成する。
FIG. 46 is a sectional view of the storage capacitor portion. Lower electrode 10 made of one polycrystalline silicon film of a capacitor
1 and a capacitor insulating film 102 made of a tantalum oxide film are formed by the same method as in the first embodiment. Although a tantalum oxide film is used as the capacitor insulating film 102 in this embodiment, a ferroelectric film such as BST or PZT may be used. Thereafter, using a mixed gas of titanium tetrachloride and ammonia as a source gas as a source gas, a TiN film having a thickness of 50 nm to 100 nm is deposited by a CVD method to form the upper electrode 103. This TiN film is formed at about 500 ° C. and contains about 4% chlorine. T for the upper electrode 103
The use of the iN film has an advantage that the film can be formed at a lower temperature than the conventional polycrystalline silicon film. Subsequently, chlorine-containing T
An about 30 nm-thick Ti film 104 is deposited on the upper electrode 103 made of the iN film by, for example, a sputtering method to form a chlorine trap layer.

【0087】このように、上部電極103を構成するT
iN膜は多くの塩素を含有しているにも関わらず、その
上部に塩素のトラップ層としてTi膜104を形成する
ことにより、情報蓄積用容量素子Cの周辺の配線層の腐
食を効果的に防止することが可能となる。
As described above, the T forming the upper electrode 103
Despite the fact that the iN film contains a large amount of chlorine, by forming the Ti film 104 as a chlorine trapping layer on the iN film, corrosion of the wiring layer around the information storage capacitance element C can be effectively prevented. This can be prevented.

【0088】(実施の形態3)前記実施の形態2のスパ
ッタTi膜104の上に、さらにスパッタ法によりTi
N膜105を堆積してトラップ層を形成した例を図47
を用いて説明する。酸化タンタル膜からなる容量絶縁膜
102を形成する工程までは、前記実施の形態1、2と
同様である。その後、スパッタ法により膜厚40nmのT
i膜104を形成し、その上部に窒素ガスを流した反応
性スパッタにより膜厚60nmのTiN膜105を形成す
る。
(Embodiment 3) On the sputtered Ti film 104 of Embodiment 2 described above,
FIG. 47 shows an example in which an N film 105 is deposited to form a trap layer.
This will be described with reference to FIG. The steps up to the step of forming the capacitance insulating film 102 made of a tantalum oxide film are the same as those in the first and second embodiments. Then, a 40 nm-thick T
An i film 104 is formed, and a TiN film 105 having a thickness of 60 nm is formed on the i film 104 by reactive sputtering in which a nitrogen gas is flown.

【0089】このように、スパッタ法によるTi膜10
4とスパッタ法によるTiN膜105との積層膜で塩素
のトラップ層を形成することで、塩素をほぼ完全にトラ
ップすることができ、情報蓄積用容量素子Cの周辺の配
線層の腐食をさらに効果的に防止することが可能とな
る。
As described above, the Ti film 10 formed by the sputtering method
4 and a TiN film 105 formed by sputtering to form a chlorine trapping layer, chlorine can be almost completely trapped, and the corrosion of the wiring layer around the information storage capacitor C is further reduced. Can be prevented.

【0090】また、本実施の形態では、スパッタ法によ
るTi膜104を40nm、TiN膜105を60nmの膜
厚で形成したが、Ti膜104を30〜50nm、TiN
膜105を50〜100nm形成してトラップ層としても
よい。
In this embodiment, the Ti film 104 is formed to a thickness of 40 nm and the TiN film 105 is formed to a thickness of 60 nm by the sputtering method.
The film 105 may be formed to a thickness of 50 to 100 nm to serve as a trap layer.

【0091】なお、本実施の形態では、Ti膜104と
TiN膜105とを積層してトラップ層を形成したが、
例えばタンタル膜と窒化タンタル膜(TaN)とを積層
してトラップ層としてもよい。この場合もタンタル膜を
形成し、途中から窒素ガスを流して成膜を続け、窒化タ
ンタル膜を形成する。このように、トラップ層を積層膜
として用いる場合は、TiN膜(上層)/Ti膜(下
層)の積層膜、あるいは窒化タンタル膜(上層)/タン
タル膜(下層)の積層膜のような、主要な構成元素を共
通とする膜を用いると、成膜やエッチングなどのパター
ン形成を容易に行うことができる。
In this embodiment, the trap layer is formed by laminating the Ti film 104 and the TiN film 105.
For example, a trap layer may be formed by stacking a tantalum film and a tantalum nitride film (TaN). Also in this case, a tantalum film is formed, and a nitrogen gas is flowed from the middle to continue film formation, thereby forming a tantalum nitride film. As described above, when the trap layer is used as a laminated film, the trapping layer may be made of a laminated film of TiN film (upper layer) / Ti film (lower layer) or a laminated film of tantalum nitride film (upper layer) / tantalum film (lower layer). When a film having common constituent elements is used, pattern formation such as film formation and etching can be easily performed.

【0092】(実施の形態4)情報蓄積用容量素子Cの
上部電極103としてハロゲン元素含有のTiN膜を用
い、その上部と側壁部とをトラップ膜で被覆した例を図
48を用いて説明する。
(Embodiment 4) An example in which a TiN film containing a halogen element is used as the upper electrode 103 of the information storage capacitive element C and the upper part and the side wall are covered with a trap film will be described with reference to FIG. .

【0093】まず、前記実施の形態2と同様に、多結晶
シリコン膜からなる下部電極101と、酸化タンタル膜
からなる容量絶縁膜102と、ハロゲン元素含有のTi
N膜からなる上部電極103とで情報蓄積用容量素子C
を形成する。その後、上部電極103の上部から側部に
かけて、ハロゲンのトラップ層106を選択CVD法を
用いて形成した。このように選択CVD法を用いること
により、ハロゲン元素含有TiN膜の上部のみならず、
側壁部にもトラップ層106を形成することができる。
First, as in the second embodiment, a lower electrode 101 made of a polycrystalline silicon film, a capacitance insulating film 102 made of a tantalum oxide film, and a halogen element-containing Ti
The information storage capacitor C is formed by the upper electrode 103 made of an N film.
To form Thereafter, a halogen trap layer 106 was formed from the upper portion to the side portion of the upper electrode 103 by using a selective CVD method. By using the selective CVD method as described above, not only the upper portion of the halogen element-containing TiN film but also the
The trap layer 106 can also be formed on the side wall.

【0094】なお、上記の選択CVD法による他、以下
に示す方法によって、窒化チタン膜(上部電極103)
を覆うトラップ膜106を設けてもよい。まず、図49
に示すように、上部電極103の上にTDMAT(テト
ラキシジメチルアミノチタン)を原料ガスとしたCVD
法により、TiN膜106aを形成する。続いて、図5
0に示すように、上部電極103の上面および側壁を覆
うフォトレジスト膜111ををマスクとしてTiN膜1
06aをパターニングすることにより、トラップ層10
6を形成する。なお、有機ソースとして、TDMATの
他にTDEAT(テトラキシジエチルアミノチタン)の
ような原料ガスを用いてもよい。
The titanium nitride film (upper electrode 103) is formed by the following method in addition to the above-described selective CVD method.
May be provided. First, FIG.
As shown in FIG. 3, CVD using TDMAT (tetraxydimethylaminotitanium) as a source gas is formed on the upper electrode 103.
A TiN film 106a is formed by a method. Subsequently, FIG.
0, the TiN film 1 is formed using the photoresist film 111 covering the upper surface and the side walls of the upper electrode 103 as a mask.
06a is patterned to form the trap layer 10.
6 is formed. Note that a source gas such as TDEAT (tetraxydiethylaminotitanium) may be used as the organic source in addition to TDMAT.

【0095】このように、上部電極103の上面だけで
なく、側壁にもトラップ層106を形成することによ
り、TiN膜(上部電極103)側部からのハロゲンの
拡散を防止することができ、情報蓄積用容量素子Cの周
辺の配線層の腐食をより効果的に防止することが可能と
なる。
As described above, by forming the trap layer 106 not only on the upper surface of the upper electrode 103 but also on the side walls, diffusion of halogen from the side of the TiN film (upper electrode 103) can be prevented, and information can be prevented. Corrosion of the wiring layer around the storage capacitance element C can be more effectively prevented.

【0096】また、上記トラップ層106として、スパ
ッタ法によるTi膜またはTiN膜、タンタルまたは窒
化タンタル膜、ハロゲンを構成元素として含まない原料
ガスを用いたCVD法によるTi膜またはTiN膜、タ
ンタルまたは窒化タンタル膜のいずれか、またはこれら
の積層膜を用いてもよい。
As the trap layer 106, a Ti film or a TiN film formed by a sputtering method, a tantalum or tantalum nitride film, a Ti film formed by a CVD method using a source gas containing no halogen as a constituent element, a tantalum film or a nitride film. Any of tantalum films or a stacked film of these may be used.

【0097】(実施の形態5)情報蓄積用容量素子の上
部電極を構成するハロゲン含有CVD−TiN膜中のハ
ロゲン元素を除去する方法を図51のプロセスフロー図
を用いて説明する。
(Embodiment 5) A method of removing a halogen element in a halogen-containing CVD-TiN film constituting an upper electrode of an information storage capacitor element will be described with reference to a process flow chart of FIG.

【0098】まず、下部電極上に酸化タンタル膜からな
る容量絶縁膜を成膜し、この容量絶縁膜上にTiCl4
およびNH3 をソースガスとしてCVD法により450
℃でTiN膜を形成する。このTiN膜中には、約5%
の塩素が含まれている。
First, a capacitor insulating film made of a tantalum oxide film is formed on the lower electrode, and TiCl 4 is formed on the capacitor insulating film.
And NH 3 as a source gas by the CVD method.
A TiN film is formed at a temperature of ° C. In this TiN film, about 5%
Contains chlorine.

【0099】次に、約500℃で、窒素雰囲気中で15
秒以上、好ましくは約10分間加熱処理を行う。この加
熱処理は、TiN膜の成膜に使った装置内で行い、Ti
N膜を大気に晒すことなく連続して行う。なお、この加
熱処理は、上記CVD−TiN膜の成膜温度より高いア
ニール温度(400〜800℃、好ましくは500℃以
上、650℃以下)で行うと、TiN膜中または表面の
塩素をより有効に除去することができる。なお、加熱処
理はRTA(Rapid Thermal Anneal)方式などにより行
ってもよい。また、加熱処理は、TiN膜の成膜に使っ
た装置とは別の装置を使って行ってもよい。同一の装置
で行えば、成膜後大気に晒すことなくアニールできるた
め、表面酸化を防げる効果が有り、一方、異なった装置
またチャンバで行えば、生産性(処理能力)が向上す
る。
Next, at about 500.degree.
The heat treatment is performed for at least seconds, preferably for about 10 minutes. This heat treatment is performed in the apparatus used for forming the TiN film,
This is performed continuously without exposing the N film to the atmosphere. When this heat treatment is performed at an annealing temperature (400 to 800 ° C., preferably 500 ° C. or more and 650 ° C. or less) higher than the film forming temperature of the CVD-TiN film, chlorine in the TiN film or on the surface is more effectively used. Can be removed. Note that the heat treatment may be performed by an RTA (Rapid Thermal Anneal) method or the like. Further, the heat treatment may be performed using an apparatus different from the apparatus used for forming the TiN film. If the same apparatus is used, annealing can be performed without exposing the film to the atmosphere after film formation, so that there is an effect of preventing surface oxidation. On the other hand, if a different apparatus or chamber is used, productivity (processing capacity) is improved.

【0100】また、アニールは、上記N2 の他、Ar、
Heなどの不活性ガス雰囲気で行うことができる。ただ
し、不活性ガスの他にH2 、NH3 などの還元性を有す
るガスを使用することも可能であるが、還元性ガスはC
VD−TiN膜中の塩素除去効果が大きい反面、容量絶
縁膜の耐圧性を劣化させるので注意が必要である。
The annealing is performed in addition to the above N 2 , Ar,
It can be performed in an atmosphere of an inert gas such as He. However, it is possible to use a reducing gas such as H 2 and NH 3 in addition to the inert gas.
Although the effect of removing chlorine in the VD-TiN film is large, it is necessary to pay attention to the deterioration of the withstand voltage of the capacitive insulating film.

【0101】その後、膜表面に堆積したNH4 Clなど
の塩化物を、純水にて洗浄除去する。純水洗浄方法とし
ては、回転するウエハ表面に純水を供給する。場合によ
っては、純水に超音波振動を印加したり、ナイロンなど
のブラシを併用したりしてもよい。
Thereafter, chlorides such as NH 4 Cl deposited on the film surface are removed by washing with pure water. As a pure water cleaning method, pure water is supplied to a rotating wafer surface. In some cases, ultrasonic vibration may be applied to pure water, or a brush such as nylon may be used in combination.

【0102】洗浄後、100〜150℃のホットプレー
トにてウエハを乾燥させる。なお、この純水洗浄の他
に、1〜10%のNH3 を含む水溶液を用いてもよい。
また、アニール処理だけでも膜中の塩素を飛ばす効果が
有るため、洗浄工程は必ずしも必須ではないが、洗浄を
行った方が表面に付着した塩化物を除去できるため、腐
食防止効果が高い。この後、酸化タンタル膜およびTi
N膜をパターニングして情報蓄積用容量素子を形成す
る。
After the cleaning, the wafer is dried on a hot plate at 100 to 150 ° C. In addition to the pure water cleaning, an aqueous solution containing 1 to 10% NH 3 may be used.
The cleaning step is not necessarily required because the annealing treatment alone has an effect of removing chlorine in the film. However, cleaning can remove chlorides adhering to the surface, so that the effect of preventing corrosion is high. Thereafter, a tantalum oxide film and Ti
The N film is patterned to form an information storage capacitor.

【0103】これらの工程により、TiN膜中の塩素を
効果的に除去することができ、この工程を用いれば、前
述のトラップ層を設ける必要がない。ただし、本実施の
形態のように塩素除去を行う工程に、さらに前述のトラ
ップ層を設ける手段を併用すると、ほぼ完全に塩素の拡
散を防止することができる。
By these steps, chlorine in the TiN film can be effectively removed, and if this step is used, it is not necessary to provide the above-described trap layer. However, when the above-described means for providing a trap layer is used in combination with the step of removing chlorine as in the present embodiment, diffusion of chlorine can be almost completely prevented.

【0104】(実施の形態6)高密度プラズマCVD法
で堆積した酸化シリコン膜を層間絶縁膜に使用すること
によって、Al配線腐食を防止する方法を図52および
図53を用いて説明する。
(Embodiment 6) A method for preventing corrosion of Al wiring by using a silicon oxide film deposited by a high-density plasma CVD method as an interlayer insulating film will be described with reference to FIGS. 52 and 53. FIG.

【0105】前述の実施の形態2と同様の方法で、多結
晶シリコン膜からなる下部電極101の上部に酸化タン
タル膜からなる容量絶縁膜102を形成し、さらにその
上部に上部電極103の材料としてTiCl4 を用いた
CVD−TiN膜を100nm程度を成膜した後、これら
の膜をパターニングして情報蓄積用容量素子を形成す
る。
In the same manner as in the second embodiment, a capacitor insulating film 102 made of a tantalum oxide film is formed on a lower electrode 101 made of a polycrystalline silicon film. After forming a CVD-TiN film using TiCl 4 to a thickness of about 100 nm, these films are patterned to form an information storage capacitor.

【0106】次に、上部電極103の上部に塩素のトラ
ップ層として、スパッタ法により膜厚30nmのTiN膜
105を形成する。続いて、ECRプラズマCVD装置
を用い、SiH4 /O2 /Arの混合ガスを導入してプ
ラズマ化し、さらに基板を設置する試料台に13.56M
Hzの高周波を印加し、プラズマ中のArイオンを引き
込んで、高密度プラズマCVD法により酸化シリコン膜
107を形成する。このようにして、水に対するブロッ
キング性のある酸化シリコン膜107を400nm程度成
膜する(図52)。なお、プラズマCVD装置は、EC
R型の他、ヘリカル方式またはヘリコン方式などを用い
てもよい。なお、本明細書で高密度とは、プラズマ中の
イオンの数が1×1010(個/cm3 )以上であること
をいう。ただし、1×1012(個/cm3 )以上の高密
度プラズマCVD法を用いることが好ましい。
Next, a 30 nm-thick TiN film 105 is formed as a chlorine trap layer on the upper electrode 103 by a sputtering method. Subsequently, using an ECR plasma CVD apparatus, a mixed gas of SiH 4 / O 2 / Ar was introduced to form a plasma, and 13.56M was placed on a sample stage on which a substrate was placed.
A high frequency of Hz is applied to draw Ar ions in the plasma, and the silicon oxide film 107 is formed by a high-density plasma CVD method. Thus, a silicon oxide film 107 having a water blocking property is formed to a thickness of about 400 nm (FIG. 52). In addition, the plasma CVD apparatus is EC
In addition to the R type, a helical type or a helicon type may be used. Note that in this specification, high density means that the number of ions in the plasma is 1 × 10 10 (pieces / cm 3 ) or more. However, it is preferable to use a high-density plasma CVD method of 1 × 10 12 (pieces / cm 3 ) or more.

【0107】その後、CMP法により酸化シリコン膜1
07を300nm程度除去し、情報蓄積用容量素子の上に
膜厚100nm程度の酸化シリコン膜107を残す(図5
3)。この膜は、前記図41に示す酸化シリコン膜64
に相当する。
After that, the silicon oxide film 1 is formed by the CMP method.
07 is removed by about 300 nm, and a silicon oxide film 107 having a thickness of about 100 nm is left on the information storage capacitor (FIG. 5).
3). This film is a silicon oxide film 64 shown in FIG.
Is equivalent to

【0108】TiN膜中の塩素は、何らかの工程で侵入
する水と接触すると、周囲の配線層を腐食しやすくなる
が、水をブロッキングする効果の高い高密度プラズマ絶
縁膜(酸化シリコン膜107)を塩素含有TiN膜上に
形成することによって、TiN膜中への水の侵入を防止
して、周囲の配線層の腐食を防止することができる。こ
の水は、塩素含有TiN膜の上層に、例えば無機のスピ
ンオングラス(SOG)膜を塗布した後のスチームベー
クやエッチング後の洗浄などによって生じる。従って、
無機SOG膜と塩素含有TiN膜との間に高密度プラズ
マ酸化シリコン膜があれば、TiN膜への水の侵入を防
ぎ、腐食防食の効果が得られる。
When chlorine in the TiN film comes into contact with water that enters in some process, the surrounding wiring layer is easily corroded, but the high density plasma insulating film (silicon oxide film 107) having a high water blocking effect is formed. By forming on the chlorine-containing TiN film, it is possible to prevent water from entering the TiN film and to prevent corrosion of the surrounding wiring layer. This water is generated by, for example, steam baking after applying an inorganic spin-on-glass (SOG) film on the upper layer of the chlorine-containing TiN film, or washing after etching. Therefore,
If there is a high-density plasma silicon oxide film between the inorganic SOG film and the chlorine-containing TiN film, the penetration of water into the TiN film can be prevented, and the effect of corrosion prevention can be obtained.

【0109】また、高密度プラズマ酸化シリコン膜は、
無機SOG膜とハロゲン含有TiN膜との間に存在すれ
ばよく、本実施の形態の高密度プラズマCVD−酸化シ
リコン膜107上に直接無機SOG膜108を形成する
場合(図54)や、高密度プラズマCVD−酸化シリコ
ン膜107上に配線層109を形成し、その後無機SO
G膜110を形成する場合(図55)の何れでもよい。
なお、本実施の形態では、情報蓄積用容量素子の上部電
極にTiN膜を適用した例を示したが、情報蓄積用容量
素子に限らず配線層の一部に適用した場合は、ハロゲン
元素含有TiN膜上に高密度プラズマ絶縁膜を形成する
ことで、前記同様の水に対するブロッキング効果が得ら
れる。
The high-density plasma silicon oxide film is
It is sufficient that the inorganic SOG film is present between the inorganic SOG film and the halogen-containing TiN film, and when the inorganic SOG film 108 is directly formed on the high-density plasma CVD-silicon oxide film 107 of this embodiment (FIG. 54), Wiring layer 109 is formed on plasma CVD-silicon oxide film 107, and then inorganic SO
The case where the G film 110 is formed (FIG. 55) may be used.
In this embodiment, an example in which the TiN film is applied to the upper electrode of the information storage capacitor is shown. By forming the high-density plasma insulating film on the TiN film, the same water blocking effect as described above can be obtained.

【0110】また、本実施の形態では、水に対するブロ
ッキング効果の高い膜として高密度プラズマCVD絶縁
膜を用いたが、これに限らず有機SOG膜を利用するこ
とも可能である。ただし、有機SOG膜は高密度プラズ
マCVD絶縁膜に比べれば水へのブロッキング効果は高
くない。
In this embodiment, a high-density plasma CVD insulating film is used as a film having a high blocking effect against water. However, the present invention is not limited to this, and an organic SOG film can be used. However, the organic SOG film has a less blocking effect on water than the high-density plasma CVD insulating film.

【0111】また、上記実施の形態では、TiN膜中の
ハロゲンがその上の配線層に拡散する例を示したが、そ
の下の配線層の腐食を防止する場合にも、TiN膜の下
にトラップ膜を形成することで、その目的が達成され
る。例えば、腐食性が高い銅膜上にトラップ膜としてタ
ンタルまたは窒化タンタル膜を形成し、その上に絶縁
膜、さらにその上にハロゲン含有TiN膜が設けられた
構造では、上方からのハロゲンをタンタルまたは窒化タ
ンタル膜でトラップすることができ、下層の銅膜の腐食
防食を達成できる。
Further, in the above-described embodiment, an example has been described in which the halogen in the TiN film diffuses into the wiring layer thereabove. The purpose is achieved by forming a trap film. For example, in a structure in which a tantalum or tantalum nitride film is formed as a trap film on a highly corrosive copper film, an insulating film is further formed thereon, and a halogen-containing TiN film is further provided thereon, the halogen from above is tantalum or It can be trapped by the tantalum nitride film, and the corrosion protection of the underlying copper film can be achieved.

【0112】さらに、上記実施の形態では、酸化タンタ
ルを容量絶縁膜として用いたが、これ以外にも、例えば
BaSrTiO3 、SrTiO3 、BaTiO3 、PZ
T、B(ホウ素)あるいはF(フッ素)をドープしたZ
nOなどを適用することができ、また、DRAMの他、
不揮発性メモリなどに適用することもできる。
Further, in the above embodiment, tantalum oxide is used as the capacitance insulating film. However, other than this, for example, BaSrTiO 3 , SrTiO 3 , BaTiO 3 , PZ
Z doped with T, B (boron) or F (fluorine)
nO or the like can be applied.
The present invention can be applied to a nonvolatile memory and the like.

【0113】以上、本発明者によってなされた発明を発
明の実施の形態に基づき具体的に説明したが、本発明は
前記実施の形態に限定されるものではなく、その要旨を
逸脱しない範囲で種々変更可能であることは言うまでも
ない。
Although the invention made by the inventor has been specifically described based on the embodiments of the present invention, the present invention is not limited to the above-described embodiments, and various modifications may be made without departing from the scope of the invention. Needless to say, it can be changed.

【0114】[0114]

【発明の効果】本願において開示される発明のうち、代
表的なものによって得られる効果を簡単に説明すれば以
下のとおりである。
The effects obtained by typical ones of the inventions disclosed in the present application will be briefly described as follows.

【0115】本発明によれば、CVD−TiN膜を含む
プラグを埋め込んだスルーホールの上部に形成されるA
l配線の腐食を確実に防止することができるので、特に
高アスペクト比のスルーホールを有するLSIの信頼
性、製造歩留まりを向上させることができる。
According to the present invention, the A formed on the through hole in which the plug including the CVD-TiN film is embedded is formed.
Since the corrosion of the l-wiring can be reliably prevented, the reliability and manufacturing yield of an LSI having through holes with a high aspect ratio can be particularly improved.

【0116】また、本発明によれば、CVD−TiN膜
からなる電極を有する容量素子の上層にAl配線を形成
する場合の配線腐食を防止することが可能となるので、
容量絶縁膜の耐圧を劣化することなく、かつAl配線の
信頼性、製造歩留まりを向上させることができる。
Further, according to the present invention, it is possible to prevent wiring corrosion when forming an Al wiring on a capacitor element having an electrode made of a CVD-TiN film.
The reliability of the Al wiring and the production yield can be improved without deteriorating the breakdown voltage of the capacitor insulating film.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の一実施の形態であるDRAMを形成し
た半導体チップの全体平面図である。
FIG. 1 is an overall plan view of a semiconductor chip on which a DRAM according to an embodiment of the present invention is formed.

【図2】本発明の一実施の形態であるDRAMのなど価
回路図である。
FIG. 2 is an equivalent circuit diagram of a DRAM according to an embodiment of the present invention.

【図3】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 3 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図4】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 4 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図5】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 5 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図6】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 6 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図7】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 7 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図8】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 8 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図9】本発明の一実施の形態であるDRAMの製造方
法を示す半導体基板の要部断面図である。
FIG. 9 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図10】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 10 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図11】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 11 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図12】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 12 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図13】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 13 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図14】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 14 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図15】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 15 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図16】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 16 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図17】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 17 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図18】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 18 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図19】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 19 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図20】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 20 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図21】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 21 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図22】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 22 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図23】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 23 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図24】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 24 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図25】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 25 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図26】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 26 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図27】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 27 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図28】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 28 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図29】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 29 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図30】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 30 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図31】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 31 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図32】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 32 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図33】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 33 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図34】溝のパターンと長溝のパターンとを示す半導
体基板の要部平面図である。
FIG. 34 is a plan view of a principal part of the semiconductor substrate showing a groove pattern and a long groove pattern.

【図35】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 35 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図36】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 36 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図37】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 37 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図38】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 38 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図39】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 39 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図40】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 40 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図41】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 41 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図42】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 42 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図43】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 43 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図44】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 44 is a fragmentary cross-sectional view of the semiconductor substrate, illustrating the method for manufacturing the DRAM according to one embodiment of the present invention;

【図45】本発明の一実施の形態であるDRAMの製造
方法を示す半導体基板の要部断面図である。
FIG. 45 is an essential part cross sectional view of the semiconductor substrate, illustrating the method of manufacturing the DRAM according to one embodiment of the present invention;

【図46】本発明の他の実施の形態であるDRAMの蓄
積容量部の断面を示す図である。
FIG. 46 is a diagram showing a cross section of a storage capacitor section of a DRAM according to another embodiment of the present invention.

【図47】本発明の他の実施の形態であるDRAMの蓄
積容量部の断面を示す図である。
FIG. 47 is a diagram showing a cross section of a storage capacitor section of a DRAM according to another embodiment of the present invention.

【図48】本発明の他の実施の形態であるDRAMの蓄
積容量部の断面を示す図である。
FIG. 48 is a diagram showing a cross section of a storage capacitor section of a DRAM according to another embodiment of the present invention.

【図49】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 49 is a cross-sectional view showing a storage capacitor of a DRAM according to another embodiment of the present invention.

【図50】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 50 is a cross-sectional view showing a storage capacitor part of a DRAM according to another embodiment of the present invention.

【図51】窒化チタン膜中の塩素を除去するプロセスを
示すフロー図である。
FIG. 51 is a flowchart showing a process for removing chlorine in a titanium nitride film.

【図52】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 52 is a cross-sectional view showing a storage capacitor portion of a DRAM according to another embodiment of the present invention.

【図53】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 53 is a cross-sectional view showing a storage capacitor of a DRAM according to another embodiment of the present invention.

【図54】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 54 is a cross-sectional view showing a storage capacitor portion of a DRAM according to another embodiment of the present invention.

【図55】本発明の他の実施の形態であるDRAMの蓄
積容量部を示す断面図である。
FIG. 55 is a cross-sectional view showing a storage capacitor of a DRAM according to another embodiment of the present invention.

【図56】CVD法による窒化チタン膜の成膜温度と窒
化チタン膜中の塩素濃度との関係を示すグラフである。
FIG. 56 is a graph showing a relationship between a deposition temperature of a titanium nitride film by a CVD method and a chlorine concentration in the titanium nitride film.

【符号の説明】[Explanation of symbols]

1 半導体基板 1A 半導体チップ 2 酸化シリコン膜 3 窒化シリコン膜 4 フォトレジスト膜 5 素子分離溝 5a 溝 6 酸化シリコン膜 7 酸化シリコン膜 8 窒化シリコン膜 9 フォトレジスト膜 10 n型半導体領域 11 p型ウエル 12 n型ウエル 13 ゲート酸化膜 14A〜14C ゲート電極 15 窒化シリコン膜 16 フォトレジスト膜 17 p- 型半導体領域 18 n- 型半導体領域 19 n型半導体領域 20 窒化シリコン膜 20a サイドウォールスペーサ 21 フォトレジスト膜 22 p+ 型半導体領域 23 n+ 型半導体領域 24 SOG膜 24a、24b SOG膜 25 酸化シリコン膜 26 酸化シリコン膜 27 フォトレジスト膜 28 コンタクトホール 29 コンタクトホール 30 プラグ 31 酸化シリコン膜 32 フォトレジスト膜 33 フォトレジスト膜 34〜37 コンタクトホール 38、39 第1層配線 40 窒化シリコン膜 41 フォトレジスト膜 42 TiSi2 層 43 サイドウォールスペーサ 44 SOG膜 45 酸化シリコン膜 46 酸化シリコン膜 47 フォトレジスト膜 48 スルーホール 49 プラグ 51 窒化シリコン膜 52 フォトレジスト膜 53 酸化シリコン膜 54 フォトレジスト膜 55 溝 56 多結晶シリコン膜 57 SOG膜 58 フォトレジスト膜 59 長溝 60 下部電極 61 Ta2 5 ( 酸化タンタル) 膜 62 TiN膜(上部電極) 63 フォトレジスト膜 64 酸化シリコン膜 65 フォトレジスト膜 66 スルーホール 67 プラグ 71 TiN膜 72 W膜 73 プラグ 74 TiN膜 75 Al合金膜 76 Ti膜 77、78 第2層配線 101 下部電極 102 容量絶縁膜 103 上部電極 104 Ti膜 105 TiN膜 106 トラップ層 106a TiN膜 107 酸化シリコン膜 108 無機SOG膜 110 無機SOG膜 111 フォトレジスト膜 BL ビット線 C 情報蓄積用容量素子 MARY メモリアレイ Qn nチャネル型MISFET Qp pチャネル型MISFET Qs メモリセル選択用MISFET SA センスアンプ WD ワードドライバREFERENCE SIGNS LIST 1 semiconductor substrate 1A semiconductor chip 2 silicon oxide film 3 silicon nitride film 4 photoresist film 5 element isolation groove 5a groove 6 silicon oxide film 7 silicon oxide film 8 silicon nitride film 9 photoresist film 10 n-type semiconductor region 11 p-type well 12 n-type well 13 gate oxide film 14A to 14C gate electrode 15 silicon nitride film 16 photoresist film 17 p - type semiconductor region 18 n - type semiconductor region 19 n-type semiconductor region 20 silicon nitride film 20a sidewall spacer 21 photoresist film 22 p + type semiconductor region 23 n + type semiconductor region 24 SOG film 24 a, 24 b SOG film 25 silicon oxide film 26 silicon oxide film 27 photoresist film 28 contact hole 29 contact hole 30 plug 31 silicon oxide film 32 photoresist Strike film 33 photoresist film 34-37 contact hole 38, 39 first layer wiring 40 silicon nitride film 41 photoresist film 42 TiSi 2 layer 43 sidewall spacer 44 SOG film 45 silicon oxide film 46 silicon oxide film 47 photoresist film 48 Through hole 49 Plug 51 Silicon nitride film 52 Photoresist film 53 Silicon oxide film 54 Photoresist film 55 Groove 56 Polycrystalline silicon film 57 SOG film 58 Photoresist film 59 Long groove 60 Lower electrode 61 Ta 2 O 5 (tantalum oxide) film 62 TiN film (upper electrode) 63 photoresist film 64 silicon oxide film 65 photoresist film 66 through hole 67 plug 71 TiN film 72 W film 73 plug 74 TiN film 75 Al alloy film 76 Ti film 77, 78 Layer wiring 101 Lower electrode 102 Capacitive insulating film 103 Upper electrode 104 Ti film 105 TiN film 106 Trap layer 106 a TiN film 107 Silicon oxide film 108 Inorganic SOG film 110 Inorganic SOG film 111 Photoresist film BL Bit line C Information storage capacitor MARY Memory array Qn n-channel type MISFET Qp p-channel type MISFET Qs MISFET for memory cell selection SA sense amplifier WD word driver

───────────────────────────────────────────────────── フロントページの続き (72)発明者 浅野 勇 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 田中 克彦 東京都小平市上水本町五丁目20番1号 株 式会社日立製作所半導体事業部内 (72)発明者 福田 直樹 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 青木 英雄 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 佐久間 浩 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 川北 惠三 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 山田 悟 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 (72)発明者 平沢 賢斉 東京都青梅市新町六丁目16番地の3 株式 会社日立製作所デバイス開発センタ内 ────────────────────────────────────────────────── ─── Continuing from the front page (72) Inventor Isamu Isano 6-16-16 Shinmachi, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Katsuhiko Tanaka 5--20 Kamimizu Honcho, Kodaira-shi No. 1 Hitachi Semiconductor Co., Ltd. Semiconductor Division (72) Inventor Naoki Fukuda 6-16-16 Shinmachi, Ome-shi, Tokyo Inside Device Development Center Hitachi, Ltd. 3-16, Hitachi, Ltd. Device Development Center, Hitachi, Ltd. (72) Inventor Hiroshi Sakuma 6-16, Shinmachi, Shinmachi, Ome City, Tokyo 3) Hitachi, Ltd. Device Development Center, Ltd. (72) Keizo Kawakita Ome, Tokyo 6-chome, Shinmachi, Yokohama 3 Device Development Center, Hitachi, Ltd. (72) Akiya Satoru Satoru 6-16-16 Shinmachi, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd. (72) Inventor Kensei Hirasawa 6-16-16, Shinmachi, Ome-shi, Tokyo Inside the Device Development Center, Hitachi, Ltd.

Claims (36)

【特許請求の範囲】[Claims] 【請求項1】 基体と、前記基体上に形成された、ハロ
ゲン元素を含有する窒化チタン膜と、前記基体上に形成
された第1の導電膜と、前記窒化チタン膜と前記第1の
導電膜との間に形成された、ハロゲン元素と結合する金
属原子を含み、かつ前記ハロゲン元素をトラップする能
力がタングステンよりも高い第2の膜とを有することを
特徴とする半導体集積回路装置。
1. A substrate, a halogen-containing titanium nitride film formed on the substrate, a first conductive film formed on the substrate, the titanium nitride film and the first conductive film A second film formed between the first film and the second film, the second film including a metal atom bonded to a halogen element and having a higher ability to trap the halogen element than tungsten.
【請求項2】 請求項1記載の半導体集積回路装置であ
って、前記第2の膜は、チタン膜またはタンタル膜であ
ることを特徴とする半導体集積回路装置。
2. The semiconductor integrated circuit device according to claim 1, wherein said second film is a titanium film or a tantalum film.
【請求項3】 請求項1記載の半導体集積回路装置であ
って、前記第2の膜は、チタン膜を含む積層膜またはタ
ンタル膜を含む積層膜であることを特徴とする半導体集
積回路装置。
3. The semiconductor integrated circuit device according to claim 1, wherein the second film is a stacked film including a titanium film or a stacked film including a tantalum film.
【請求項4】 請求項1、2または3記載の半導体集積
回路装置であって、前記第2の膜の膜厚は、5nm以上で
あることを特徴とする半導体集積回路装置。
4. The semiconductor integrated circuit device according to claim 1, wherein the thickness of the second film is 5 nm or more.
【請求項5】 請求項1、2、3または4記載の半導体
集積回路装置であって、前記第1の導電膜は、アルミニ
ウム膜、アルミニウム合金膜、銅膜または銅合金膜であ
ることを特徴とする半導体集積回路装置。
5. The semiconductor integrated circuit device according to claim 1, wherein the first conductive film is an aluminum film, an aluminum alloy film, a copper film, or a copper alloy film. Semiconductor integrated circuit device.
【請求項6】 基体と、前記基体上に形成された、開孔
部を有する絶縁膜と、前記開孔部内に形成された、ハロ
ゲン元素を含む原料ガスを用いて成膜された窒化チタン
膜と、前記窒化チタン膜上に形成された、前記ハロゲン
元素と結合する金属原子を含み、かつ前記ハロゲン元素
をトラップする能力がタングステンよりも高い第3の膜
と、前記第3の膜上に形成された第2の導電膜とを有す
ることを特徴とする半導体集積回路装置。
6. A base, an insulating film having an opening formed on the base, and a titanium nitride film formed using a source gas containing a halogen element formed in the opening. A third film formed on the titanium nitride film, the third film containing a metal atom bonded to the halogen element and having a higher ability to trap the halogen element than tungsten; and a third film formed on the third film. A semiconductor integrated circuit device having a second conductive film formed thereon.
【請求項7】 請求項6記載の半導体集積回路装置であ
って、前記第3の膜は、前記窒化チタン膜上から前記絶
縁膜上にわたって形成されていることを特徴とする半導
体集積回路装置。
7. The semiconductor integrated circuit device according to claim 6, wherein said third film is formed from above said titanium nitride film to above said insulating film.
【請求項8】 請求項6または7記載の半導体集積回路
装置であって、前記第3の膜は、チタン膜または前記チ
タン膜を含む積層膜であることを特徴とする半導体集積
回路装置。
8. The semiconductor integrated circuit device according to claim 6, wherein said third film is a titanium film or a laminated film including said titanium film.
【請求項9】 請求項6、7または8記載の半導体集積
回路装置であって、前記開孔部内に形成された前記窒化
チタン膜上には、タングステン膜が形成されていること
を特徴とする半導体集積回路装置。
9. The semiconductor integrated circuit device according to claim 6, wherein a tungsten film is formed on the titanium nitride film formed in the opening. Semiconductor integrated circuit device.
【請求項10】 MISFETが形成された基体と、前
記基体上に形成された第1の電極、容量絶縁膜、ハロゲ
ン元素が含まれた窒化チタン膜を含む第2の電極からな
る蓄積容量部と、前記窒化チタン膜上に形成された、前
記ハロゲン元素との結合エネルギーが111Kcal/molを
超える元素を含む第4の膜と、前記第4の膜上に形成さ
れた導電膜とを有することを特徴とする半導体集積回路
装置。
10. A base on which a MISFET is formed, and a storage capacitor section comprising a first electrode, a capacitor insulating film, and a second electrode including a titanium nitride film containing a halogen element formed on the base. A fourth film formed on the titanium nitride film, the fourth film including an element having a binding energy with the halogen element exceeding 111 Kcal / mol, and a conductive film formed on the fourth film. A semiconductor integrated circuit device characterized by the above-mentioned.
【請求項11】 請求項10記載の半導体集積回路装置
であって、前記第4の膜は、前記窒化チタン膜を覆って
形成されていることを特徴とする半導体集積回路装置。
11. The semiconductor integrated circuit device according to claim 10, wherein said fourth film is formed so as to cover said titanium nitride film.
【請求項12】 基体上に形成された銅配線層と、前記
銅配線層上に形成された、絶縁膜およびハロゲン元素と
の結合エネルギーが111Kcal/molを超える元素を含む
膜と、前記絶縁膜および前記元素を含む膜上に形成され
た、ハロゲン元素が含まれた窒化チタン膜とを有するこ
とを特徴とする半導体集積回路装置。
12. A copper wiring layer formed on a substrate, a film formed on the copper wiring layer and containing an element having a bonding energy of more than 111 Kcal / mol with an insulating film and a halogen element, and the insulating film And a titanium nitride film containing a halogen element formed on the film containing the element.
【請求項13】 基体上に、開孔部を有する絶縁膜を形
成する工程と、ハロゲン元素を構成元素とする化合物を
原料ガスとして用い、CVD法により、前記開孔部内に
窒化チタン膜を形成する工程と、前記窒化チタン膜上
に、前記ハロゲン元素と結合する金属原子を含み、かつ
前記ハロゲン元素をトラップする能力がタングステンよ
りも高い第3の膜を形成する工程と、前記第3の膜上に
配線を形成する工程とを有することを特徴とする半導体
集積回路装置の製造方法。
13. A step of forming an insulating film having an opening on a substrate, and forming a titanium nitride film in the opening by a CVD method using a compound containing a halogen element as a source gas. Forming a third film on the titanium nitride film, the third film containing a metal atom bonded to the halogen element and having a higher ability to trap the halogen element than tungsten. Forming a wiring thereon. A method of manufacturing a semiconductor integrated circuit device, comprising:
【請求項14】 請求項13記載の半導体集積回路装置
の製造方法であって、前記ハロゲン元素を構成元素とす
る化合物は、四塩化チタンまたは四ヨウ化チタンである
ことを特徴とする半導体集積回路装置の製造方法。
14. The method of manufacturing a semiconductor integrated circuit device according to claim 13, wherein the compound containing a halogen element as a constituent element is titanium tetrachloride or titanium tetraiodide. Device manufacturing method.
【請求項15】 請求項13記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
スパッタリング法によってチタン膜、窒化チタン膜、タ
ンタル膜または窒化タンタル膜を形成する工程を含むこ
とを特徴とする半導体集積回路装置の製造方法。
15. The method for manufacturing a semiconductor integrated circuit device according to claim 13, wherein the step of forming the third film comprises:
A method for manufacturing a semiconductor integrated circuit device, comprising a step of forming a titanium film, a titanium nitride film, a tantalum film, or a tantalum nitride film by a sputtering method.
【請求項16】 請求項13記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
前記ハロゲン元素を構成元素として含まない原料ガスを
用いたCVD法により、チタン膜、窒化チタン膜、タン
タル膜または窒化タンタル膜を形成する工程を含むこと
を特徴とする半導体集積回路装置の製造方法。
16. The method of manufacturing a semiconductor integrated circuit device according to claim 13, wherein the step of forming the third film comprises:
A method for manufacturing a semiconductor integrated circuit device, comprising a step of forming a titanium film, a titanium nitride film, a tantalum film, or a tantalum nitride film by a CVD method using a source gas containing no halogen element as a constituent element.
【請求項17】 請求項13、14、15または16記
載の半導体集積回路装置の製造方法であって、前記窒化
チタン膜を500℃以下で形成することを特徴とする半
導体集積回路装置の製造方法。
17. The method for manufacturing a semiconductor integrated circuit device according to claim 13, 14, 15 or 16, wherein the titanium nitride film is formed at a temperature of 500 ° C. or less. .
【請求項18】 半導体素子が形成された基体上に第1
の電極を形成する工程と、前記第1の電極上に容量絶縁
膜を形成する工程と、前記容量絶縁膜上に第2の電極と
して、ハロゲン元素を含む原料ガスを用いたCVD法に
よって窒化チタン膜を形成し、蓄積容量部を形成する工
程と、前記窒化チタン膜上に、前記ハロゲン元素と結合
する金属原子を含み、かつ前記ハロゲン元素をトラップ
する能力がタングステンよりも高い第3の膜を形成する
工程と、前記第3の膜上に絶縁膜を形成する工程とを含
むことを特徴とする半導体集積回路装置の製造方法。
18. The method according to claim 1, wherein the first substrate is provided on a substrate on which the semiconductor element is formed.
Forming a capacitor insulating film on the first electrode, and forming a second electrode on the capacitor insulating film by a CVD method using a source gas containing a halogen element as a second electrode. Forming a film and forming a storage capacitor portion; and forming a third film on the titanium nitride film, the third film containing a metal atom bonded to the halogen element and having a higher ability to trap the halogen element than tungsten. A method for manufacturing a semiconductor integrated circuit device, comprising: a step of forming; and a step of forming an insulating film on the third film.
【請求項19】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を選択CVD法によ
って形成することを特徴とする半導体集積回路装置の製
造方法。
19. The method for manufacturing a semiconductor integrated circuit device according to claim 18, wherein said third film is formed by a selective CVD method.
【請求項20】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
前記蓄積容量部を覆って前記第3の膜を形成し、前記蓄
積容量部の上面および側面上の前記第3の膜上に第4の
膜を形成し、前記第4の膜をマスクとして前記蓄積容量
部の上面および側面上の前記第3の膜を残すように前記
第3の膜をエッチング除去する工程を含むことを特徴と
する半導体集積回路装置の製造方法。
20. The method of manufacturing a semiconductor integrated circuit device according to claim 18, wherein the step of forming the third film comprises:
Forming the third film covering the storage capacitor portion, forming a fourth film on the third film on the upper surface and side surfaces of the storage capacitor portion, and using the fourth film as a mask; A method for manufacturing a semiconductor integrated circuit device, comprising: a step of etching and removing the third film so as to leave the third film on the upper surface and the side surface of the storage capacitor portion.
【請求項21】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
スパッタリング法によってチタン膜、窒化チタン膜、タ
ンタル膜または窒化タンタル膜を形成する工程を含むこ
とを特徴とする半導体集積回路装置の製造方法。
21. The method of manufacturing a semiconductor integrated circuit device according to claim 18, wherein the step of forming the third film comprises:
A method for manufacturing a semiconductor integrated circuit device, comprising a step of forming a titanium film, a titanium nitride film, a tantalum film, or a tantalum nitride film by a sputtering method.
【請求項22】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
前記ハロゲン元素を構成元素として含まない原料ガスを
用いたCVD法により、チタン膜、窒化チタン膜、タン
タル膜または窒化タンタル膜を形成する工程を含むこと
を特徴とする半導体集積回路装置の製造方法。
22. The method of manufacturing a semiconductor integrated circuit device according to claim 18, wherein the step of forming the third film comprises:
A method for manufacturing a semiconductor integrated circuit device, comprising a step of forming a titanium film, a titanium nitride film, a tantalum film, or a tantalum nitride film by a CVD method using a source gas containing no halogen element as a constituent element.
【請求項23】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
スパッタリング法によりチタン膜を形成する工程と、前
記チタン膜上に、スパッタリング法により窒化チタン膜
を形成する工程とを含むことを特徴とする半導体集積回
路装置の製造方法。
23. The method of manufacturing a semiconductor integrated circuit device according to claim 18, wherein the step of forming the third film comprises:
A method for manufacturing a semiconductor integrated circuit device, comprising: a step of forming a titanium film by a sputtering method; and a step of forming a titanium nitride film on the titanium film by a sputtering method.
【請求項24】 請求項18記載の半導体集積回路装置
の製造方法であって、前記第3の膜を形成する工程は、
スパッタリング法によりタンタル膜を形成する工程と、
前記タンタル膜上に、スパッタリング法により窒化タン
タル膜を形成する工程とを含むことを特徴とする半導体
集積回路装置の製造方法。
24. The method of manufacturing a semiconductor integrated circuit device according to claim 18, wherein the step of forming the third film comprises:
A step of forming a tantalum film by a sputtering method,
Forming a tantalum nitride film on the tantalum film by a sputtering method.
【請求項25】 基体上に、原料ガスとしてハロゲン元
素を構成元素として含む化合物を用いたCVD法によ
り、第1の温度で窒化チタン膜を形成する工程と、前記
第1の温度以上の温度の不活性ガス中で前記窒化チタン
膜を加熱することにより、前記窒化チタン膜中の前記ハ
ロゲン元素を除去する工程とを含むことを特徴とする半
導体集積回路装置の製造方法。
25. A step of forming a titanium nitride film at a first temperature on a substrate by a CVD method using a compound containing a halogen element as a constituent gas as a source gas; Removing the halogen element from the titanium nitride film by heating the titanium nitride film in an inert gas.
【請求項26】 請求項25記載の半導体集積回路装置
の製造方法であって、前記ハロゲン元素を除去する工程
の後、前記基体を洗浄し、前記窒化チタン膜の表面の前
記ハロゲン元素またはハロゲン化合物を除去する工程を
さらに含むことを特徴とする半導体集積回路装置の製造
方法。
26. The method for manufacturing a semiconductor integrated circuit device according to claim 25, wherein after the step of removing the halogen element, the base is washed, and the halogen element or the halogen compound on the surface of the titanium nitride film. A method of manufacturing a semiconductor integrated circuit device, further comprising the step of removing a semiconductor integrated circuit device.
【請求項27】 基体上に、原料ガスとしてハロゲン元
素を構成元素として含む化合物を用いたCVD法によ
り、窒化チタン膜を形成する工程と、前記窒化チタン膜
上に、高密度プラズマCVD法により、絶縁膜を形成す
る工程とを含むことを特徴とする半導体集積回路装置の
製造方法。
27. A step of forming a titanium nitride film on a substrate by a CVD method using a compound containing a halogen element as a constituent gas as a source gas, and a high-density plasma CVD method on the titanium nitride film. Forming a semiconductor integrated circuit device.
【請求項28】 絶縁膜上に無機スピンオングラス膜を
塗布する工程と、前記無機スピンオングラス膜をスチー
ムベークする工程とを含むことを特徴とする半導体集積
回路装置の製造方法。
28. A method for manufacturing a semiconductor integrated circuit device, comprising: a step of applying an inorganic spin-on-glass film on an insulating film; and a step of steam-baking the inorganic spin-on-glass film.
【請求項29】 絶縁膜上に金属配線を形成する工程
と、前記金属配線上に無機スピンオングラス膜を塗布す
る工程と、前記無機スピンオングラス膜をスチームベー
クする工程とを含むことを特徴とする半導体集積回路装
置の製造方法。
29. A method comprising: forming a metal wiring on an insulating film; applying an inorganic spin-on-glass film on the metal wiring; and steam-baking the inorganic spin-on-glass film. A method for manufacturing a semiconductor integrated circuit device.
【請求項30】 基体上に、原料ガスとしてハロゲン元
素を構成元素として含む化合物を用いたCVD法により
窒化チタン膜を形成する工程と、前記窒化チタン膜上に
プラズマCVD法または熱CVD法により、屈折率が1.
46以上の絶縁膜を形成する工程とを含むことを特徴と
する半導体集積回路装置の製造方法。
30. A step of forming a titanium nitride film on a substrate by a CVD method using a compound containing a halogen element as a constituent gas as a source gas, and a plasma CVD method or a thermal CVD method on the titanium nitride film. Refractive index is 1.
Forming a 46 or more insulating film.
【請求項31】 請求項30記載の半導体集積回路装置
の製造方法であって、前記絶縁膜上に無機スピンオング
ラス膜を塗布する工程と、前記無機スピンオングラス膜
をスチームベークする工程とを含むことを特徴とする半
導体集積回路装置の製造方法。
31. The method for manufacturing a semiconductor integrated circuit device according to claim 30, comprising a step of applying an inorganic spin-on-glass film on the insulating film, and a step of steam-baking the inorganic spin-on-glass film. A method for manufacturing a semiconductor integrated circuit device, comprising:
【請求項32】 請求項30記載の半導体集積回路装置
の製造方法であって、前記絶縁膜上に金属配線を形成す
る工程と、前記金属配線上に無機スピンオングラス膜を
塗布する工程と、前記無機スピンオングラス膜をスチー
ムベークする工程とを含むことを特徴とする半導体集積
回路装置の製造方法。
32. The method of manufacturing a semiconductor integrated circuit device according to claim 30, wherein: a step of forming a metal wiring on the insulating film; and a step of applying an inorganic spin-on-glass film on the metal wiring. Steam baking the inorganic spin-on-glass film.
【請求項33】 以下の工程(a)〜(c)を含むこと
を特徴とする半導体集積回路装置の製造方法; (a)半導体基板の主面上に形成した絶縁膜を開孔して
接続孔を形成する工程、(b)前記接続孔の内部を含む
前記絶縁膜上に、ハロゲン元素を含むソースガスを用い
たCVD法により成膜された第1の窒化チタン膜を含む
第1導電膜を形成した後、前記絶縁膜の上部の前記第1
の窒化チタン膜を含む第1導電膜を除去して前記接続孔
の内部に残すことにより、前記接続孔の内部にプラグを
形成する工程、(c)前記プラグの表面を含む前記絶縁
膜上に、少なくとも最下層がスパッタリング法により成
膜された第2の窒化チタン膜を含む第2導電膜を堆積し
た後、前記第2の窒化チタン膜を含む前記第2導電膜を
パターニングして配線を形成する工程。
33. A method of manufacturing a semiconductor integrated circuit device, comprising the following steps (a) to (c): (a) Opening and connecting an insulating film formed on a main surface of a semiconductor substrate Forming a hole, (b) a first conductive film including a first titanium nitride film formed on the insulating film including the inside of the connection hole by a CVD method using a source gas containing a halogen element After the formation of the first insulating film,
Forming a plug inside the connection hole by removing the first conductive film including the titanium nitride film and leaving the inside of the connection hole, (c) forming a plug on the insulating film including the surface of the plug Forming a wiring by depositing a second conductive film including a second titanium nitride film having at least a lowermost layer formed by a sputtering method, and then patterning the second conductive film including the second titanium nitride film; Process.
【請求項34】 請求項33記載の半導体集積回路装置
の製造方法であって、前記第2導電膜は、Alを含むこ
とを特徴とする半導体集積回路装置の製造方法。
34. The method of manufacturing a semiconductor integrated circuit device according to claim 33, wherein said second conductive film contains Al.
【請求項35】 請求項33記載の半導体集積回路装置
の製造方法であって、前記第1導電膜は、さらにCVD
法により成膜されたタングステン膜を含むことを特徴と
する半導体集積回路装置の製造方法。
35. The method for manufacturing a semiconductor integrated circuit device according to claim 33, wherein the first conductive film is further formed by CVD.
A method for manufacturing a semiconductor integrated circuit device, comprising a tungsten film formed by a method.
【請求項36】 メモリセル選択用MISFETとこれ
に直列に接続された情報蓄積用容量素子とでメモリセル
を構成し、前記情報蓄積用容量素子を前記メモリセル選
択用MISFETの上部に配置したDRAMを有する半
導体集積回路装置の製造方法であって、(a)半導体基
板の主面のメモリアレイにメモリセル選択用MISFE
Tを形成し、周辺回路領域に周辺回路のMISFETを
形成する工程、(b)前記メモリセル選択用MISFE
Tの上部に第1絶縁膜を介してビット線を形成し、前記
周辺回路のMISFETの上部に前記第1絶縁膜を介し
て第1層配線を形成する工程、(c)前記ビット線の上
部に第2絶縁膜を介して情報蓄積用容量素子を形成する
工程、(d)前記情報蓄積用容量素子の上部に第3絶縁
膜を堆積した後、周辺回路領域の前記第3絶縁膜、前記
第2絶縁膜および前記第1絶縁膜をエッチングして、前
記第1層配線の上部に接続孔を形成する工程、(e)前
記接続孔の内部を含む前記第3絶縁膜上に、ハロゲン元
素を含むソースガスを用いたCVD法により成膜された
第1の窒化チタンを含む第1導電膜を形成した後、前記
第3絶縁膜の上部の前記第1の窒化チタン膜を含む第1
導電膜を除去して前記接続孔の内部のみに残すことによ
り、前記接続孔の内部にプラグを形成する工程、(f)
前記プラグの表面を含む前記第3絶縁膜上に、少なくと
も最下層がスパッタリング法により成膜された第2の窒
化チタン膜を含む第2導電膜を堆積した後、前記第2の
窒化チタン膜を含む第2導電膜をパターニングして第2
層配線を形成する工程、を含むことを特徴とする半導体
集積回路装置の製造方法。
36. A DRAM in which a memory cell is constituted by a memory cell selecting MISFET and an information storing capacitive element connected in series with the MISFET, and the information storing capacitive element is arranged above the memory cell selecting MISFET. And (a) a memory cell selecting MISFE in a memory array on a main surface of a semiconductor substrate.
Forming a MISFET of a peripheral circuit in a peripheral circuit region, and (b) forming the memory cell selecting MISFE.
Forming a bit line above the T via a first insulating film, and forming a first layer wiring above the MISFET of the peripheral circuit via the first insulating film; (c) above the bit line Forming an information storage capacitor via a second insulating film, (d) depositing a third insulating film on the information storage capacitor, and then forming the third insulating film in a peripheral circuit region; Etching a second insulating film and the first insulating film to form a connection hole above the first layer wiring; and (e) forming a halogen element on the third insulating film including the inside of the connection hole. After forming a first conductive film containing first titanium nitride formed by a CVD method using a source gas containing, a first conductive film containing the first titanium nitride film on the third insulating film is formed.
Forming a plug inside the connection hole by removing the conductive film and leaving the plug only inside the connection hole; (f)
After depositing a second conductive film including a second titanium nitride film having at least a lowermost layer formed by a sputtering method on the third insulating film including the surface of the plug, the second titanium nitride film is removed. Patterning the second conductive film containing
Forming a layer wiring, a method for manufacturing a semiconductor integrated circuit device.
JP10177267A 1997-06-30 1998-06-24 Semiconductor integrated circuit device and manufacture thereof Pending JPH1174354A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10177267A JPH1174354A (en) 1997-06-30 1998-06-24 Semiconductor integrated circuit device and manufacture thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP9-174150 1997-06-30
JP17415097 1997-06-30
JP10177267A JPH1174354A (en) 1997-06-30 1998-06-24 Semiconductor integrated circuit device and manufacture thereof

Publications (1)

Publication Number Publication Date
JPH1174354A true JPH1174354A (en) 1999-03-16

Family

ID=26495851

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10177267A Pending JPH1174354A (en) 1997-06-30 1998-06-24 Semiconductor integrated circuit device and manufacture thereof

Country Status (1)

Country Link
JP (1) JPH1174354A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001298028A (en) * 2000-04-17 2001-10-26 Tokyo Electron Ltd Manufacturing method of semiconductor device
JP2006253634A (en) * 2005-02-14 2006-09-21 Tokyo Electron Ltd Processing method of substrate, process for fabricating electronic device and program
US7625795B2 (en) 1999-09-02 2009-12-01 Micron Technology, Inc. Container capacitor structure and method of formation thereof
JP2012033962A (en) * 2011-10-28 2012-02-16 Tokyo Electron Ltd Semiconductor device manufacturing method
JP2012509576A (en) * 2008-11-19 2012-04-19 マイクロン テクノロジー, インク. Method for forming conductive material, method for selectively forming conductive material, method for forming platinum, and method for forming conductive structure
CN113380758A (en) * 2020-02-25 2021-09-10 铠侠股份有限公司 Semiconductor device and method for manufacturing the same
JP2023502095A (en) * 2019-11-21 2023-01-20 アプライド マテリアルズ インコーポレイテッド Method and Apparatus for Smoothing Bitline Metal in Dynamic Random Access Memory

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7625795B2 (en) 1999-09-02 2009-12-01 Micron Technology, Inc. Container capacitor structure and method of formation thereof
JP2001298028A (en) * 2000-04-17 2001-10-26 Tokyo Electron Ltd Manufacturing method of semiconductor device
JP2006253634A (en) * 2005-02-14 2006-09-21 Tokyo Electron Ltd Processing method of substrate, process for fabricating electronic device and program
JP2012509576A (en) * 2008-11-19 2012-04-19 マイクロン テクノロジー, インク. Method for forming conductive material, method for selectively forming conductive material, method for forming platinum, and method for forming conductive structure
US8753933B2 (en) 2008-11-19 2014-06-17 Micron Technology, Inc. Methods for forming a conductive material, methods for selectively forming a conductive material, methods for forming platinum, and methods for forming conductive structures
US9023711B2 (en) 2008-11-19 2015-05-05 Micron Technology, Inc. Methods for forming a conductive material and methods for forming a conductive structure
JP2012033962A (en) * 2011-10-28 2012-02-16 Tokyo Electron Ltd Semiconductor device manufacturing method
JP2023502095A (en) * 2019-11-21 2023-01-20 アプライド マテリアルズ インコーポレイテッド Method and Apparatus for Smoothing Bitline Metal in Dynamic Random Access Memory
CN113380758A (en) * 2020-02-25 2021-09-10 铠侠股份有限公司 Semiconductor device and method for manufacturing the same
CN113380758B (en) * 2020-02-25 2023-12-29 铠侠股份有限公司 Semiconductor device and method for manufacturing the same

Similar Documents

Publication Publication Date Title
US6028360A (en) Semiconductor integrated circuit device in which a conductive film is formed over a trap film which in turn is formed over a titanium film
KR100768642B1 (en) Semiconductor device and method for producing the same
JP3563530B2 (en) Semiconductor integrated circuit device
JPH11214644A (en) Semiconductor integrated circuit device and manufacture thereof
JP3839281B2 (en) Manufacturing method of semiconductor device
JPH11145286A (en) Manufacture of semiconductor integrated circuit device
US6815762B2 (en) Semiconductor integrated circuit device and process for manufacturing the same including spacers on bit lines
JPH1174354A (en) Semiconductor integrated circuit device and manufacture thereof
JPH1117144A (en) Semiconductor integrated circuit device and manufacture thereof
JPH1126719A (en) Manufacture of semiconductor integrated circuit device
JPH08321591A (en) Semiconductor device and fabrication thereof
JP4386320B2 (en) Manufacturing method of semiconductor device for preventing electrical short circuit between lower wiring and upper wiring based on flow of flattening film
JPH1126715A (en) Semiconductor integrated circuit device and manufacture thereof
JP2002026295A (en) High dielectric capacitor and its manufacturing method
JPH1126718A (en) Manufacture of semiconductor integrated circuit device
JP2001024169A (en) Semiconductor device and its manufacture
JP2000058776A (en) Semiconductor device and manufacture thereof
JP2001250792A (en) Method for fabricating semiconductor integrated circuit device
JPH11297951A (en) Semiconductor integrated circuit device and method for manufacturing it
JP2001102444A (en) Manufacturing method of semiconductor integrated circuit device
JPH1117147A (en) Manufacture of semiconductor integrated circuit device
JP2003133436A (en) Manufacturing method of semiconductor device
JP4053307B2 (en) Manufacturing method of semiconductor device
WO1999000838A1 (en) Method for fabricating semiconductor integrated circuit device
JP2001332707A (en) Semiconductor integrated circuit device and its manufacturing method

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040301

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050817

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20070605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080116

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080521