JPH10240796A - Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device - Google Patents

Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device

Info

Publication number
JPH10240796A
JPH10240796A JP9151950A JP15195097A JPH10240796A JP H10240796 A JPH10240796 A JP H10240796A JP 9151950 A JP9151950 A JP 9151950A JP 15195097 A JP15195097 A JP 15195097A JP H10240796 A JPH10240796 A JP H10240796A
Authority
JP
Japan
Prior art keywords
value
circuit
netlist
parasitic
simulation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9151950A
Other languages
Japanese (ja)
Inventor
Tetsuo Tanigawa
哲郎 谷川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ricoh Co Ltd
Original Assignee
Ricoh Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ricoh Co Ltd filed Critical Ricoh Co Ltd
Priority to JP9151950A priority Critical patent/JPH10240796A/en
Publication of JPH10240796A publication Critical patent/JPH10240796A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To attain variation analysis on which the variation of electric characteristic value being process data corresponding to a wiring capacity or a writing resistance or the like is reflected. SOLUTION: A net list in which parasite element values are described with functions by using process data (a button capacity per a unit writing area or the sheet resistance of wiring or the like) corresponding to the parasitic element values of a wiring capacity or a writing resistance or the like as a variable is generated from layout data, and worst case analysis is operated by using this. That is, the variation width of the variable of each parasitic element value described with functions is previously set (P2), either the maximum value or the minimum value decided by this is used as the central value of the variable, the central values are substituted in the variable only by the number of all the combination of the central value, and the parasitic element value is calculated (P3), and also circuit characteristic analysis (circuit simulation) is operated (P4). Thus, the variation of circuit characteristics corresponding to the variation of the variable being process data is obtained (P7).

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路のレイア
ウトパターンを示すデータから抽出されたネットリスト
を用いて集積回路の動作のシミュレーションを行うため
の回路シミュレーション方法、回路シミュレーションプ
ログラムを記録した記録媒体、および回路シミュレーシ
ョン装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a circuit simulation method for simulating the operation of an integrated circuit using a netlist extracted from data indicating a layout pattern of an integrated circuit, and a recording medium storing a circuit simulation program. And a circuit simulation apparatus.

【0002】[0002]

【従来の技術】半導体集積回路の開発過程において、回
路シミュレーション等により設計結果を検証するという
作業が行われている。従来の回路シミュレーション技術
は、回路接続をネットリスト(即ち、接続情報)で表現
し、解析したいバイアス条件やコマンドを入力すると、
回路の節点方程式を立てると共に、この節点方程式に基
づいて行列演算を実行し、各ノードの電圧値及び電流値
を求めて結果を出力する機能を有していた。このような
機能を有する回路シミュレーションプログラムとして
は、UCB(カリフォルニア大学バークレー校)で開発
され、ソースコードが一般公開されているSPICE
(Simulation Program with Integrated Circuit Empha
sisの略称)が広く一般に知られている。
2. Description of the Related Art In a development process of a semiconductor integrated circuit, an operation of verifying a design result by a circuit simulation or the like is performed. In the conventional circuit simulation technology, a circuit connection is represented by a netlist (that is, connection information), and when a bias condition or a command to be analyzed is input,
It has a function of establishing a node equation of the circuit, executing a matrix operation based on the node equation, obtaining a voltage value and a current value of each node, and outputting a result. A circuit simulation program having such a function is SPICE, which is developed by UCB (University of California, Berkeley) and whose source code is open to the public.
(Simulation Program with Integrated Circuit Empha
(short for sis) is widely and generally known.

【0003】上記のような回路シミュレーションにより
集積回路の設計結果を検証するために必要となる回路構
成素子および回路接続情報を含むネットリストをレイア
ウトデータから抽出する機能を有するツールが市販され
ている。
A tool having a function of extracting a netlist including circuit component elements and circuit connection information required for verifying a design result of an integrated circuit by the above-described circuit simulation from layout data is commercially available.

【0004】半導体集積回路のレイアウトパターンデー
タから回路構成素子および回路接続情報を含むネットリ
ストを抽出する従来技術によるツールとしては、例えば
ケイデンス デザイン システムズ(Cadence Design Syst
ems)社のドラキュラ(Dracula)(商標名)やメンター グ
ラフィックス(Mentor Graphics)社のチェックメイト
(マスクPE)(CheckMate(MaskPE))(商標名)に代表
される、ネットリスト抽出機能を有する解析ツールが市
販されている。
As a conventional tool for extracting a netlist including circuit component elements and circuit connection information from layout pattern data of a semiconductor integrated circuit, for example, Cadence Design Systems (Cadence Design Systems) is known.
Analysis with netlist extraction function such as Dracula (trade name) of Ems) and CheckMate (MaskPE) (trade name) of Mentor Graphics Tools are commercially available.

【0005】このネットリストの抽出において以前は配
線部の寄生素子(具体的には寄生容量や寄生抵抗)は無
視されていたが、近年、回路シミュレーション等による
検証においてこれらの寄生素子を考慮することの重要性
が認識されるようになってきた。このため、前記市販ツ
ールにおいても、配線部の寄生容量および寄生抵抗を考
慮したネットリストをレイアウトデータから抽出できる
機能が追加されるようになっている。また、特開平5−
144941号公報において、マスクパターンデータ
(レイアウトデータ)から配線等の寄生抵抗を正確に抽
出し、抽出された寄生素子と駆動素子との関係から寄生
素子の値を評価・判定し、寄生素子のモデルを決定し、
シミュレーション用ネットリストの生成などを可能とす
るデータ処理装置が開示されている。さらに、特開平5
−216958号公報においても、レイアウトパターン
データ(レイアウトデータ)からバックアノテーション
された寄生容量、寄生抵抗情報を含んだトランジスタレ
ベルの論理・回路図面データが得られる論理・回路設計
支援装置が開示されている。
In the extraction of the netlist, the parasitic elements (specifically, the parasitic capacitance and the parasitic resistance) of the wiring section have been neglected before. However, in recent years, it is necessary to consider these parasitic elements in verification by a circuit simulation or the like. The importance of has been recognized. For this reason, a function of extracting a netlist in consideration of the parasitic capacitance and the parasitic resistance of the wiring portion from the layout data has been added to the commercially available tools. Further, Japanese Unexamined Patent Publication No.
In Japanese Patent No. 1444941, a parasitic resistance of a wiring or the like is accurately extracted from mask pattern data (layout data), and a value of the parasitic element is evaluated and determined based on a relationship between the extracted parasitic element and a driving element. And determine
A data processing device capable of generating a simulation netlist and the like has been disclosed. Further, Japanese Patent Application Laid-Open
JP-A-216958 also discloses a logic / circuit design support apparatus capable of obtaining transistor-level logic / circuit drawing data including back-annotated parasitic capacitance and parasitic resistance information from layout pattern data (layout data). .

【0006】以下、回路シミュレーションの対象となる
集積回路の構成を記述するネットリストを、上記のよう
に配線部の寄生容量や寄生抵抗を考慮してレイアウトデ
ータから抽出する従来のネットリスト抽出方法(以下
「従来例」という)について説明する。
Hereinafter, a conventional netlist extraction method for extracting a netlist describing the configuration of an integrated circuit to be subjected to circuit simulation from layout data in consideration of the parasitic capacitance and resistance of the wiring portion as described above ( Hereinafter, a “conventional example” will be described.

【0007】レイアウトデータからネットリストを抽出
する際には、通常、レイアウトデータから寄生容量およ
び寄生抵抗を抽出するための規則などの抽出ルールを記
述したファイル(以下「ルールファイル」という)が用
意される。ルールファイルには単位面積または単位長さ
当たりの配線容量または配線抵抗やシート抵抗値などの
プロセスデータが含まれていて、このプロセスデータと
レイアウトデータから得られる情報より、設計対象の回
路の各ノードに寄生する容量または各ノード間に寄生す
る抵抗の値が算出される。
When extracting a netlist from layout data, a file (hereinafter referred to as a "rule file") that describes extraction rules such as rules for extracting parasitic capacitance and parasitic resistance from layout data is usually prepared. You. The rule file contains process data such as wiring capacitance per unit area or unit length, wiring resistance, and sheet resistance. Based on the process data and information obtained from the layout data, each node of the circuit to be designed is , Or the value of the parasitic resistance between the nodes is calculated.

【0008】ネットリストの抽出の対象となる集積回路
における配線構造の一例を図3に示す。図3(a)はア
ルミニウム配線(Al配線)を示す上面図であり、図3
(b)はこのAl配線を線X−Xに沿って切った断面図
である。この図に示した例では、Al配線100は、シ
リコン基板(Si基板)103上に層間絶縁膜102を
介して形成されている。レイアウトデータからネットリ
ストを抽出する際には、前述のルールファイルで指定さ
れた抽出ルールに基づき、レイアウトデータで示される
レイアウトパターンによって実現される分布定数回路が
所定の集中定数回路で近似される。いま、この従来例で
は、抽出ルールとして指定された近似モデルや配線の分
割段数等に基づき、図3(a)に示すようにノード10
および20をAl配線100上に設定してこれらのノー
ドでAl配線100を分割し、ノード10と20の間に
抵抗R10を、ノード20とSi基板103との間に容量
Cb+Cf1+Cf2をそれぞれ付加することにより、図3
に示した配線構造をL型回路網で近似するものとする
(以下、この近似を「L型近似」という)。この場合、
図3に示した配線構造は、図4に示す等価回路で表され
ることになる。ここで、抵抗R10はノード10と20の
間に存在する配線抵抗であり(図3(a)参照)、容量
CbはAl配線100の底面とSi基板103の間に存
在する容量(「ボトム容量」と呼ばれる)、容量Cf1お
よびCf2はAl配線100の側壁面とSi基板103の
間に存在する容量(「フリンジ容量」と呼ばれる)であ
る(図3(b)参照)。なお、図3に示した例ではCf1
=Cf2である。
FIG. 3 shows an example of a wiring structure in an integrated circuit from which a netlist is extracted. FIG. 3A is a top view showing an aluminum wiring (Al wiring).
(B) is a cross-sectional view of the Al wiring taken along the line XX. In the example shown in this figure, the Al wiring 100 is formed on a silicon substrate (Si substrate) 103 via an interlayer insulating film 102. When extracting the netlist from the layout data, the distributed constant circuit realized by the layout pattern indicated by the layout data is approximated by a predetermined lumped constant circuit based on the extraction rule specified in the above-described rule file. Now, in this conventional example, based on an approximate model specified as an extraction rule, the number of wiring division stages, and the like, as shown in FIG.
And 20 are set on the Al wiring 100 to divide the Al wiring 100 at these nodes, and add a resistor R10 between the nodes 10 and 20, and add a capacitance Cb + Cf1 + Cf2 between the node 20 and the Si substrate 103, respectively. Fig. 3
Is approximated by an L-type network (hereinafter, this approximation is referred to as “L-type approximation”). in this case,
The wiring structure shown in FIG. 3 is represented by an equivalent circuit shown in FIG. Here, the resistance R10 is the wiring resistance existing between the nodes 10 and 20 (see FIG. 3A), and the capacitance Cb is the capacitance existing between the bottom surface of the Al wiring 100 and the Si substrate 103 (“bottom capacitance”). ), And the capacitances Cf1 and Cf2 are capacitances (referred to as “fringe capacitances”) between the side wall surface of the Al wiring 100 and the Si substrate 103 (see FIG. 3B). In the example shown in FIG.
= Cf2.

【0009】ルールファイルには、このようなL型近似
等の近似モデルと分割段数等が設定されている他、集積
回路を製造するためのプロセスによって決まる電気的特
性値、すなわちAl配線100のシート抵抗値、単位配
線面積当たりのボトム容量値、単位配線フリンジ長(周
囲長)当たりのフリンジ容量値等が格納されている。こ
のようなルールファイルに含まれる情報に基づき、レイ
アウトデータを用いて、上記の配線抵抗R10、ボトム容
量Cb、フリンジ容量Cf1およびCf2を算出することが
できる。すなわち、上記従来例では、配線抵抗R10を
「シート抵抗値×配線長/配線幅」で、ボトム容量Cb
を「単位配線面積当たりのボトム容量値×配線面積」
で、フリンジ容量Cf1およびCf2を「単位配線フリンジ
長当たりのフリンジ容量値×配線フリンジ長」で、それ
ぞれ算出することができる。
In the rule file, an approximation model such as the L-type approximation, the number of division stages, and the like are set. In addition, electric characteristic values determined by a process for manufacturing an integrated circuit, that is, a sheet of the Al wiring 100 are set. A resistance value, a bottom capacitance value per unit wiring area, a fringe capacitance value per unit wiring fringe length (perimeter), and the like are stored. Based on the information included in such a rule file, the wiring resistance R10, the bottom capacitance Cb, and the fringe capacitances Cf1 and Cf2 can be calculated using the layout data. That is, in the above-described conventional example, the wiring resistance R10 is calculated as “sheet resistance value × wiring length / wiring width”, and the bottom capacitance Cb is obtained.
Is "Bottom capacitance value per unit wiring area x Wiring area"
Then, the fringe capacitances Cf1 and Cf2 can be calculated by “fringe capacitance value per unit wiring fringe length × wiring fringe length”.

【0010】例えば、シート抵抗値=0.1Ω/□、単位
面積当たりのボトム容量値=0.01fF/μm2、単位配
線フリンジ長当たりのフリンジ容量0.005fF/μmと
いう数値がルールファイルに与えられていて、ルールフ
ァイルに与えられた分割段数に基づきレイアウトデータ
より、ノード10と20の間の配線長=10μm、配線
幅=1μmという数値が得られた場合は、R10=1Ω、
Cb=0.1fF、Cf1=Cf2=0.05fFとなり、図3に示
した配線構造に対し図14に示すようなネットリストが
抽出される。このネットリストにおいて、「R」および
「C」で始まる名称は、それぞれ抵抗および容量(コン
デンサ)の素子名を示しており、「10」および「2
0」は、Al配線100上に設定された前記ノードを示
す符号、「0」は接地点(以下「接地ノード」という)
を示す符号である。そして、このネットリストの1行目
はノード10と20の間の配線抵抗R10の値が1Ωであ
ることを、2行目はノード20と0の間のボトム容量C
b=C20の値が0.1Fであることを、3行目はノード20
と0の間のフリンジ容量Cf1=C21の値が0.05Fである
ことを、4行目はノード20と0の間のフリンジ容量C
f2=C22の値が0.05Fであることを、それぞれ示してい
る。
For example, numerical values such as sheet resistance = 0.1Ω / □, bottom capacitance per unit area = 0.01 fF / μm 2 , and fringe capacitance per unit wiring fringe length of 0.005 fF / μm are given to the rule file. If the layout data based on the number of divisions given in the rule file gives a value of 10 μm for the wiring length between the nodes 10 and 20 and 1 μm for the wiring width, then R10 = 1Ω,
Cb = 0.1 fF, Cf1 = Cf2 = 0.05 fF, and a netlist as shown in FIG. 14 is extracted for the wiring structure shown in FIG. In this netlist, names starting with “R” and “C” indicate element names of resistance and capacitance (capacitor), respectively, and “10” and “2”.
“0” is a code indicating the node set on the Al wiring 100, and “0” is a ground point (hereinafter referred to as “ground node”).
Is a sign indicating The first row of the netlist indicates that the value of the wiring resistance R10 between the nodes 10 and 20 is 1Ω, and the second row indicates the bottom capacitance C between the nodes 20 and 0.
The third line indicates that the value of b = C20 is 0.1F.
The value of fringe capacitance Cf1 = C21 between 0 and 0 is 0.05F, and the fourth row shows that the fringe capacitance Cf between nodes 20 and 0 is
It shows that the value of f2 = C22 is 0.05F, respectively.

【0011】半導体集積回路の開発過程において、プロ
セスばらつきによる回路特性のばらつきを予測したり、
配線や層間膜形成のプロセスが変更された場合に回路特
性の再予測をしたりするには、プロセスのばらつきや変
更に対応したネットリストが必要となる。ところで上記
従来技術(上記従来例や、特開平5−144941号公
報、特開平5−216958号公報)によりレアウトデ
ータから抽出されるネットリストでは、図14に示した
ように、寄生抵抗および寄生容量の値を示す情報が「数
値」として表現されている。したがって、プロセスのば
らつきや変更に対応するためには、新たなプロセスデー
タを反映させたルールファイルを作成するだけでなく、
レイアウトパターンに変更がなくとも、レイアウトデー
タからネットリストを抽出するという作業を再度行う必
要があり、多くの労力および時間を要していた。
In the course of developing a semiconductor integrated circuit, it is possible to predict variations in circuit characteristics due to process variations,
In order to re-predict the circuit characteristics when the wiring or interlayer film formation process is changed, a netlist corresponding to the process variation and change is required. By the way, in the netlist extracted from the layout data according to the above-described prior art (the above-described prior art, Japanese Patent Application Laid-Open No. 5-149491, and Japanese Patent Application Laid-Open No. 5-216958), as shown in FIG. Is expressed as a “numerical value”. Therefore, in order to respond to process variations and changes, it is necessary not only to create a rule file reflecting new process data,
Even if there is no change in the layout pattern, the work of extracting the netlist from the layout data must be performed again, requiring much labor and time.

【0012】このような問題を解決する目的で、寄生素
子値が関数記述された関数記述ネットリストを抽出し、
寄生素子値が変更されても関数記述ネットリストを再度
抽出する必要のない関数記述ネットリスト抽出方法を実
行し、集積回路のプロセスおよびレイアウトの変更に対
して寄生素子の算出を容易にできるレイアウト検証装置
が、特開平6−337904号公報に開示されている。
In order to solve such a problem, a function description netlist in which the function of the parasitic element value is described is extracted,
Layout verification that executes a function description netlist extraction method that does not require the function description netlist to be extracted again even if the parasitic element value is changed, and facilitates the calculation of parasitic elements for changes in integrated circuit processes and layouts. An apparatus is disclosed in JP-A-6-337904.

【0013】このレイアウト検証装置は、半導体集積回
路のレイアウトデータをもとに抽出された各寄生素子
(寄生容量素子や寄生抵抗素子)に対して、寄生素子パラ
メータをもとに寄生的に発生する容量値、抵抗値等の寄
生素子値を算出し、寄生素子を含んだ接続情報ファイル
(関数記述ネットリストファイル)をもとにレイアウト検
証を行うレイアウト検証装置であって、寄生素子値を寄
生素子パラメータの関数で表現した寄生素子値関数を作
成する寄生素子値関数作成部、および寄生素子パラメー
タを寄生素子関数に代入して寄生素子値を算出する寄生
素子値算出部、関数記述ネットリストのうちで抽出され
た寄生素子が付加しているネットを抽出する対応ネット
抽出部、レイアウトデータの変更点を抽出するレイアウ
トデータ変更点抽出部、およびその変更点に対応する寄
生素子値関数の係数を変更することによって寄生素子値
関数を変更する寄生素子値関数変更部を備え、寄生素子
パラメータの変更に対し、その変更に対応する寄生素子
値の変更を容易に行える機能、寄生素子に対応する寄生
素子値関数をネットとともに表示する機能を有してい
た。
This layout verifying device is provided for each parasitic element extracted based on layout data of a semiconductor integrated circuit.
(Parasitic capacitance element and parasitic resistance element) Calculate parasitic element values such as capacitance value and resistance value that occur parasitically based on parasitic element parameters, and connect information file containing parasitic elements
A layout verification apparatus that performs layout verification based on a (function description netlist file), a parasitic element value function creation unit that creates a parasitic element value function that expresses a parasitic element value as a function of a parasitic element parameter, A parasitic element value calculation unit that calculates a parasitic element value by substituting element parameters into a parasitic element function, a corresponding net extraction unit that extracts a net to which a parasitic element extracted from a function description net list is added, layout data A layout data change point extraction unit that extracts a change point of the parameter, and a parasitic element value function change unit that changes the parasitic element value function by changing a coefficient of the parasitic element value function corresponding to the change point. The function to easily change the parasitic element value corresponding to the change and the parasitic element value function corresponding to the parasitic element It had the ability to display with.

【0014】[0014]

【発明が解決しようとする課題】近年、半導体集積回路
の微細化および高集積化が進むにしたがって、回路特性
のばらつき幅を解析するワーストケース解析や統計解析
(以下、この種の解析を「ばらつき解析」という)がま
すます重要になってきている。そして、集積回路の微細
化および高集積化が進展により、いわゆるディープサブ
ミクロンの設計が行われるようになると、ゲート遅延よ
りも配線遅延が支配的になると考えられるため、回路特
性のばらつき解析を行う際には、配線の容量値や抵抗値
のばらつきを反映させることが重要となる。
In recent years, as semiconductor integrated circuits have become finer and more highly integrated, worst-case analysis and statistical analysis (hereinafter referred to as "variation" Analysis) is becoming increasingly important. With the progress of miniaturization and high integration of integrated circuits, when so-called deep submicron designs are performed, it is considered that wiring delays are more dominant than gate delays. In this case, it is important to reflect variations in the capacitance value and resistance value of the wiring.

【0015】しかし、従来の回路シミュレーションを利
用したばらつき解析は、トランジスタの特性を記述する
モデルパラメータをばらつかせることしかできない。一
方、特開平6−337904号公報に開示されている関
数記述ネットリスト抽出方法及びレイアウト検証装置を
用いることにより、レイアウトデータからネットリスト
を繰り返し抽出することなく、配線の容量値や抵抗値等
に対応するプロセスデータである電気的特性値(シート
抵抗値や単位面積当たりの容量値)が変化した場合のネ
ットリストを得ることができる。しかし、特開平6−3
37904号公報では、このようなネットリストを用い
た回路シミュレーションには言及されておらず、上記の
ような回路シミュレーションを利用したばらつき解析に
ついては示唆もされていない。
However, the conventional variation analysis using circuit simulation can only vary the model parameters describing the characteristics of the transistor. On the other hand, by using the function description netlist extraction method and the layout verification device disclosed in Japanese Patent Laid-Open No. 6-337904, the capacitance value and resistance value of the wiring can be obtained without repeatedly extracting the netlist from the layout data. It is possible to obtain a netlist in a case where an electrical characteristic value (a sheet resistance value or a capacitance value per unit area) as the corresponding process data changes. However, JP-A-6-3
Japanese Patent No. 37904 does not refer to such a circuit simulation using a netlist, and does not suggest a variation analysis using the above-described circuit simulation.

【0016】そこで本発明では、プロセスのばらつきや
変更に対応して回路シミュレーションにより回路特性を
再度予測する場合でも、ネットリストの抽出作業を新た
に行う必要がないようにし、かつ、配線容量や配線抵抗
に対応するプロセスデータである電気的特性値のばらつ
きを反映させたワーストケース解析や統計解析等のばら
つき解析を可能とする回路シミュレーション方法や回路
シミュレーション装置等を提供することを目的とする。
Therefore, in the present invention, even when circuit characteristics are predicted again by circuit simulation in response to process variations or changes, it is not necessary to perform a new netlist extraction operation, and the wiring capacity and wiring It is an object of the present invention to provide a circuit simulation method, a circuit simulation device, and the like that enable a variation analysis such as a worst case analysis or a statistical analysis that reflects a variation in an electrical characteristic value that is process data corresponding to a resistance.

【0017】[0017]

【課題を解決するための手段】上記課題を解決するため
になされた本発明に係る第1の回路シミュレーション方
法は、ネットリストによって回路構成が特定される集積
回路の動作のシミュレーションを行う回路シミュレーシ
ョン方法において、前記集積回路のレイアウトパターン
の幾何学的形状を示すパラメータである定数と集積回路
を製造するためのプロセスによって決まる電気的特性値
である変数とにより表現される関数であって前記レイア
ウトパターンの寄生容量または寄生抵抗の値を含む寄生
素子値を示す関数を使用しつつ前記集積回路の回路構成
を記述したネットリストを用い、該ネットリストにおい
て関数で記述された寄生素子値を数値化し、数値化され
た寄生素子値を用いて前記シミュレーションを行う、こ
とを特徴としている。
A first circuit simulation method according to the present invention for solving the above-mentioned problem is a circuit simulation method for simulating an operation of an integrated circuit whose circuit configuration is specified by a netlist. A function represented by a constant that is a parameter indicating a geometric shape of the layout pattern of the integrated circuit and a variable that is an electrical characteristic value determined by a process for manufacturing the integrated circuit, and Using a netlist describing the circuit configuration of the integrated circuit using a function indicating a parasitic element value including a value of a parasitic capacitance or a parasitic resistance, quantifying the parasitic element value described by the function in the netlist, Performing the simulation using the converted parasitic element values. .

【0018】本発明に係る第2の回路シミュレーション
方法は、上記第1の回路シミュレーション方法におい
て、前記変数の各々に対してばらつき幅を設定する第1
ステップと、前記ばらつき幅の範囲内での前記変数の最
大値または最小値のいずれかを該変数の代表値として用
いて前記関数より前記寄生素子値の各々を算出する第2
ステップと、第2ステップで算出された前記寄生素子値
を用いて前記シミュレーションを行う第3ステップとを
備え、前記変数の各々に対する前記代表値の全ての組み
合わせにつき第2および第3ステップを繰り返し実行し
て、前記代表値の各組み合わせに対する前記シミュレー
ションの結果として前記集積回路の回路特性値を得るこ
とにより、該回路特性値のばらつき幅を求める、ことを
特徴としている。
A second circuit simulation method according to the present invention is the first circuit simulation method according to the first circuit simulation method, wherein a variation width is set for each of the variables.
A step of calculating each of the parasitic element values from the function using either the maximum value or the minimum value of the variable within the range of the variation as a representative value of the variable.
And a third step of performing the simulation using the parasitic element values calculated in the second step, and repeatedly executing the second and third steps for all combinations of the representative values for each of the variables Then, by obtaining a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values, a variation width of the circuit characteristic value is obtained.

【0019】本発明に係る第3の回路シミュレーション
方法は、上記第1の回路シミュレーション方法におい
て、前記変数の各々に対して所定の分布を設定する第1
ステップと、前記変数毎に設定された前記分布に基づい
て前記変数の代表値を設定する第2ステップと、第2ス
テップで設定された前記変数の代表値に基づいて、前記
関数より前記寄生素子値の各々を算出する第3ステップ
と、第3ステップで算出された前記寄生素子値を用いて
前記シミュレーションを行う第4ステップとを備え、前
記変数の各々に対する前記代表値の全ての組み合わせに
つき第2、第3および第4ステップを繰り返し実行し
て、前記代表値の各組み合わせに対する前記シミュレー
ションの結果として前記集積回路の回路特性値を得るこ
とにより、該回路特性値の分布を求める、ことを特徴と
している。
According to a third circuit simulation method of the present invention, in the first circuit simulation method, a first distribution is set for each of the variables.
A step of setting a representative value of the variable based on the distribution set for each variable; and a step of setting the parasitic element from the function based on the representative value of the variable set in the second step. A third step of calculating each of the values, and a fourth step of performing the simulation using the parasitic element values calculated in the third step, wherein a fourth step is performed for all combinations of the representative values for each of the variables. 2. A distribution of the circuit characteristic value is obtained by repeatedly executing a third step and a fourth step to obtain a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values. And

【0020】本発明に係る第4の回路シミュレーション
方法は、上記第3の回路シミュレーション方法であっ
て、前記第1ステップで、前記所定の分布としてガウス
分布を設定することを特徴としている。
A fourth circuit simulation method according to the present invention is the third circuit simulation method described above, wherein in the first step, a Gaussian distribution is set as the predetermined distribution.

【0021】本発明に係る第1の回路シミュレーション
プログラムを記録した記録媒体は、ネットリストによっ
て回路構成が特定される集積回路の動作のシミュレーシ
ョンをコンピュータに実行させるための回路シミュレー
ションプログラムを記録した記録媒体において、前記集
積回路のレイアウトパターンの幾何学的形状を示すパラ
メータである定数と集積回路を製造するためのプロセス
によって決まる電気的特性値である変数とにより表現さ
れる関数であって前記レイアウトパターンの寄生容量ま
たは寄生抵抗の値を含む寄生素子値を示す関数を使用し
つつ前記集積回路の回路構成を記述したネットリストを
用い、該ネットリストにおいて関数で記述された寄生素
子値を数値化し、数値化された寄生素子値を用いて前記
シミュレーションを行う、という機能をコンピュータに
実現させるための回路シミュレーションプログラムを記
録したことを特徴としている。
A recording medium storing a first circuit simulation program according to the present invention is a recording medium storing a circuit simulation program for causing a computer to simulate an operation of an integrated circuit whose circuit configuration is specified by a netlist. A function represented by a constant that is a parameter indicating a geometric shape of the layout pattern of the integrated circuit and a variable that is an electrical characteristic value determined by a process for manufacturing the integrated circuit, and Using a netlist describing the circuit configuration of the integrated circuit while using a function indicating a parasitic element value including a value of a parasitic capacitance or a parasitic resistance, quantifying the parasitic element value described by the function in the netlist, Simulation using the optimized parasitic element values Performed, and characterized by recording a circuit simulation program for realizing that capability to the computer.

【0022】本発明に係る第2の回路シミュレーション
プログラムを記録した記録媒体では、上記第1の回路シ
ミュレーションプログラムを記録した記録媒体におい
て、前記回路シミュレーションプログラムは、前記変数
の各々に対してばらつき幅を設定する第1ステップと、
前記ばらつき幅の範囲内での前記変数の最大値または最
小値のいずれかを該変数の代表値として用いて前記関数
より前記寄生素子値の各々を算出する第2ステップと、
第2ステップで算出された前記寄生素子値を用いて前記
シミュレーションを行う第3ステップとを有し、前記変
数の各々に対する前記代表値の全ての組み合わせにつき
第2および第3ステップを繰り返し実行して、前記代表
値の各組み合わせに対する前記シミュレーションの結果
として前記集積回路の回路特性値を得ることにより、該
回路特性値のばらつき幅を求めるという機能をコンピュ
ータに実現させていることを特徴としている。
According to a second aspect of the present invention, there is provided a recording medium storing a second circuit simulation program, wherein the circuit simulation program has a variation width for each of the variables. A first step of setting;
A second step of calculating each of the parasitic element values from the function using either the maximum value or the minimum value of the variable within the range of the variation as a representative value of the variable;
And a third step of performing the simulation using the parasitic element values calculated in the second step, and repeatedly executing the second and third steps for all combinations of the representative values for each of the variables. The function of obtaining a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values to obtain a variation width of the circuit characteristic value is realized by a computer.

【0023】本発明に係る第3の回路シミュレーション
プログラムを記録した記録媒体では、上記第1の回路シ
ミュレーションプログラムを記録した記録媒体におい
て、前記回路シミュレーションプログラムは、前記変数
の各々に対して所定の分布を設定する第1ステップと、
前記変数毎に設定された前記分布に基づいて前記変数の
代表値を設定する第2ステップと、第2ステップで設定
された前記変数の代表値に基づいて、前記関数より前記
寄生素子値の各々を算出する第3ステップと、第3ステ
ップで算出された前記寄生素子値を用いて前記シミュレ
ーションを行う第4ステップとを有し、前記変数の各々
に対する前記代表値の全ての組み合わせにつき第2、第
3および第4ステップを繰り返し実行して、前記代表値
の各組み合わせに対する前記シミュレーションの結果と
して前記集積回路の回路特性値を得ることにより、該回
路特性値の分布を求めるという機能をコンピュータに実
現させていることを特徴としている。
According to a third aspect of the present invention, there is provided a recording medium storing a third circuit simulation program, wherein the circuit simulation program has a predetermined distribution for each of the variables. A first step of setting
A second step of setting a representative value of the variable based on the distribution set for each of the variables, each of the parasitic element values from the function based on the representative value of the variable set in the second step And a fourth step of performing the simulation using the parasitic element values calculated in the third step, wherein the second and third steps are performed for all combinations of the representative values for each of the variables. The third and fourth steps are repeatedly executed to obtain a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values, thereby realizing a function of obtaining a distribution of the circuit characteristic value in the computer. It is characterized by having

【0024】本発明に係る第4の回路シミュレーション
プログラムを記録した記録媒体では、上記第3の回路シ
ミュレーションプログラムを記録した記録媒体におい
て、前記第1ステップで、前記所定の分布としてガウス
分布を設定することを特徴としている。
In the recording medium storing the fourth circuit simulation program according to the present invention, in the recording medium storing the third circuit simulation program, a Gaussian distribution is set as the predetermined distribution in the first step. It is characterized by:

【0025】本発明に係る第1の回路シミュレーション
装置は、ネットリストによって回路構成が特定される集
積回路の動作のシミュレーションを行う回路シミュレー
ション装置において、前記集積回路のレイアウトパター
ンの幾何学的形状を示すパラメータである定数と集積回
路を製造するためのプロセスによって決まる電気的特性
値である変数とにより表現される関数であって前記レイ
アウトパターンの寄生容量または寄生抵抗の値を含む寄
生素子値を示す関数を使用しつつ前記集積回路の回路構
成を記述したネットリストを用い、該ネットリストにお
いて関数で記述された寄生素子値を数値化し、数値化さ
れた寄生素子値を用いて前記シミュレーションを行う、
ことを特徴としている。
A first circuit simulation apparatus according to the present invention is a circuit simulation apparatus for simulating an operation of an integrated circuit whose circuit configuration is specified by a netlist, and shows a geometric shape of a layout pattern of the integrated circuit. A function represented by a constant that is a parameter and a variable that is an electrical characteristic value determined by a process for manufacturing an integrated circuit, and is a function indicating a parasitic element value including a parasitic capacitance or a parasitic resistance value of the layout pattern. Using a netlist describing the circuit configuration of the integrated circuit while using the numerical value of the parasitic element value described by the function in the netlist, the simulation is performed using the numerical value of the parasitic element value,
It is characterized by:

【0026】本発明に係る第2の回路シミュレーション
装置は、上記第1の回路シミュレーション装置におい
て、前記変数の各々に対してばらつき幅を設定する設定
手段と、前記ばらつき幅の範囲内での前記変数の最大値
または最小値のいずれかを該変数の代表値として用いて
前記関数より前記寄生素子値の各々を算出する素子値算
出手段と、素子値算出手段によって算出された前記寄生
素子値を用いて前記シミュレーションを行うシミュレー
ション実行手段と、前記変数の各々に対する前記代表値
の全ての組み合わせにつき、素子値算出手段による前記
寄生素子値の算出とシミュレーション実行手段による前
記シミュレーションとを繰り返し実行して、前記代表値
の各組み合わせに対する前記シミュレーションの結果と
して前記集積回路の回路特性値を得ることにより、該回
路特性値のばらつき幅を求める解析手段と、を備えるこ
とを特徴としている。
According to a second circuit simulation apparatus of the present invention, in the first circuit simulation apparatus, setting means for setting a variation width for each of the variables, and the variable within a range of the variation width. Element value calculation means for calculating each of the parasitic element values from the function using either the maximum value or the minimum value of the variables as a representative value of the variable, and using the parasitic element value calculated by the element value calculation means. Simulation execution means for performing the simulation, and for all combinations of the representative values for each of the variables, repeatedly performing the calculation of the parasitic element value by the element value calculation means and the simulation by the simulation execution means, As a result of the simulation for each combination of representative values, By obtaining road characteristic values, and an analyzing means for determining the variation range of the circuit characteristic value, characterized in that it comprises a.

【0027】本発明に係る第3の回路シミュレーション
装置は、上記第1の回路シミュレーション装置におい
て、前記変数の各々に対して所定の分布を設定する第1
設定手段と、前記変数毎に設定された前記分布に基づい
て前記変数の代表値を設定する第2設定手段と、第2設
定手段で設定された前記変数の代表値に基づいて、前記
関数より前記寄生素子値の各々を算出する算出手段と、
算出手段で算出された前記寄生素子値を用いて前記シミ
ュレーションを行うシミュレーション実行手段と、前記
変数の各々に対する前記代表値の全ての組み合わせにつ
き、第2設定手段による前記変数の代表値の設定、算出
手段による前記寄生素子値の各々の算出、およびシミュ
レーション実行手段による前記シミュレーションを繰り
返し実行して、前記代表値の各組み合わせに対する前記
シミュレーションの結果として前記集積回路の回路特性
値を得ることにより、該回路特性値の分布を求める解析
手段と、を備えることを特徴としている。
A third circuit simulation apparatus according to the present invention is the first circuit simulation apparatus, wherein the first circuit sets a predetermined distribution for each of the variables.
Setting means, second setting means for setting a representative value of the variable based on the distribution set for each variable, and a function based on the representative value of the variable set by the second setting means. Calculating means for calculating each of the parasitic element values;
Simulation execution means for performing the simulation using the parasitic element value calculated by the calculation means; and setting and calculation of the representative value of the variable by the second setting means for all combinations of the representative value for each of the variables. Means for calculating each of said parasitic element values and means for repeatedly executing said simulation by means of simulation executing means to obtain circuit characteristic values of said integrated circuit as a result of said simulation for each combination of said representative values. Analysis means for obtaining a distribution of characteristic values.

【0028】本発明に係る第4の回路シミュレーション
装置では、上記第3の回路シミュレーション装置におい
て、前記第1設定手段は、前記所定の分布としてガウス
分布を設定することを特徴としている。
According to a fourth circuit simulation device of the present invention, in the third circuit simulation device, the first setting means sets a Gaussian distribution as the predetermined distribution.

【0029】本発明に係る第5の回路シミュレーション
方法は、前記集積回路のレイアウトパターンの幾何学的
形状を示すパラメータである定数と、集積回路を製造す
るためのプロセスによって決まる電気的特性値である変
数とにより表現される関数であって、前記レイアウトパ
ターンの寄生容量または寄生抵抗の値を示す関数を導出
する第1ステップと、前記シミュレーションの対象とな
る集積回路を製造するためのプロセスに対応する前記変
数の値を獲得する第2ステップと、第1ステップで導出
された関数の記述と第2ステップで獲得された前記変数
の値の定義とにより前記寄生容量または寄生抵抗の値を
表した前記ネットリストを、第1のネットリストとして
生成する第3ステップと、第3ステップで生成された第
1のネットリストにおいて関数で記述された寄生容量値
または寄生抵抗値を数値化する第4ステップと、第3ス
テップで生成されたネットリストで前記集積回路の回路
構成を特定するとともに第4ステップで数値化された寄
生容量値または寄生抵抗値を用いて前記集積回路の動作
のシミュレーションを行う第5ステップと、を備えるこ
とを特徴としている。
In a fifth circuit simulation method according to the present invention, a constant which is a parameter indicating a geometric shape of a layout pattern of the integrated circuit and an electric characteristic value which is determined by a process for manufacturing the integrated circuit. A first step of deriving a function that is a function expressed by a variable and that indicates a value of a parasitic capacitance or a parasitic resistance of the layout pattern, and corresponds to a process for manufacturing an integrated circuit to be simulated. A second step of obtaining the value of the variable, and a description of the value of the parasitic capacitance or the parasitic resistance based on the description of the function derived in the first step and the definition of the value of the variable obtained in the second step. A third step of generating a netlist as a first netlist, and a first netlist generated in the third step A fourth step of digitizing a parasitic capacitance value or a parasitic resistance value described by a function, and specifying the circuit configuration of the integrated circuit with the netlist generated in the third step, and digitizing the circuit configuration in the fourth step. And simulating the operation of the integrated circuit using the parasitic capacitance value or the parasitic resistance value.

【0030】本発明に係る第6の回路シミュレーション
方法は、上記第5の回路シミュレーション方法におい
て、前記第1ステップで、前記レイアウトパターンの単
位面積または単位長さ当たりの容量値を前記変数として
前記寄生容量の値を示す関数を導出することを特徴とし
ている。
In a sixth circuit simulation method according to the present invention, in the fifth circuit simulation method, in the first step, the capacitance value per unit area or unit length of the layout pattern is used as the variable as the variable. It is characterized in that a function indicating the value of the capacity is derived.

【0031】本発明に係る第7の回路シミュレーション
方法は、上記第5の回路シミュレーション方法におい
て、前記第1ステップで、前記レイアウトパターンの単
位長さ当たりの抵抗値またはシート抵抗値を前記変数と
して前記寄生抵抗の値を示す関数を導出することを特徴
としている。
In a seventh circuit simulation method according to the present invention, in the fifth circuit simulation method, in the first step, a resistance value or a sheet resistance value per unit length of the layout pattern is set as the variable. It is characterized in that a function indicating the value of the parasitic resistance is derived.

【0032】本発明に係る第8の回路シミュレーション
方法は、上記第5ないし上記第7のいずれかの回路シミ
ュレーション方法において、前記レイアウトパターンの
単位長さ当たりの抵抗値、シート抵抗値、単位面積当た
りの容量値、および単位長さ当たりの容量値を含む前記
電気的特性値の中から、前記変数として使用される電気
的特性値を指定する第6ステップを備え、前記第1ステ
ップでは、第6ステップで指定された電気的特性値を用
いて計算される寄生容量及び寄生抵抗に対してのみ前記
関数を導出する、ことを特徴としている。
According to an eighth circuit simulation method according to the present invention, in any one of the fifth to seventh circuit simulation methods, the resistance value per unit length, the sheet resistance value, and the unit area per unit length of the layout pattern are provided. A sixth step of designating an electric characteristic value to be used as the variable from among the electric characteristic values including a capacitance value per unit length and a capacitance value per unit length, wherein the first step includes: The function is derived only for the parasitic capacitance and the parasitic resistance calculated using the electric characteristic values specified in the step.

【0033】本発明に係る第9の回路シミュレーション
方法は、上記第5ないし上記第8のいずれかの回路シミ
ュレーション方法において、前記第3ステップで生成さ
れた前記第1のネットリストによって記述される回路構
成において並列接続された寄生容量を合成することによ
り、素子数を減らした第2のネットリストを生成する第
7ステップを備え、前記第4ステップでは、前記第3ス
テップで生成された第1のネットリストに代えて、第7
ステップで生成された第2のネットリストにおいて関数
で記述された寄生容量値または寄生抵抗値を数値化し、
前記第5ステップでは、前記第3ステップで生成された
第1のネットリストに代えて第7ステップで生成された
第2のネットリストで前記集積回路の回路構成を特定す
るとともに第4ステップで数値化された寄生容量値また
は寄生抵抗値を用いて前記集積回路の動作のシミュレー
ションを行う、ことを特徴としている。
A ninth circuit simulation method according to the present invention is the circuit simulation method according to any of the fifth to eighth circuit simulation methods, wherein the circuit is described by the first netlist generated in the third step. A seventh step of generating a second netlist with a reduced number of elements by combining parasitic capacitances connected in parallel in the configuration; and in the fourth step, the first netlist generated in the third step is provided. 7th place instead of netlist
Digitizing the parasitic capacitance value or the parasitic resistance value described by the function in the second netlist generated in the step,
In the fifth step, the circuit configuration of the integrated circuit is specified by the second netlist generated in the seventh step instead of the first netlist generated in the third step, and the numerical value is determined in the fourth step. The operation of the integrated circuit is simulated using the converted parasitic capacitance value or parasitic resistance value.

【0034】本発明に係る第10の回路シミュレーショ
ン方法は、上記第5ないし上記第8のいずれかの回路シ
ミュレーション方法において、前記第3ステップで生成
された前記第1のネットリストによって記述される回路
構成において寄生容量または寄生抵抗の合成を行うこと
により、ノード数を減らした第3のネットリストを生成
する第8ステップを備え、前記第4ステップでは、前記
第3ステップで生成された第1のネットリストに代え
て、第8ステップで生成された第3のネットリストにお
いて関数で記述された寄生容量値または寄生抵抗値を数
値化し、前記第5ステップでは、前記第3ステップで生
成された第1のネットリストに代えて第8ステップで生
成された第3のネットリストで前記集積回路の回路構成
を特定するとともに第4ステップで数値化された寄生容
量値または寄生抵抗値を用いて前記集積回路の動作のシ
ミュレーションを行う、ことを特徴としている。
A tenth circuit simulation method according to the present invention is the circuit simulation method according to any of the fifth to eighth circuit simulation methods, wherein the circuit is described by the first netlist generated in the third step. An eighth step of generating a third netlist with a reduced number of nodes by combining parasitic capacitance or parasitic resistance in the configuration is provided. In the fourth step, the first netlist generated in the third step is provided. In place of the netlist, a parasitic capacitance value or a parasitic resistance value described as a function in the third netlist generated in the eighth step is quantified, and in the fifth step, the parasitic capacitance value or the parasitic resistance value generated in the third step is generated. In addition to specifying the circuit configuration of the integrated circuit with the third netlist generated in the eighth step instead of the one netlist, Performing a simulation of operation of the integrated circuit by using the digitized parasitic capacitance or parasitic resistance in four steps, it is characterized in that.

【0035】本発明に係る第11の回路シミュレーショ
ン方法は、上記第5ないし上記第8のいずれかの回路シ
ミュレーション方法において、前記第1ステップで導出
された前記関数に前記第2ステップで獲得された前記変
数の値を代入することにより、前記第1ないし第3のネ
ットリストにおいて前記寄生容量または寄生抵抗の値を
数値化した第4のネットリストを生成する第9ステップ
を備えることを特徴としている。
According to an eleventh circuit simulation method according to the present invention, in any one of the fifth to eighth circuit simulation methods, the function derived in the first step is obtained in the second step. A ninth step of generating a fourth netlist in which the values of the parasitic capacitance or the parasitic resistance are quantified in the first to third netlists by substituting the values of the variables is provided. .

【0036】本発明に係る第5の回路シミュレーション
プログラムを記録した記録媒体は、ネットリストによっ
て回路構成が特定される集積回路の動作のシミュレーシ
ョンをコンピュータに実行させるための回路シミュレー
ションプログラムを記録した記録媒体において、前記集
積回路のレイアウトパターンの幾何学的形状を示すパラ
メータである定数と、集積回路を製造するためのプロセ
スによって決まる電気的特性値である変数とにより表現
される関数であって、前記レイアウトパターンの寄生容
量または寄生抵抗の値を示す関数を導出する第1ステッ
プと、前記シミュレーションの対象となる集積回路を製
造するためのプロセスに対応する前記変数の値を獲得す
る第2ステップと、第1ステップで導出された関数の記
述と第2ステップで獲得された前記変数の値の定義とに
より前記寄生容量または寄生抵抗の値を表した前記ネッ
トリストを、第1のネットリストとして生成する第3ス
テップと、第3ステップで生成された第1のネットリス
トにおいて関数で記述された寄生容量値または寄生抵抗
値を数値化する第4ステップと、第3ステップで生成さ
れたネットリストで前記集積回路の回路構成を特定する
とともに第4ステップで数値化された寄生容量値または
寄生抵抗値を用いて前記集積回路の動作のシミュレーシ
ョンを行う第5ステップと、をコンピュータに実行させ
る回路シミュレーションプログラムを記録したことを特
徴としている。
A recording medium storing a fifth circuit simulation program according to the present invention is a recording medium storing a circuit simulation program for causing a computer to execute an operation simulation of an integrated circuit whose circuit configuration is specified by a netlist. A function represented by a constant that is a parameter indicating a geometric shape of a layout pattern of the integrated circuit and a variable that is an electrical characteristic value determined by a process for manufacturing an integrated circuit, wherein the layout A first step of deriving a function indicating a value of a parasitic capacitance or a parasitic resistance of the pattern; a second step of obtaining a value of the variable corresponding to a process for manufacturing an integrated circuit to be simulated; Description of function derived in one step and second step A third step of generating, as a first netlist, the netlist representing the value of the parasitic capacitance or the parasitic resistance according to the acquired definition of the value of the variable; A fourth step of digitizing a parasitic capacitance value or a parasitic resistance value described by a function in the netlist, and specifying the circuit configuration of the integrated circuit by the netlist generated in the third step, and digitizing in the fourth step And a fifth step of simulating the operation of the integrated circuit using the parasitic capacitance value or the parasitic resistance value, and recording a circuit simulation program for causing a computer to execute the fifth step.

【0037】本発明に係る第5の回路シミュレーション
装置は、ネットリストにより回路構成が特定される集積
回路の動作のシミュレーションを行う回路シミュレーシ
ョン装置において、前記集積回路のレイアウトパターン
の幾何学的形状を示すパラメータである定数と、集積回
路を製造するためのプロセスによって決まる電気的特性
値である変数とにより表現される関数であって、前記レ
イアウトパターンの寄生容量または寄生抵抗の値を示す
関数を導出する関数導出手段と、前記シミュレーション
の対象となる集積回路を製造するためのプロセスに対応
する前記変数の値を獲得する値獲得手段と、関数導出手
段で導出された関数の記述と値獲得手段で獲得された前
記変数の値の定義とにより前記寄生容量または寄生抵抗
の値を表した前記ネットリストを、第1のネットリスト
として生成するリスト生成手段と、前記第1のネットリ
ストにおいて関数で記述された寄生容量値または寄生抵
抗値を数値化する数値化手段と、前記第1のネットリス
トで前記集積回路の回路構成を特定するとともに前記数
値化手段で数値化された寄生容量値または寄生抵抗値を
用いて前記シミュレーションを行うシミュレーション実
行手段と、を備えることを特徴としている。
A fifth circuit simulation apparatus according to the present invention is a circuit simulation apparatus for simulating the operation of an integrated circuit whose circuit configuration is specified by a netlist, and shows a geometric shape of a layout pattern of the integrated circuit. A function represented by a constant that is a parameter and a variable that is an electrical characteristic value determined by a process for manufacturing an integrated circuit, and derives a function indicating a value of a parasitic capacitance or a parasitic resistance of the layout pattern. Function deriving means, value obtaining means for obtaining a value of the variable corresponding to a process for manufacturing the integrated circuit to be simulated, and description of the function derived by the function deriving means and obtained by the value obtaining means The value of the parasitic capacitance or parasitic resistance by the definition of the value of the variable List generating means for generating a netlist as a first netlist; digitizing means for numerically expressing a parasitic capacitance value or a parasitic resistance value described by a function in the first netlist; Simulation execution means for specifying the circuit configuration of the integrated circuit and performing the simulation using the parasitic capacitance value or the parasitic resistance value quantified by the quantification means.

【0038】[0038]

【発明の実施の形態】以下、図面を参照しつつ本発明の
各種実施形態について説明する。 <1. 各種実施形態に共通する構成>まず、本発明に
係る回路シミュレーション装置の各種実施形態に共通す
る構成について説明する。本発明の実施形態としての回
路シミュレーション装置は、半導体集積回路の設計レイ
アウトパターンを示すレイアウトデータを用いて回路シ
ミュレーションを行うものであり、レイアウトデータか
ら集積回路の回路構成を示すネットリストを生成するネ
ットリスト抽出部と、生成されたネットリストによって
特定される集積回路の動作のシミュレーションを行うシ
ミュレーション部(狭義の回路シミュレーション装置)
とから構成される。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Various embodiments of the present invention will be described below with reference to the drawings. <1. Configuration Common to Various Embodiments> First, a configuration common to various embodiments of the circuit simulation apparatus according to the present invention will be described. A circuit simulation apparatus as an embodiment of the present invention performs a circuit simulation using layout data indicating a design layout pattern of a semiconductor integrated circuit, and generates a netlist indicating a circuit configuration of the integrated circuit from the layout data A list extraction unit and a simulation unit that simulates the operation of an integrated circuit specified by the generated netlist (a circuit simulation device in a narrow sense)
It is composed of

【0039】図2は、本発明の実施形態としての回路シ
ミュレーション装置のハードウェア構成を示すブロック
図である。本回路シミュレーション装置のハードウェア
は、エンジニアリング・ワークステーションなどのコン
ピュータであって、CPU56とメモリ58などから成
る本体50と、ハードディスク装置52と、キーボード
54と、CRT60とから構成されている。そして、メ
モリ58に格納される所定のプログラムに基づいてCP
U56が動作することにより、半導体集積回路のレイア
ウトデータからネットリストが抽出されるとともに、そ
のネットリストによって特定される集積回路の動作のシ
ミュレーションが行われる。すなわち、メモリ58に
は、後述のネットワーク抽出方法を実施するためのプロ
グラム(以下「ネットリスト抽出プログラム」という)
と、後述の回路シミュレーション方法を実施するための
プログラム(以下「シミュレーションプログラム」とい
う)とが格納され、上記コンピュータは、CPU56が
ネットリスト抽出プログラムを実行している場合にはネ
ットリスト抽出装置として動作し(上記ネットリスト抽
出部の実現)、CPU56がシミュレーションプログラ
ムを実行している場合には回路シミュレーション実行装
置(狭義の回路シミュレーション装置)として動作する
(上記シミュレーション部の実現)。
FIG. 2 is a block diagram showing a hardware configuration of a circuit simulation apparatus as an embodiment of the present invention. The hardware of the circuit simulation apparatus is a computer such as an engineering workstation, and includes a main body 50 including a CPU 56 and a memory 58, a hard disk device 52, a keyboard 54, and a CRT 60. Then, based on a predetermined program stored in the memory 58, the CP
When U56 operates, a netlist is extracted from the layout data of the semiconductor integrated circuit, and a simulation of the operation of the integrated circuit specified by the netlist is performed. That is, a program (hereinafter, referred to as a “netlist extraction program”) for implementing a network extraction method described below is stored in the memory 58.
And a program for executing a circuit simulation method described below (hereinafter referred to as a “simulation program”). The computer operates as a netlist extraction device when the CPU 56 executes the netlist extraction program. Then, when the CPU 56 is executing the simulation program, it operates as a circuit simulation execution device (a circuit simulation device in a narrow sense) (realization of the simulation unit).

【0040】<1.2 ネットリスト抽出部の構成>次
に、本発明の実施形態としての回路シミュレーション装
置におけるネットリスト抽出部の各種構成例について説
明する。 <1.2.1 構成例1>本構成例についても、従来例の
説明と同様、図3に示す配線構造に対するネットリスト
の抽出を例として説明する。本構成例では、レイアウト
データから寄生容量および寄生抵抗を抽出するための抽
出ルールを記述したルールファイル、ならびに、半導体
集積回路のレイアウトパターンを示すレイアウトデータ
は、予めハードディスク装置52に格納されている。こ
こで、ルールファイルに記述された抽出ルールに基づ
き、すなわちルールファイルで指定された近似モデルお
よび配線構造の分割段数に基づき、従来例と同様、ノー
ド10および20をAl配線100上に設定してこれら
のノードでAl配線100を分割し、ノード10と20
の間に抵抗R10を、ノード20とSi基板103との間
に容量Cb+Cf1+Cf2をそれぞれ付加することによ
り、図3に示した配線構造をL型近似するものとする
(図4参照)。また本構成例では、ルールファイルにお
いて、Al配線100のシート抵抗値、単位配線面積当
たりのボトム容量値、単位配線フリンジ長当たりのフリ
ンジ容量値などの電気的特性値がプロセスデータとして
与えられているのみならず、これらの電気的特性値に対
して「RAL」、「CBAL」、「CFAL」というパ
ラメータ名が、それぞれ与えられており、この点におい
て従来例と相違する。
<1.2 Configuration of Net List Extraction Unit> Next, various configuration examples of the net list extraction unit in the circuit simulation apparatus according to the embodiment of the present invention will be described. <1.2.1 Structural Example 1> In this structural example, as in the description of the conventional example, the extraction of the netlist for the wiring structure shown in FIG. 3 will be described as an example. In this configuration example, a rule file describing an extraction rule for extracting a parasitic capacitance and a parasitic resistance from the layout data, and layout data indicating a layout pattern of the semiconductor integrated circuit are stored in the hard disk device 52 in advance. Here, based on the extraction rules described in the rule file, that is, based on the approximate model and the number of divisions of the wiring structure specified in the rule file, the nodes 10 and 20 are set on the Al wiring 100 as in the conventional example. The Al wiring 100 is divided at these nodes, and the nodes 10 and 20 are divided.
By adding a resistor R10 between the node 20 and a capacitor Cb + Cf1 + Cf2 between the node 20 and the Si substrate 103, the wiring structure shown in FIG. 3 is approximated by an L-shape (see FIG. 4). Further, in this configuration example, in the rule file, electrical characteristic values such as a sheet resistance value of the Al wiring 100, a bottom capacitance value per unit wiring area, and a fringe capacitance value per unit wiring fringe length are given as process data. In addition, parameter names "RAL", "CBAL", and "CFAL" are given to these electrical characteristic values, respectively, which is different from the conventional example in this point.

【0041】図1(a)は、本構成例におけるネットリ
スト抽出の手順を示すフローチャートである。本構成例
のネットリストの抽出部では、まず、ステップS10に
おいて、上述のルールファイルをハードディスク装置5
2から読み込む。続いてステップS12において、レイ
アウトデータをハードディスク装置52から読み込む。
その後、ステップS14において、ステップS10で読
み込まれたルールファイルに基づき、ステップS12で
読み込まれたレイアウトデータから、以下のようにして
ネットリストを生成し、生成されたネットリストをハー
ドディスク装置52に格納する(ネットリストの抽
出)。
FIG. 1A is a flowchart showing a procedure for extracting a netlist in this configuration example. In the netlist extraction unit of this configuration example, first, in step S10, the above-described rule file is
Read from 2. Subsequently, in step S12, the layout data is read from the hard disk device 52.
Thereafter, in step S14, based on the rule file read in step S10, a netlist is generated from the layout data read in step S12 as follows, and the generated netlist is stored in the hard disk device 52. (Netlist extraction).

【0042】図1(b)は、ステップS14におけるネ
ットリスト抽出の詳細手順を示すフローチャートであ
る。このフローチャートに示すように、ネットリストの
抽出の際には、まず、レイアウトデータによって表され
る配線等のパターン上に、ルールファイルによって指定
される分割段数に基づきノードを設定する(ステップS
20)。次に、レイアウトデータからトランジスタを認
識してトランジスタに関する情報を抽出する(ステップ
S22)。そして、前記ノードの設定およびルールファ
イルで指定される近似モデルに基づき、レイアウトパタ
ーンに対応する分布定数回路を集中定数回路で近似し、
その集中定数回路を構成する寄生容量および寄生抵抗の
値を示す関数であってシート抵抗値RAL、単位配線面
積当たりのボトム容量値CBAL、単位配線フリンジ長
当たりのフリンジ容量値CFALを変数とする関数を導
出する(ステップS24)。この関数の導出には、配線
長や配線幅、配線の面積など、レイアウトパターンの幾
何学的形状を示す数値が必要となるが、これらの数値は
レイアウトデータから得る。寄生容量および寄生抵抗の
値を示す関数を導出した後は、これらの寄生容量および
寄生抵抗の前記ノードへの付加を示す接続情報を生成す
る(ステップS26)。そして、このようにして得られ
た関数や接続情報等と、ルールファイルから読み込まれ
たプロセスデータとしての電気的特性値とを用いて、寄
生容量や寄生抵抗の値を示す関数の記述と、プロセスデ
ータとしての電気的特性値を示すパラメータRAL、C
BAL、CFALの値の定義(上記関数の変数の値の定
義)とを含むネットリストを生成する(ステップS2
8)。
FIG. 1B is a flowchart showing the detailed procedure of extracting the net list in step S14. As shown in this flowchart, when extracting a netlist, first, a node is set on a pattern of wiring or the like represented by layout data based on the number of divisions specified by a rule file (step S).
20). Next, the transistor is recognized from the layout data and information about the transistor is extracted (step S22). Then, based on the approximation model specified in the node setting and the rule file, a distributed constant circuit corresponding to the layout pattern is approximated by a lumped constant circuit,
A function indicating the values of the parasitic capacitance and the parasitic resistance constituting the lumped constant circuit, the variable being a sheet resistance value RAL, a bottom capacitance value CBAL per unit wiring area, and a fringe capacitance value CFAL per unit wiring fringe length. Is derived (step S24). Derivation of this function requires numerical values indicating the geometric shape of the layout pattern, such as the wiring length, wiring width, and wiring area. These numerical values are obtained from the layout data. After deriving the functions indicating the values of the parasitic capacitance and the parasitic resistance, connection information indicating the addition of the parasitic capacitance and the parasitic resistance to the node is generated (step S26). Using the functions and connection information obtained in this way and the electrical characteristic values as process data read from the rule file, a description of a function indicating the value of the parasitic capacitance or Parameters RAL and C indicating electrical characteristic values as data
A netlist including definitions of BAL and CFAL values (definition of values of variables of the above function) is generated (step S2).
8).

【0043】一般に、配線抵抗R10は「RAL×配線長
/配線幅」で、ボトム容量Cbは「CBAL×配線面
積」で、フリンジ容量Cf1およびCf2は「CFAL×配
線フリンジ長」でそれぞれ算出されるため、ルールファ
イルで指定された分割段数とレイアウトデータより、例
えば、ノード10と20の間の配線長=10μm、配線
幅=1μmという数値が得られた場合は、R10=10・
RAL[Ω]、Cb=10・CBAL[fF]、Cf1=C
f2=10・CFAL[fF]となる。この場合、図3に
示した配線構造に対し図5に示すようなネットリストL
st_ntが生成される。このネットリストLst_ntは図
14に示した従来例とは異なり、寄生容量値および寄生
抵抗値が、パラメータRAL、CBAL、CFALを変
数とする関数で記述されている(以下、このような関数
による寄生容量値や寄生抵抗値等の記述を「関数記述」
という)。
In general, the wiring resistance R10 is calculated by "RAL x wiring length / wiring width", the bottom capacitance Cb is calculated by "CBAL x wiring area", and the fringe capacitances Cf1 and Cf2 are calculated by "CFAL x wiring fringe length". Therefore, for example, if the numerical value of the wiring length between the nodes 10 and 20 = 10 μm and the wiring width = 1 μm is obtained from the number of divisions and the layout data specified in the rule file, R10 = 10 ·
RAL [Ω], Cb = 10 · CBAL [fF], Cf1 = C
f2 = 10 · CFAL [fF] In this case, the netlist L shown in FIG.
st_nt is generated. The netlist Lst_nt is different from the conventional example shown in FIG. 14 in that the parasitic capacitance value and the parasitic resistance value are described by a function having parameters RAL, CBAL, and CFAL as variables (hereinafter, a parasitic function by such a function). "Function description" for description of capacitance value and parasitic resistance value
).

【0044】本構成例では、対象となる集積回路を製造
するめのプロセスに対応した電気的特性値を示すパラメ
ータRAL、CBAL、CFALの値がルールファイル
に与えられており、これに基づき、ネットリストの最初
の3行(「.PARAM」で始まる行)においてRA
L、CBAL、CFALの値が定義される(以下、パラ
メータの値を定義するこのようなコマンドを「PARA
Mコマンド」という)。このネットリストの4行目から
7行目は、図14に示した従来のネットリストの1行目
から4行目に対応し、このネットリストの4行目はノー
ド10と20の間の配線抵抗R10の値が10・RAL
[Ω]であることを、5行目はノード20と0の間のボ
トム容量Cb=C20の値が10・CBAL[F]であるこ
とを、6行目はノード20と0の間のフリンジ容量Cf1
=C21の値が10・CFAL[F]であることを、7行
目はノード20と0の間のフリンジ容量Cf2=C22の値
が10・CFAL[F]であることを、それぞれ示して
いる。なお、ノード0は接地点を示す接地ノードであ
る。
In this configuration example, the values of the parameters RAL, CBAL, and CFAL indicating the electrical characteristic values corresponding to the process for manufacturing the target integrated circuit are given to the rule file. RA in the first three lines (lines starting with “.PARAM”)
L, CBAL, and CFAL values are defined (hereinafter, such a command for defining parameter values is referred to as “PARA”).
M command ”). The fourth to seventh lines of this netlist correspond to the first to fourth lines of the conventional netlist shown in FIG. 14, and the fourth line of this netlist is a wiring between nodes 10 and 20. The value of the resistor R10 is 10 · RAL
The fifth line indicates that the value of the bottom capacitance Cb = C20 between the nodes 20 and 0 is 10 · CBAL [F], and the sixth line indicates the fringe between the nodes 20 and 0. Capacity Cf1
= C21 is 10 · CFAL [F], and the seventh line indicates that the value of the fringe capacitance Cf2 between the nodes 20 and 0 is 10 · CFAL [F]. . Node 0 is a ground node indicating a ground point.

【0045】図5に示した本構成例のネットリストLs
t_ntでは、RAL、CBAL、CFALの値は、PA
RAMコマンドによる形式で定義されているが、他の形
式で定義してもよい。また、レイアウト設計は終了して
いるが製造に使用するプロセスが未定の場合は、パラメ
ータRAL、CBAL、CFALに対して適当な仮の値
をネットリストに定義しておき、その後、プロセスが決
定した時点で、ネットリストにおけるPARAMコマン
ドの行を修正して、それらのパラメータに対し正しい値
を定義すればよい。大規模な集積回路を対象とする場合
はネットリストの規模が膨大となるが、パラメータを定
義する行はごく僅かであり、通常のテキスト・エディタ
などを用いることにより、PARAMコマンド等の行を
探して容易に修正することができる。
The netlist Ls of this configuration example shown in FIG.
At t_nt, the values of RAL, CBAL and CFAL are PA
Although the format is defined by the RAM command, it may be defined in another format. If the layout design has been completed but the process to be used for manufacturing has not been determined, appropriate temporary values for the parameters RAL, CBAL, and CFAL are defined in the netlist, and then the process is determined. At this point, the line of the PARAM command in the netlist may be modified to define the correct values for those parameters. When a large-scale integrated circuit is targeted, the size of the netlist becomes enormous, but the number of lines defining the parameters is very small, and a line such as a PARAM command is searched using a normal text editor or the like. And can be easily modified.

【0046】本構成例では、RC分布定数回路として動
作する配線構造(図3)をL型回路網である集中定数回
路で近似(L型近似)したが(図4参照)、このような
配線構造の集中定数回路による近似モデルとしては、π
型回路網による近似(π型近似)やT型回路網による近
似(T型近似)など他の近似モデルを用いてもよい。ま
た本構成例では、分布定数回路を集中定数回路で近似す
る際に分割段数を指定しているが、具体的な指定方法と
しては、他の層の配線と接続するためのホールからホー
ルまでを1本の配線とみなしてその間の分割数を指定す
るという方法を用いることができる。その他、分割段数
を指定する代わりにシート数を指定してそのシート数毎
に分割していくという方法を用いてもよいし、抵抗値や
配線長を指定してその抵抗値毎または配線長毎に分割し
てもよい。なお、配線構造を集中定数回路で近似する
際、いずれの近似モデルを用いても分割段数が増えるに
従って近似精度が向上するが、分割段数が増えると抽出
されるネットリストの規模も増大する。
In this configuration example, the wiring structure (FIG. 3) operating as an RC distributed constant circuit is approximated (L-type approximation) by a lumped constant circuit which is an L-type network (see FIG. 4). As an approximate model using a lumped circuit with a structure, π
Other approximation models such as approximation by a type network (π type approximation) and approximation by a T type network (T type approximation) may be used. In this configuration example, the number of division stages is specified when the distributed constant circuit is approximated by the lumped constant circuit. However, as a specific specification method, from a hole for connecting to a wiring of another layer to a hole is specified. It is possible to use a method in which one wiring is regarded as one and the number of divisions therebetween is designated. Alternatively, instead of specifying the number of division steps, a method of designating the number of sheets and dividing by the number of sheets may be used, or a resistance value or a wiring length may be designated to specify the resistance value or the wiring length. May be divided. When a wiring structure is approximated by a lumped constant circuit, the approximation accuracy is improved as the number of division stages increases, regardless of which approximation model is used. However, as the number of division stages increases, the size of the extracted netlist also increases.

【0047】以上のように本構成例によれば、配線のシ
ート抵抗や単位配線面積当たりのボトム容量などに対し
パラメータ名が与えられ、抽出されたネットリストにお
いて、これらのパラメータを変数とする関数として寄生
抵抗値や寄生容量値が記述される(図5参照)。このた
め、プロセスばらつきがあってプロセスが変動した場
合、ネットリストを再度抽出することなく、上記パラメ
ータを変動後に対応する値に定義し直すだけで、すなわ
ちネットリストにおけるPARAMコマンドを修正する
だけで対処することができる。そして、前記パラメータ
再定義後のネットリストを用いて回路シミュレーション
等を行うことにより、プロセスの変動による回路特性の
変動を予測することができる。また、レイアウトパター
ンが同一のままでプロセスを変更する場合にも、前記パ
ラメータを変更後のプロセスに対応する値に定義し直す
だけで(PARAMコマンドを修正するだけで)対処す
ることができ、ネットリストを再度抽出する必要はな
い。
As described above, according to the present configuration example, parameter names are given to the sheet resistance of the wiring, the bottom capacitance per unit wiring area, and the like, and a function using these parameters as variables in the extracted netlist is given. Is described as a parasitic resistance value or a parasitic capacitance value (see FIG. 5). For this reason, when the process fluctuates due to the process variation, the above-described parameter is simply redefined to the corresponding value after the fluctuation, that is, the PARAM command in the netlist is corrected without extracting the netlist again. can do. Then, by performing a circuit simulation or the like using the netlist after the parameter redefinition, it is possible to predict a change in circuit characteristics due to a process change. Also, when the process is changed while the layout pattern remains the same, it can be dealt with by simply redefining the parameter to a value corresponding to the process after the change (only by modifying the PARAM command). There is no need to extract the list again.

【0048】<1.2.2 構成例2>本発明の実施形態
としての回路シミュレーション装置におけるネットリス
ト抽出部の第2の構成例(以下「構成例2」という)に
ついて説明する。本構成例のネットリスト抽出部のハー
ドウェア構成は上記構成例1と同様である。
<1.2.2 Configuration Example 2> A second configuration example (hereinafter, referred to as “configuration example 2”) of a netlist extraction unit in a circuit simulation apparatus as an embodiment of the present invention will be described. The hardware configuration of the netlist extraction unit of this configuration example is the same as that of Configuration Example 1 described above.

【0049】本構成例におけるネットリストの抽出手順
も、基本的には上記構成例1と同様であって図1に示す
通りであるが、手順の詳細が若干相違している。以下、
この点につき、図1のフローチャートを参照しつつ説明
する。
The procedure for extracting a net list in this configuration example is basically the same as that in the above configuration example 1 and is as shown in FIG. 1, but the details of the procedure are slightly different. Less than,
This will be described with reference to the flowchart of FIG.

【0050】まずステップS10において、ハードディ
スク装置52からルールファイルを読み込む。このルー
ルファイルには、上記構成例1の場合と同様の情報に加
えて、シート抵抗値RALや、単位配線面積当たりのボ
トム容量値CBAL、単位配線フリンジ長当たりのフリ
ンジ容量値CFALというプロセスに依存する電気的特
性を示すパラメータのうち、ネットリストにおいて寄生
容量値や寄生抵抗値を関数で記述する際に変数として使
用するパラメータを指定するための情報が含まれている
(以下、この指定を「変数指定」という)。
First, in step S10, a rule file is read from the hard disk device 52. In this rule file, in addition to the same information as in the above configuration example 1, it depends on processes such as a sheet resistance value RAL, a bottom capacitance value CBAL per unit wiring area, and a fringe capacitance value CFAL per unit wiring fringe length. Among the parameters that indicate the electrical characteristics to be used, information for specifying parameters used as variables when describing the parasitic capacitance value and the parasitic resistance value in the netlist as a function is included (hereinafter, this specification is referred to as “ Variable specification).

【0051】続くステップS12では、上記構成例1と
同様に、レイアウトデータをハードディスク装置52か
ら読み込む。
In the following step S12, the layout data is read from the hard disk device 52, as in the first configuration example.

【0052】その後、ステップS14において、ステッ
プS10で読み込まれたルールファイルに基づき、ステ
ップS12で読み込まれたレイアウトデータから、以下
のようにしてネットリストを生成する(ネットリストの
抽出)。
Then, in step S14, based on the rule file read in step S10, a net list is generated from the layout data read in step S12 as follows (net list extraction).

【0053】ネットリストのうち、PARAMコマンド
で上記パラメータRAL、CBAL、CFALの値を定
義する行(パラメータ定義の行)、およびルールファイ
ルで変数指定されたパラメータを用いて表現される寄生
容量または寄生抵抗を記述する行(関数記述の行)の部
分は、上記構成例1と同様にして生成する。一方、ルー
ルファイルで変数指定されていないパラメータを用いて
表現される寄生容量または寄生抵抗については、従来例
と同様にして、それらの寄生容量値または寄生抵抗値を
算出し、算出した値をネットリストに記述する。すなわ
ち、これらの寄生容量値または寄生抵抗値については、
従来通り、数値で記述する。
In the netlist, a line (parameter definition line) defining the values of the above parameters RAL, CBAL and CFAL by a PARAM command, and a parasitic capacitance or a parasitic expressed by using a parameter specified in a rule file. The part of the line describing the resistance (the line of the function description) is generated in the same manner as in the first configuration example. On the other hand, as for the parasitic capacitance or the parasitic resistance expressed by using the parameter not specified in the rule file, the parasitic capacitance value or the parasitic resistance value is calculated in the same manner as in the conventional example, and the calculated value is netted. Write in the list. That is, for these parasitic capacitance values or parasitic resistance values,
As before, describe with numerical values.

【0054】例えば、ネットリスト抽出後に層間膜の形
成プロセスの変更が予定されている場合には(配線プロ
セスは変更されないものとする)、ボトム容量Cb、フ
リンジ容量Cf1およびCf2は将来変動する可能性がある
が、配線抵抗R10は変動しない。この場合、本構成例で
は、ルールファイルにおいて、配線のシート抵抗値RA
Lについては変数指定を行わない。これにより、ネット
リストにおいて配線抵抗R10の値が数値として記述さ
れ、図3に示した配線構造に対しては、図6に示すよう
なネットリストLst_ntが生成される。
For example, if the formation process of the interlayer film is scheduled to be changed after the extraction of the netlist (the wiring process is not changed), the bottom capacitance Cb and the fringe capacitances Cf1 and Cf2 may change in the future. However, the wiring resistance R10 does not change. In this case, in this configuration example, the sheet resistance value RA of the wiring is stored in the rule file.
No variable is specified for L. Thus, the value of the wiring resistance R10 is described as a numerical value in the netlist, and a netlist Lst_nt as shown in FIG. 6 is generated for the wiring structure shown in FIG.

【0055】このような本構成例によれば、プロセスに
依存する電気的特性を示す上記パラメータRAL、CB
AL、CFAL等のうちルールファイルで変数指定され
たパラメータを用いて表現される寄生容量値または寄生
抵抗値についてのみ関数で記述され、その他の寄生容量
値または寄生抵抗値については数値で記述される。した
がって、例えば層間膜形成プロセスのみ変更される可能
性がある場合は、寄生抵抗値(配線抵抗値)は変動しな
いと考えられるので、ルールファイルにおいて配線のシ
ート抵抗値に対し変数指定を行わないことにより、ネッ
トリストにおいて寄生抵抗値は数値で記述し寄生容量値
は関数で記述するというように、目的に応じて寄生抵抗
値や寄生容量値の記述形式を選択することができる。こ
れにより、目的に合った効率のよいネットリスト抽出が
可能となる。
According to this configuration example, the above parameters RAL and CB indicating the electrical characteristics depending on the process.
Of the AL, CFAL, etc., only the parasitic capacitance value or the parasitic resistance value expressed using the parameter specified by the variable in the rule file is described by a function, and the other parasitic capacitance value or the parasitic resistance value is described by a numerical value. . Therefore, for example, if only the interlayer film forming process is likely to be changed, the parasitic resistance value (wiring resistance value) is considered not to change. Thus, the description format of the parasitic resistance value and the parasitic capacitance value can be selected according to the purpose, such that the parasitic resistance value is described by a numerical value and the parasitic capacitance value is described by a function in the netlist. Thus, efficient netlist extraction suitable for the purpose can be performed.

【0056】<1.2.3 構成例3>本発明の実施形態
としての回路シミュレーション装置におけるネットリス
ト抽出部の第3の構成例(以下「構成例3」という)に
ついて説明する。本構成例のネットリスト抽出部のハー
ドウェア構成も上記構成例1と同様である。
<1.2.3 Configuration Example 3> A third configuration example (hereinafter, referred to as “configuration example 3”) of a netlist extraction unit in a circuit simulation apparatus as an embodiment of the present invention will be described. The hardware configuration of the netlist extraction unit of this configuration example is also the same as that of the first configuration example.

【0057】本構成例におけるネットリストの抽出手順
は、図7に示す通りである。本構成例でも、上記構成例
1と同様に、ルールファイルの読み込み(ステップS1
0)、レイアウトデータの読み込み(ステップS1
2)、関数記述によるネットリストの抽出(ステップS
14)を行う。ただし、ルールファイルには、所定の寄
生素子合成条件が記載されている。本構成例では、ステ
ップS16において、この寄生素子合成条件に基づき、
抽出されたネットリストによって記述された寄生容量や
寄生抵抗という寄生素子の合成を行い、ステップS12
で抽出されたネットリストを寄生素子の合成後のネット
リストへと変換する。
The procedure for extracting the netlist in this configuration example is as shown in FIG. In this configuration example, as in the configuration example 1, the rule file is read (step S1).
0), reading of layout data (step S1)
2), extraction of netlist by function description (step S
Perform 14). However, a predetermined parasitic element synthesis condition is described in the rule file. In this configuration example, in step S16, based on the parasitic element synthesis condition,
A parasitic element such as a parasitic capacitance or a parasitic resistance described by the extracted netlist is synthesized, and step S12 is performed.
Is converted into a netlist obtained by combining parasitic elements.

【0058】ステップS16における寄生素子の合成で
は、前記の寄生素子合成条件として、同一ノード間に存
在する複数の寄生素子を一つにまとめることがルールフ
ァイルで指定された場合には、以下のような処理が行わ
れる。例えば、図4に示した等価回路におけるノード2
0とノード0(接地ノード)の間に存在する複数の寄生
素子であるコンデンサ、すなわちボトム容量Cbを表す
コンデンサとフリンジ容量Cf1およびCf2を表す2個の
コンデンサとを、容量値(Cb+Cf1+Cf2)の一つの
コンデンサで置き換える。そして、ステップS14で抽
出したネットリストを、このようにして3個のコンデン
サを1個のコンデンサで置き換えた等価回路すなわち図
8に示す等価回路を記述したネットリストへと変換す
る。この変換後のネットリストは図9に示す通りであ
り、このネットリストの5行目において、ノード20と
0の間に接続されたコンデンサC20の容量値が10・C
BAL+10・CFAL+10・CFALであることが示
されている。
In the synthesis of the parasitic elements in step S16, when the rule file specifies that a plurality of parasitic elements existing between the same nodes are combined into one as the above-mentioned parasitic element synthesis condition, the following is performed. Processing is performed. For example, node 2 in the equivalent circuit shown in FIG.
A capacitor which is a plurality of parasitic elements between 0 and node 0 (ground node), that is, a capacitor representing the bottom capacitance Cb and two capacitors representing the fringe capacitances Cf1 and Cf2 are connected to one of the capacitance values (Cb + Cf1 + Cf2). Replace with one capacitor. Then, the netlist extracted in step S14 is converted into an equivalent circuit in which three capacitors are replaced by one capacitor, that is, a netlist describing the equivalent circuit shown in FIG. The netlist after this conversion is as shown in FIG. 9. In the fifth row of this netlist, the capacitance value of the capacitor C20 connected between the nodes 20 and 0 is 10 · C
BAL + 10.CFAL + 10.CFAL is shown.

【0059】このようにステップS16において寄生素
子の合成を行うことにより、寄生素子数を減らし、また
は、後述のように寄生素子とともにノード数を減らすこ
とができるため、ネットリストの規模が縮小される。な
お、図8に示した寄生素子の合成では、合成前の寄生素
子は全て関数記述となっているが、数値記述の寄生素子
が混在する場合でも同様な合成を行うことができ、同様
の効果が得られることは明らかである。
As described above, by combining the parasitic elements in step S16, the number of parasitic elements can be reduced, or the number of nodes can be reduced together with the parasitic elements as described later, so that the scale of the netlist is reduced. . In the synthesis of the parasitic elements shown in FIG. 8, all the parasitic elements before the synthesis are function descriptions, but the same synthesis can be performed even when the parasitic elements described numerically are mixed. Obviously,

【0060】図10は、ステップS16において行われ
る他の合成例を示している。これは、前記寄生素子合成
条件として、配線構造を分割しπ型回路網で近似して
(以下、このような近似を「π型分割近似」という)得
られる集中定数回路における寄生素子を合成することが
ルールファイルで指定された場合を示すものである。こ
の場合、図10(a)に示すπ型回路網が図10(b)
に示すπ型回路網に変換される。この変換による合成手
法自体は公知のものであり、これにより、抵抗値R1、
R2の2個の抵抗が抵抗値R1+R2の1個の抵抗に置き
換えられ、容量値C0、C1、C2の3個のコンデンサが
容量値C0+{R2/(R1+R2)}C1、C2+{R1/
(R1+R2)}C1の2個のコンデンサに置き換えられ
るとともに、ノードが1個減る。このようにして、寄生
素子の合成によりネットリストを圧縮することができ
る。そして、合成後の回路に対し更に同様の合成が可能
であり、配線構造に対するπ型分割近似において、この
ようなネットリスト圧縮のための合成を繰り返すことが
できる。このとき、上述のように、寄生抵抗値および寄
生容量値を関数記述としたままで合成することができ
る。しかし、圧縮後のネットリストを用いて回路シミュ
レーションを行うと、圧縮前のネットリストを用いた場
合に比べ、シミュレーション精度が低下する。すなわ
ち、図10に示した合成手法によるネットリストの圧縮
とシミュレーション精度とはトレードオフの関係にあ
る。そこで本構成例では、ノード間の抵抗に対して閾値
を予め設定しておき、合成後の回路におけるノード間の
抵抗値がその閾値以下の間は合成を繰り返し、ノード間
の抵抗値がその閾値を越えるとその合成を停止する。こ
れにより、ネットリストの圧縮とシミュレーション精度
とをバランスさせることができる。
FIG. 10 shows another example of synthesis performed in step S16. This is because, as the parasitic element synthesizing condition, a parasitic element in a lumped constant circuit obtained by dividing a wiring structure and approximating it with a π-type network (hereinafter, such approximation is referred to as “π-type division approximation”). This indicates a case where is specified in the rule file. In this case, the π-type network shown in FIG.
Is converted to the π-type network shown in FIG. The synthesizing method itself by this conversion is publicly known, whereby the resistance value R1,
The two resistors of R2 are replaced by one resistor of resistance value R1 + R2, and the three capacitors of capacitance values C0, C1, C2 are replaced by capacitance values C0 + {R2 / (R1 + R2)} C1, C2 + @ R1 /.
(R1 + R2)} C1 is replaced with two capacitors, and the number of nodes is reduced by one. In this way, the netlist can be compressed by combining the parasitic elements. Then, the same synthesis can be further performed on the circuit after the synthesis, and such synthesis for netlist compression can be repeated in the π-type division approximation of the wiring structure. At this time, as described above, it is possible to combine the parasitic resistance value and the parasitic capacitance value while keeping the function description. However, when the circuit simulation is performed using the compressed netlist, the simulation accuracy is reduced as compared with the case where the uncompressed netlist is used. That is, there is a trade-off between the compression of the netlist by the combining method shown in FIG. 10 and the simulation accuracy. Therefore, in this configuration example, a threshold is set in advance for the resistance between the nodes, and the combination is repeated while the resistance between the nodes in the combined circuit is equal to or less than the threshold, and the resistance between the nodes is set to the threshold. When it exceeds, the synthesis is stopped. This makes it possible to balance the compression of the netlist with the simulation accuracy.

【0061】上記では、π型分割近似によって得られる
RC回路網に対する合成によりネットリストを圧縮して
いるが、他の合成手法を適用することも可能である。ま
た、構成例2のように関数記述と数値記述とが混在して
いるような場合にも、寄生素子の合成を行うことにより
ネットリストを圧縮することができる。
In the above description, the netlist is compressed by combining with the RC network obtained by the π-type division approximation, but other combining methods can be applied. Further, even when the function description and the numerical description are mixed as in the configuration example 2, the netlist can be compressed by synthesizing the parasitic elements.

【0062】<1.2.4 構成例4>本発明の実施形態
としての回路シミュレーション装置におけるネットリス
ト抽出部の第4の構成例(以下「構成例4」という)に
ついて説明する。本構成例のネットリスト抽出部のハー
ドウェア構成も上記構成例1と同様であり(図2参
照)、メモリ58に格納されるネットリスト抽出プログ
ラムに基づいてCPU56が動作することにより、半導
体集積回路のレイアウトデータからネットリストが抽出
される。本構成例では、このようにネットリスト抽出プ
ログラムに基づき、ネットリスト抽出手段が実現される
他、ネットリストにおける寄生素子についての関数記述
を数値記述に変換する手段(以下「数値化手段」とい
う)が実現される。
<1.2.4 Configuration Example 4> A fourth configuration example (hereinafter, referred to as “configuration example 4”) of a netlist extraction unit in a circuit simulation apparatus as an embodiment of the present invention will be described. The hardware configuration of the netlist extraction unit of this configuration example is also the same as that of the first configuration example (see FIG. 2), and the operation of the CPU 56 based on the netlist extraction program stored in the memory 58 allows the semiconductor integrated circuit to operate. A net list is extracted from the layout data. In the present configuration example, the netlist extracting means is realized based on the netlist extracting program as described above, and means for converting a function description of a parasitic element in the netlist into a numerical description (hereinafter, referred to as “numerizing means”). Is realized.

【0063】図11は、本構成例における数値化手段の
動作を示すフローチャートである。本構成例では、構成
例1と同様にしてネットリストが抽出され(図1参
照)、その後、この図11のフローチャートで示す手順
により、そのネットリストにおける寄生素子に対する関
数記述が数値記述に変換される。
FIG. 11 is a flowchart showing the operation of the digitizing means in this configuration example. In the present configuration example, a netlist is extracted in the same manner as in the configuration example 1 (see FIG. 1), and then the function description for the parasitic element in the netlist is converted into a numerical description by the procedure shown in the flowchart of FIG. You.

【0064】まずステップS50において、図1に示す
手順に従って抽出されたネットリスト、すなわち寄生素
子に対して関数記述がなされたネットリスト(以下「関
数記述ネットリスト」という)をハードディスク装置5
2から読み出す。次に、ステップS52において、この
ネットリストにおける関数記述を数値記述に書き直すこ
とにより、寄生素子に対して数値記述がなされたネット
リストすなわち従来の形式のネットリスト(図14参
照)へと変換する。具体的には、ステップS50におい
て読み出されたネットリストに記述された寄生容量値お
よび寄生抵抗値を示す関数における変数であるパラメー
タRAL、CBAL、CFALに、そのネットリスト内
のPARAMコマンドで定義されたパラメータの値を代
入することにより、それらの関数値を算出する。そし
て、そのネットリスト内の寄生容量値および寄生抵抗値
を示す関数を、算出された関数値(数値)で置き換え
る。ただし、必要ならば、関数記述ネットリストを保存
しておいてもよい。
First, in step S 50, the netlist extracted according to the procedure shown in FIG. 1, that is, the netlist in which the function description is given to the parasitic element (hereinafter referred to as “function description netlist”)
Read from 2. Next, in step S52, the function description in this netlist is rewritten into a numerical description, thereby converting it into a netlist in which the parasitic elements are numerically described, that is, a conventional netlist (see FIG. 14). Specifically, the parameters RAL, CBAL, and CFAL, which are variables in the function indicating the parasitic capacitance value and the parasitic resistance value described in the netlist read in step S50, are defined by the PARAM command in the netlist. The function values are calculated by substituting the values of the parameters. Then, the function indicating the parasitic capacitance value and the parasitic resistance value in the netlist is replaced with the calculated function value (numerical value). However, if necessary, the function description netlist may be stored.

【0065】例えば、ネットリストをプリント出力した
り、ネットリストに基づいて回路シミュレーションを行
ったりする場合、データ量が小さい等の理由から関数記
述を用いずに寄生容量および寄生抵抗を数値で記述した
従来形式のネットリスト(以下「関数不使用ネットリス
ト」という)の方が望ましいことがある。また、寄生容
量や寄生抵抗の値を確認したい場合もある。このような
場合、前述の数値化手段により、ネットリストの抽出を
再度行うことなく、関数不使用ネットリストを得ること
ができる。したがって、本構成例によれば、ネットリス
ト抽出を1回行うだけで、目的に応じ、関数記述ネット
リストと関数不使用ネットリストのいずれをも得ること
ができる。
For example, when a net list is printed out or a circuit simulation is performed based on the net list, the parasitic capacitance and the parasitic resistance are numerically described without using a function description because of a small data amount. A conventional netlist (hereinafter referred to as "function-free netlist") may be more desirable. In some cases, it is desired to check the values of the parasitic capacitance and the parasitic resistance. In such a case, it is possible to obtain the function-free netlist by the above-described digitizing means without extracting the netlist again. Therefore, according to the present configuration example, both the function description netlist and the function non-use netlist can be obtained according to the purpose only by performing the netlist extraction once.

【0066】なお、上記数値化手段は、関数記述ネット
リストにおける寄生容量値または寄生抵抗値を示す関数
の変数であるパラメータを全て数値化する必要はなく、
それらのパラメータのうち予め指定されたパラメータの
みを数値で置き換えるようにしてもよい。
It is not necessary for the numerical value converting means to numerically convert all parameters which are variables of a function indicating a parasitic capacitance value or a parasitic resistance value in the function description netlist.
Of these parameters, only the parameters specified in advance may be replaced with numerical values.

【0067】<1.2.5 その他の構成例>以上におい
ては、ネットリスト抽出部の各構成例について、配線構
造における寄生素子を例にとって説明したが、本発明
は、配線構造に限定されるものではなく、いかなる構造
にも適用可能であり、また、いかなるプロセスに対して
も適用可能である。例えば、MOSトランジスタ構造に
おける拡散層の寄生容量値や寄生抵抗値も、単位面積ま
たは単位長さ当たりの容量値やシート抵抗値とレイアウ
トデータとから算出されるため、これらについても上記
各構成例と同様に関数記述ネットリストを生成すること
ができる。また、コンタクト抵抗やスルーホール抵抗に
ついても、ホール1個当たりの抵抗値とホール数から、
または、単位ホール面積当たりの抵抗値とホール面積か
ら抵抗値を算出することが可能であり、これらについて
も上記各構成例と同様に関数記述としたネットリストを
生成することができる。さらに、レイアウトデータから
抽出するネットリストに、寄生インダクタなどの他の寄
生素子を記述する場合においても、その寄生素子に対し
上記と同様にして関数記述を適用することができ、上記
と同様の効果を得ることができる。
<1.2.5 Other Configuration Examples> In the above, each configuration example of the netlist extraction unit has been described by taking the parasitic element in the wiring structure as an example. However, the present invention is limited to the wiring structure. Instead, it can be applied to any structure and can be applied to any process. For example, the parasitic capacitance value and the parasitic resistance value of the diffusion layer in the MOS transistor structure are also calculated from the capacitance value per unit area or unit length, the sheet resistance value, and the layout data. Similarly, a function description netlist can be generated. The contact resistance and through-hole resistance are also calculated from the resistance value per hole and the number of holes.
Alternatively, the resistance value can be calculated from the resistance value per unit hole area and the hole area, and a netlist having a function description can be generated for them as in the above-described configuration examples. Further, when describing other parasitic elements such as parasitic inductors in a netlist extracted from layout data, the function description can be applied to the parasitic elements in the same manner as described above, and the same effect as described above can be obtained. Can be obtained.

【0068】<1.3 シミュレーション部の構成>次
に、本発明の実施形態としての回路シミュレーション装
置におけるシミュレーション部の構成(各種実施形態に
共通する構成)について説明する。本シミュレーション
部のハードウェア構成は、既述のように上記構成例1な
どのネットリスト抽出部と同様であるが(図2参照)、
メモリ58に格納されCPU56によって実行されるプ
ログラムが相違する。すなわち、図2に示したハードウ
ェア構成のコンピュータにおいてメモリ58に格納され
るシミュレーションプログラムに基づいてCPU56が
動作することにより、上記構成例1などにより抽出され
た関数記述ネットリストを読み込んで半導体集積回路の
動作をシミュレーションするシミュレーション部(狭義
の回路シミュレーション装置)が実現される。なお、こ
のシミュレーション部では、回路シミュレーション制御
情報、対象とする半導体集積回路(以下「対象回路」と
いう)のレイアウトデータから抽出された関数記述ネッ
トリスト、および、各種の回路素子の特性を示す情報
(以下「素子特性情報」という)が、ハードディスク装
置52に格納されている。
<1.3 Configuration of Simulation Unit> Next, the configuration of the simulation unit (configuration common to various embodiments) in the circuit simulation apparatus as an embodiment of the present invention will be described. Although the hardware configuration of the simulation unit is the same as that of the netlist extraction unit of the above configuration example 1 as described above (see FIG. 2),
The program stored in the memory 58 and executed by the CPU 56 is different. That is, in the computer having the hardware configuration shown in FIG. 2, when the CPU 56 operates based on the simulation program stored in the memory 58, the function description netlist extracted in the above configuration example 1 and the like is read and the semiconductor integrated circuit is read. A simulation unit (a circuit simulation device in a narrow sense) that simulates the operation described above is realized. In the simulation unit, circuit simulation control information, a function description netlist extracted from layout data of a target semiconductor integrated circuit (hereinafter, referred to as a “target circuit”), and information indicating characteristics of various circuit elements ( Hereinafter, “element characteristic information”) is stored in the hard disk device 52.

【0069】図12は、このようにして実現される本シ
ミュレーション部の構成を概念的に示す機能ブロック図
である。この図に示すように、本シミュレーション部
は、概念的には、従来の回路シミュレーション装置と同
様に、入力部30、解析部35および出力部40を備え
ている。ここで入力部30は、関数記述ネットリスト読
込手段32および数値化手段34を有しており、この点
が従来と相違する。また本シミュレーション部は、ハー
ドディスク装置52で実現された、回路シミュレーショ
ン制御情報保持部42、関数記述ネットリスト保持部4
4および素子特性情報保持部46を備えている。前記関
数記述ネットリスト読込手段32は、関数記述ネットリ
スト保持部44からネットリストを読み込み、そのネッ
トリストから、PARAMコマンドを認識してパラメー
タの値を得るとともに、回路素子の値を記述する「"」
で囲まれた部分を認識して寄生容量値や寄生抵抗値を示
す関数を得る。前述の数値化手段34は、シミュレーシ
ョン対象の集積回路の構成を特定する関数記述ネットリ
ストにおいて関数で記述された寄生素子値を数値化する
ものであって、関数記述ネットリスト読込手段32によ
って得られた関数およびパラメータの値を用いてそれら
の関数の値を算出することにより、寄生容量値や寄生抵
抗値を数値化し、関数不使用ネットリストを得ることが
できる。なお、後述のばらつき解析(ワーストケース解
析やモンテカルロ解析)では、数値化手段34は、予め
設定されたばらつき幅の下での上記関数の変数の最大値
もしくは最小値を代表値とし(後述の図15の場合)、
または、予め設定された分布特性の下でサンプリングに
より得られる上記関数の変数の値を代表値とし(後述の
図17の場合)、その代表値を用いて上記関数により寄
生素子値を算出している(寄生容量値や寄生抵抗値の数
値化)。
FIG. 12 is a functional block diagram conceptually showing the configuration of the present simulation unit realized in this way. As shown in this figure, this simulation unit conceptually includes an input unit 30, an analysis unit 35, and an output unit 40, similarly to a conventional circuit simulation apparatus. Here, the input unit 30 has a function description netlist reading means 32 and a numerical value means 34, which is different from the conventional one. The simulation unit includes a circuit simulation control information holding unit 42 and a function description netlist holding unit 4 realized by the hard disk device 52.
4 and an element characteristic information holding unit 46. The function description netlist reading means 32 reads the netlist from the function description netlist holding unit 44, recognizes a PARAM command from the netlist, obtains a parameter value, and describes a value of a circuit element. "
A function indicating the parasitic capacitance value and the parasitic resistance value is obtained by recognizing the portion surrounded by. The aforementioned digitizing means 34 digitizes the parasitic element value described by the function in the function description netlist for specifying the configuration of the integrated circuit to be simulated, and is obtained by the function description netlist reading means 32. By calculating the values of these functions using the values of the functions and parameters, the parasitic capacitance value and the parasitic resistance value can be quantified and a function-free netlist can be obtained. In the variation analysis (worst case analysis or Monte Carlo analysis) described later, the numerical value means 34 sets the maximum value or the minimum value of the variable of the function under a predetermined variation width as a representative value (see FIG. 15),
Alternatively, a value of a variable of the function obtained by sampling under a predetermined distribution characteristic is set as a representative value (in the case of FIG. 17 described later), and a parasitic element value is calculated by the function using the representative value. (Parasitic capacitance value and parasitic resistance value are quantified).

【0070】図13は、本シミュレーション部の動作を
示すフローチャートである。本シミュレーション部で
は、まず、ステップS100において、入力部30が、
回路シミュレーション制御情報保持部42、関数記述ネ
ットリスト保持部44および素子特性情報保持部46か
ら、回路シミュレーション制御情報、対象回路の関数記
述ネットリスト、および素子特性情報を、それぞれ読み
込む。このとき、入力部30内の関数記述ネットリスト
読込手段32および数値化手段34により、前述のよう
にして関数不使用ネットリストが得られる。
FIG. 13 is a flowchart showing the operation of the simulation section. In the simulation unit, first, in step S100, the input unit 30
The circuit simulation control information, the function description net list of the target circuit, and the element characteristic information are read from the circuit simulation control information holding unit 42, the function description net list holding unit 44, and the element characteristic information holding unit 46, respectively. At this time, the function-unused netlist is obtained by the function description netlist reading means 32 and the digitizing means 34 in the input unit 30 as described above.

【0071】次のステップS110では、解析部35
が、ステップS100で読み込まれたデータに基づいて
対象回路の動作をシミュレーションする(回路シミュレ
ーションの実行)。このとき、対象回路の構成は関数不
使用ネットリストによって特定され、回路シミュレーシ
ョン実行のための手段としては、カリフォルニア大学バ
ークレー校で開発されたSPICE(Simulation Progr
am with Integrated Circuit Emphasis)などの既存の
回路シミュレーションプログラムを利用することができ
る。
In the next step S110, the analysis unit 35
Simulates the operation of the target circuit based on the data read in step S100 (execution of circuit simulation). At this time, the configuration of the target circuit is specified by a function-free netlist. As a means for executing a circuit simulation, SPICE (Simulation Progr.
An existing circuit simulation program such as am with Integrated Circuit Emphasis) can be used.

【0072】その後、ステップS120において、回路
シミュレーションによって得られた対象回路の所定ノー
ドにおける電圧(変化)などを、シミュレーション結果
としてハードディスク装置52やCRT60に出力す
る。
Thereafter, in step S120, the voltage (change) at a predetermined node of the target circuit obtained by the circuit simulation is output to the hard disk device 52 or the CRT 60 as a simulation result.

【0073】以上のような本シミュレーション部によれ
ば、シミュレーション対象の回路の構成を示すデータと
して関数記述ネットリストを読み込むことができるた
め、レイアウトデータが同一でプロセスが変更された場
合や、プロセスがばらついた場合に、レイアウトデータ
からネットリストを再度抽出することなく、関数記述ネ
ットリストにおけるPARAMコマンドの行を修正して
回路シミュレーションを行うことにより、プロセスの変
更やばらつきによる回路特性の変化を容易に予測するこ
とができる。また、レイアウトデータが得られているが
プロセスが未確定の場合に、PARAMコマンドでパラ
メータを種々変更しながら回路シミュレーションを繰り
返すことにより、所望の回路特性を有するプロセスの決
定やプロセスの最適化を行うことができる。
According to the simulation section as described above, the function description netlist can be read as data indicating the configuration of the circuit to be simulated. Therefore, when the layout data is the same and the process is changed, or when the process is changed. If there is a variation, the circuit simulation is performed by correcting the PARAM command line in the function description netlist without extracting the netlist from the layout data again, so that changes in circuit characteristics due to process changes and variations can be easily performed. Can be predicted. Further, when layout data is obtained but the process is not determined, a process having a desired circuit characteristic is determined and the process is optimized by repeating a circuit simulation while variously changing parameters with a PARAM command. be able to.

【0074】なお、本シミュレーション部の動作につい
ての上記説明は、本発明の各種実施形態に共通する基本
的な動作についてのものであり、本シミュレーション部
においてワーストケース解析や統計解析等のばらつき解
析を行う場合には、後述のように、寄生容量や寄生抵抗
等の寄生素子値を表現する関数における変数の値(電気
的特性値)を変えて回路シミュレーション(回路特性解
析)を所定回数だけ繰り返し(図15、図17参照)、
これにより、その変数の各種の値に対する回路特性値
(信号の遅延時間や消費電力等)を得ている。
The above description of the operation of the present simulation section is for a basic operation common to various embodiments of the present invention, and the present simulation section performs a variation analysis such as a worst case analysis or a statistical analysis. In this case, as will be described later, the circuit simulation (circuit characteristic analysis) is repeated a predetermined number of times by changing the value of a variable (electrical characteristic value) in a function expressing a parasitic element value such as a parasitic capacitance or a parasitic resistance ( 15 and 17),
As a result, circuit characteristic values (signal delay time, power consumption, etc.) for various values of the variables are obtained.

【0075】<2. 各種実施形態の説明>次に、本発
明に係る回路シミュレーション装置の各種実施形態を、
その実施形態に特有の特徴を中心に説明する。<2.1
第1実施形態>本発明の第1実施形態の回路シミュレ
ーション装置について説明する。本回路シミュレーショ
ン装置は、上述のシミュレーション部の説明からわかる
ように、集積回路におけるレイアウトパターンの幾何学
的形状を示すパラメータである幾何学的形状定数Cns
t_argと、集積回路を製造するためのプロセスに依存し
て決まる電気的特性値である電気的特性変数Var_elc
を用いて表現される関数に従って寄生素子の電気的特性
値(寄生容量値や寄生抵抗値など)を記述した関数記述
ネットリストを用いて、集積回路の動作のシミュレーシ
ョンを行う機能を有する点に特徴がある。
<2. Description of Various Embodiments> Next, various embodiments of the circuit simulation apparatus according to the present invention will be described.
The description will focus on features unique to the embodiment. <2.1
First Embodiment> A circuit simulation apparatus according to a first embodiment of the present invention will be described. As can be seen from the above description of the simulation unit, the circuit simulation apparatus has a geometric shape constant Cns which is a parameter indicating a geometric shape of a layout pattern in an integrated circuit.
t_arg and an electric characteristic variable Var_elc which is an electric characteristic value determined depending on a process for manufacturing an integrated circuit.
It has the function of simulating the operation of an integrated circuit using a function description netlist that describes the electrical characteristic values (parasitic capacitance value, parasitic resistance value, etc.) of the parasitic element according to a function expressed by using There is.

【0076】この様な機能を設けることに依り、ゲート
遅延の影響に比較して配線遅延の影響が支配的になると
思考されるディーブサブミクロンレベルの微細化や高集
積化が要求される集積回路に対する回路シミュレーショ
ンにおいて、集積回路の配線の容量値や抵抗値のばらつ
きを正確に考慮することが容易となるといった効果を奏
する。その結果、回路特性(具体的には信号の遅延時間
や集積回路の消費電力など)のばらつき幅を解析する回
路シミュレーションを精度良く実行できるようになると
いった効果を奏する。
By providing such a function, it is considered that the influence of the wiring delay becomes dominant as compared with the influence of the gate delay. In such a circuit simulation, it is easy to accurately consider variations in the capacitance value and resistance value of the wiring of the integrated circuit. As a result, there is an effect that a circuit simulation for analyzing a variation width of a circuit characteristic (specifically, a delay time of a signal, power consumption of an integrated circuit, or the like) can be accurately executed.

【0077】既述のように本実施形態の回路シミュレー
ション装置は、ネットリスト抽出部とシミュレータ部と
から構成される。そして、ネットリスト抽出部により、
シミュレーション対象の集積回路(対象回路)の構成を
記述する関数記述ネットリストが生成され、シミュレー
タ部により、その関数記述ネットリストを用いて対象回
路の動作のシミュレーションが行われる。本実施形態に
おけるネットリスト抽出部の詳細は前述の通りである
(<1.2 ネットリスト抽出部の構成>および図1参
照)。これに対し、本実施形態のシミュレーション部で
は所定の回路シミュレーション方法によるワーストケー
ス解析が行われ、この点が本実施形態に特有の特徴とな
っている。そこで以下では、まず、本実施形態のシミュ
レーション部においてワーストケース解析を行うための
回路シミュレーション方法について説明する。
As described above, the circuit simulation apparatus according to the present embodiment includes a netlist extraction unit and a simulator unit. Then, by the netlist extraction unit,
A function description netlist describing the configuration of the integrated circuit (target circuit) to be simulated is generated, and the simulator simulates the operation of the target circuit using the function description netlist. The details of the netlist extraction unit in the present embodiment are as described above (see <1.2 Configuration of Netlist Extraction Unit> and FIG. 1). On the other hand, in the simulation section of the present embodiment, a worst case analysis is performed by a predetermined circuit simulation method, which is a feature unique to the present embodiment. Therefore, a circuit simulation method for performing a worst case analysis in the simulation unit of the present embodiment will be described below.

【0078】<2.1.1 第1実施形態の回路シミュレ
ーション方法>本回路シミュレーション方法では、関数
記述ネットリストLst_ntにおいて、集積回路を製造
するためのプロセスに依存して決まる電気的特性値であ
る所定のプロセスデータDt_prを変数(電気的特性変
数Var_elc)として扱っており、電気的特性変数Va
r_elcにばらつきを与えることに依って回路特性のばら
つき解析が容易に行える。
<2.1.1 Circuit Simulation Method of First Embodiment> In the circuit simulation method, the function description netlist Lst_nt is an electrical characteristic value determined depending on a process for manufacturing an integrated circuit. The predetermined process data Dt_pr is handled as a variable (electric characteristic variable Var_elc), and the electric characteristic variable Va
Variations in circuit characteristics can be easily analyzed by providing variations in r_elc.

【0079】具体的には、指定した電気的特性変数Va
r_elcにばらつき幅ΔVarを与え、その最大値又は最
小値を用いてシミュレーションを行えば、回路特性にも
ばらつきが生じる。得られた回路特性の最大値Var_m
ax及び最小値Var_min、又は最善値及び最悪値(具体
的には信号の遅延時間や集積回路の消費電力等の最大値
及び最小値 又は最善値及び最悪値)をもって回路特性
のばらつきを解析している。このような解析は、ワース
トケース解析又はワーストコーナー解析等と呼ばれてい
る。本回路シミュレーション方法は、このワーストケー
ス解析(ワーストコーナ解析)を実施するための方法で
ある。
More specifically, the specified electrical characteristic variable Va
If a variation width ΔVar is given to r_elc and a simulation is performed using the maximum value or the minimum value, variation also occurs in circuit characteristics. Maximum value Var_m of the obtained circuit characteristics
Analyze the dispersion of circuit characteristics using ax and the minimum value Var_min, or the best value and the worst value (specifically, the maximum value and the minimum value or the best value and the worst value such as the signal delay time and the power consumption of the integrated circuit). I have. Such an analysis is called a worst case analysis or a worst corner analysis. The circuit simulation method is a method for performing the worst case analysis (worst corner analysis).

【0080】前述の図5の関数記述型ネットリストLs
t_ntを具体例として取り上げ説明する。電気的特性変
数Var_elcとしてAl配線のシート抵抗値RALと単
位配線面積当たりのボトム容量値CBALとを選択し、
RALのばらつき幅ΔVarを0.05〜0.2に設定
し、CBALのばらつき幅ΔVarを0.005〜0.
02に設定する。この条件で、変数の代表値Var_rp
(RAL,CBAL)=(0.05,0.005),
(0.05,0.02),(0.2,0.005),
(0.2,0.02)の4つ(則ち、組み合わせの数N
_cmb)のコーナーの条件に対して、各々、回路特性解析
を実行することにより、4種類の回路特性を得ることが
できる。すなわち、その4つのコーナーの条件に対し
て、各々、回路シミュレーションを実行することによ
り、所定信号の遅延時間や集積回路の消費電力などにつ
いて4種類の値を得ることができる。この結果を解析す
れば、各電気的特性変数Var_elcと回路特性との相関
の強さや、回路特性の最善値及び最悪値が得られる。
The function description type netlist Ls shown in FIG.
T_nt will be described as a specific example. The sheet resistance value RAL of the Al wiring and the bottom capacitance value CBAL per unit wiring area are selected as the electrical characteristic variable Var_elc,
The variation width ΔVar of RAL is set to 0.05 to 0.2, and the variation width ΔVar of CBAL is set to 0.005 to 0.
Set to 02. Under this condition, the representative value Var_rp of the variable
(RAL, CBAL) = (0.05,0.005),
(0.05, 0.02), (0.2, 0.005),
(0.2, 0.02) (that is, the number of combinations N
By executing the circuit characteristic analysis for each of the corner conditions of _cmb), four types of circuit characteristics can be obtained. That is, by executing a circuit simulation for each of the four corner conditions, four values can be obtained for the delay time of the predetermined signal, the power consumption of the integrated circuit, and the like. By analyzing this result, it is possible to obtain the strength of correlation between each electrical characteristic variable Var_elc and the circuit characteristics, and the best and worst values of the circuit characteristics.

【0081】図15は、本実施形態の回路シミュレーシ
ョン方法により実行される上記ワーストケース解析を説
明するためのフローチャートである。
FIG. 15 is a flowchart for explaining the worst case analysis executed by the circuit simulation method of the present embodiment.

【0082】本ワーストケース解析は、第1ステップ乃
至第3ステップを有している。本ワーストケース解析が
開始されると(ステップP1)、まず第1ステップが実
行される。ここで、第1ステップは、電気的特性変数V
ar_elcの各々に対してばらつき幅ΔVarを設定する
ステップである。このような第1ステップは、図15の
ステップP2に示すように、電気的特性変数Var_elc
の各々に対してばらつき幅ΔVarを入力する工程と、
ワーストケース解析の対象となる集積回路(対象回路)
の回路構成を特定するための関数記述ネットリストLs
t_ntを入力する工程と、対象回路を構成する素子にか
かる電気的特性(素子特性情報)を入力する工程とを含
んで構成されている。
The worst case analysis has first to third steps. When the worst case analysis is started (step P1), first, a first step is executed. Here, the first step is to determine the electric characteristic variable V
This is a step of setting a variation width ΔVar for each of ar_elc. Such a first step is, as shown in step P2 of FIG. 15, an electric characteristic variable Var_elc.
Inputting the variation width ΔVar for each of
Integrated circuit subject to worst-case analysis (target circuit)
Description netlist Ls for specifying the circuit configuration of
It is configured to include a step of inputting t_nt and a step of inputting electrical characteristics (element characteristic information) concerning elements constituting the target circuit.

【0083】第1ステップに続いて実行される第2ステ
ップは、図15のステップP3に示すように、各寄生素
子D_stryにおける変数(具体的にはRALやCBAL
など)の最大値Var_max又は最小値Var_minのいず
れかを変数の代表値Var_rpとして用いて寄生素子D_
stry毎の寄生素子値V_stry(具体的には寄生容量値や
寄生抵抗値など)を求めるステップである。
The second step executed after the first step is, as shown in step P3 of FIG. 15, a variable (specifically, RAL or CBAL) in each parasitic element D_stry.
Or the like, using either the maximum value Var_max or the minimum value Var_min as the representative value Var_rp of the variable.
This is a step of obtaining a parasitic element value V_stry (specifically, a parasitic capacitance value, a parasitic resistance value, etc.) for each stry.

【0084】第2ステップに続いて実行される第3ステ
ップ(ステップP4)は、第2ステップで求めた寄生素
子D_stry毎の寄生素子値V_stryを用いて対象回路の回
路特性解析を実行するステップである。
The third step (step P4) executed after the second step is a step of executing a circuit characteristic analysis of the target circuit using the parasitic element value V_stry for each parasitic element D_stry obtained in the second step. is there.

【0085】本ワーストケース解析は、以上の第1ステ
ップ(ステップP2)乃至第3ステップ(ステップP
4)を用いて、代表値の全ての組み合わせの数N_cmbに
応じた所定回数だけ、第2ステップ(ステップP3)及
び第3ステップ(ステップP4)を繰り返すことに依り
(ステップP3→ステップP4→ステップP5のYes
→ステップP6→ステップP3・・・)、回路特性のば
らつき幅を求める。
In the worst case analysis, the first step (step P2) to the third step (step P2)
By repeating the second step (step P3) and the third step (step P4) a predetermined number of times according to the number N_cmb of all combinations of the representative values using step 4) (step P3 → step P4 → step P5 Yes
→ Step P6 → Step P3...), And the variation width of the circuit characteristics is obtained.

【0086】最後に、求めた回路特性のばらつき幅がス
テップP7において出力されてワーストケース解析が終
了する(ステップP8)。
Finally, the obtained variation width of the circuit characteristics is output in step P7, and the worst-case analysis ends (step P8).

【0087】この様な第1ステップ乃至第3ステップを
用い、関数記述ネットリストLst_ntにおいて所定の
プロセスデータDt_prを変数として用いてプロセスデ
ータDt_prにばらつきを与えることに依って、回路特
性のばらつきの最大値及び最小値(又は最善値及び最悪
値)を求めることができるようになるといった効果を奏
する。また、この様な回路特性のばらつきを用いること
に依り、従来は困難であった配線プロセス等のばらつき
による回路特性のばらつきを解析できるワーストケース
解析(特に、ワーストコーナー解析)を精度良く実行で
きるようになるといった効果を奏する。更に、この様な
ワーストケース解析の結果を解析することに依り、各寄
生素子D_stryの寄生素子値V_stryと回路特性との相関
の強さや最善値及び最悪値を精度良く求めることができ
るようになるといった効果を奏する。
By using the first to third steps as described above and using the predetermined process data Dt_pr as a variable in the function description netlist Lst_nt to give a variation to the process data Dt_pr, the maximum variation in the circuit characteristics is obtained. There is an effect that the value and the minimum value (or the best value and the worst value) can be obtained. In addition, by using such variations in circuit characteristics, it is possible to accurately perform worst case analysis (particularly, worst-case corner analysis) that can analyze variations in circuit characteristics due to variations in wiring processes and the like, which has been difficult in the past. It has the effect of becoming Further, by analyzing the result of such worst-case analysis, it is possible to accurately obtain the correlation strength between the parasitic element value V_stry of each parasitic element D_stry and the circuit characteristic, and the best value and the worst value. This has the effect.

【0088】<2.1.2 第1実施形態の回路シミュレ
ーションプログラム>上記回路シミュレーション方法に
よるワーストケース解析は、下記に述べる回路シミュレ
ーションプログラムをCPU56が実行することにより
実施される。
<2.1.2 Circuit Simulation Program of First Embodiment> The worst-case analysis by the above-described circuit simulation method is performed by the CPU 56 executing a circuit simulation program described below.

【0089】本回路シミュレーションプログラムは、本
実施形態の回路シミュレーション装置を実現するための
ハードウェアであるコンピュータを用いて読み出すこと
ができる記憶媒体(具体的には、フロッピーディスクや
磁気テープ等の磁気記憶手段、または、CD−ROM(C
ompact Disk-ROM)等の光学式記憶手段など)に記憶され
て提供される。
The circuit simulation program is a storage medium (specifically, a magnetic storage medium such as a floppy disk or a magnetic tape) which can be read using a computer which is hardware for realizing the circuit simulation apparatus of the present embodiment. Means or CD-ROM (C
ompact Disk-ROM) or the like.

【0090】また、上記の記憶媒体から読み出された回
路シミュレーションプログラムは、回路シミュレーショ
ン装置(コンピュータ)内に設けられた内部記憶手段
(具体的には、EEPROM、RAM等の半導体記憶デ
バイス、磁気ディスク等の磁気記憶手段)に保持され、
回路シミュレーション方法の実行に応じて適時読み出さ
れる。
The circuit simulation program read from the storage medium is stored in an internal storage means (specifically, a semiconductor storage device such as an EEPROM or a RAM, a magnetic disk) provided in a circuit simulation apparatus (computer). , Etc.)
It is read out as appropriate according to the execution of the circuit simulation method.

【0091】本回路シミュレーションプログラムは、幾
何学的形状定数Cnst_arg、及び電気的特性変数Va
r_elcを用いて表現される関数に従って寄生素子D_str
yの電気的特性値を記述した関数記述型のネットリスト
を用いて対象回路の動作のシミュレーションを行うこと
により回路特性のばらつきを解析する回路特性ばらつき
解析ルーチンプログラムを有している。
The circuit simulation program includes a geometric shape constant Cnst_arg and an electrical characteristic variable Va.
Parasitic element D_str according to a function expressed using r_elc
It has a circuit characteristic variation analysis routine program that analyzes circuit characteristic variations by simulating the operation of the target circuit using a function description type netlist describing the electrical characteristic values of y.

【0092】この様な回路特性ばらつき解析ルーチンプ
ログラムは、第1プログラムステップと第2プログラム
ステップと第3プログラムステップとを有して構成され
ている。ここで、第1プログラムステップは、電気的特
性変数Var_elcの各々に対してばらつき幅ΔVarを
設定するプログラムステップである。特に、ワーストケ
ース解析ルーチンプログラムを実行する場合の第1プロ
グラムステップは、電気的特性変数Var_elcの各々に
対してばらつき幅ΔVarを入力するプログラムステッ
プと、関数記述ネットリストLst_ntを入力するプロ
グラムステップと、集積回路である対象回路を構成する
素子の電気的特性(素子特性情報)を入力するプログラ
ムステップとを含んで構成されている。
Such a circuit characteristic variation analysis routine program includes a first program step, a second program step, and a third program step. Here, the first program step is a program step for setting the variation width ΔVar for each of the electrical characteristic variables Var_elc. In particular, the first program step when executing the worst-case analysis routine program includes a program step of inputting a variation width ΔVar for each of the electric characteristic variables Var_elc, a program step of inputting a function description netlist Lst_nt, And a program step of inputting electrical characteristics (element characteristic information) of elements constituting a target circuit which is an integrated circuit.

【0093】また、第2プログラムステップは、各寄生
素子D_stryにおける変数の最大値Var_max又は最小
値Var_minのいずれかを変数の代表値Var_rpとし
て用いて寄生素子D_stry毎の寄生素子値V_stryを求め
るプログラムステップである。
The second program step is a program step of obtaining a parasitic element value V_stry for each parasitic element D_stry by using either the maximum value Var_max or the minimum value Var_min of the variable in each parasitic element D_stry as a representative value Var_rp of the variable. It is.

【0094】更に、第3プログラムステップは、第2プ
ログラムステップで求めた寄生素子D_stry毎の寄生素
子値V_stryを用いて回路特性解析を実行するプログラ
ムステップである。
Further, the third program step is a program step for executing a circuit characteristic analysis using the parasitic element value V_stry for each parasitic element D_stry obtained in the second program step.

【0095】この様な回路特性ばらつき解析ルーチンプ
ログラムは、第1プログラムステップ乃至第3プログラ
ムステップを用いて、代表値の全ての組み合わせの数N
_cmbに応じた所定回数だけ、第2プログラムステップ及
び第3プログラムステップを用いた回路特性解析を繰り
返すことに依り、回路特性のばらつき幅を求めるワース
トケース解析を実行することができる。
Such a circuit characteristic variation analysis routine program uses the first to third program steps to calculate the number N of all combinations of representative values.
By repeating the circuit characteristic analysis using the second program step and the third program step a predetermined number of times according to _cmb, it is possible to execute a worst case analysis for obtaining a variation width of the circuit characteristic.

【0096】<2.1.3 第1実施形態のハードウェア
構成>本実施形態の回路シミュレーション装置は、上記
ワーストケース解析を実施するために、集積回路の回路
構成を特定する関数記述ネットリストLst_ntにおい
て関数で記述された寄生素子値V_stryを数値化する数
値化手段(図15のステップP3に相当)や、数値化さ
れた寄生素子値V_stryを用いて回路シミュレーション
を実行する手段(ステップP5に相当)等を備えている
が、これらはコンピュータプログラムにより実現され
る。したがって、本実施形態の回路シミュレーション装
置は、ハードウェア的には図2に示したように、所定の
プログラムを実行することにより各種の演算処理等を行
うCPU56、前述のネットリスト抽出プログラムや回
路シミュレーションプログラム等の各種プログラムが保
持された記憶媒体(図中のハードディスク装置52)、
記憶手段(図中のメモリ58)、各種操作コマンドやパ
ラメータを入力するためのキーボード54、回路シミュ
レーション過程や結果を表示するCRT60、前述の記
憶媒体を読み出すための周辺装置等(図示せず)を中心
に構成されている。
<2.1.3 Hardware Configuration of First Embodiment> The circuit simulation apparatus of the present embodiment performs a worst-case analysis by using a function description netlist Lst_nt for specifying a circuit configuration of an integrated circuit. And a means for executing a circuit simulation using the quantified parasitic element value V_stry (corresponding to step P5). ), Etc., which are realized by a computer program. Therefore, as shown in FIG. 2, the circuit simulation apparatus according to the present embodiment includes a CPU 56 that performs various arithmetic processing and the like by executing a predetermined program as shown in FIG. A storage medium (hard disk device 52 in the figure) holding various programs such as programs,
A storage means (memory 58 in the figure), a keyboard 54 for inputting various operation commands and parameters, a CRT 60 for displaying a circuit simulation process and results, a peripheral device for reading the above-mentioned storage medium (not shown), etc. It is configured at the center.

【0097】この様に、必要とする回路シミュレーショ
ン装置の基本構成は、従来技術における回路シミュレー
ション装置の基本構成と同様であるため、従来技術の回
路シミュレーション装置のハードウエア資源(則ち、回
路シミュレーション環境)を有効に利用して回路シミュ
レーション環境を簡易に且つ低コストで実現できるとい
った効果を奏する。
As described above, the basic configuration of the required circuit simulation apparatus is the same as the basic configuration of the conventional circuit simulation apparatus, and therefore the hardware resources (that is, the circuit simulation environment) of the conventional circuit simulation apparatus are used. ) Is effectively used to realize a circuit simulation environment easily and at low cost.

【0098】<2.2 第2実施形態>次に、本発明の
第2実施形態の回路シミュレーション装置について説明
する。なお、第1実施形態において既に記述したものと
同一の部分については、同一符号を付し、重複した説明
は省略する。
<2.2 Second Embodiment> Next, a circuit simulation apparatus according to a second embodiment of the present invention will be described. Note that the same parts as those already described in the first embodiment are denoted by the same reference numerals, and redundant description will be omitted.

【0099】本実施形態の回路シミュレーション装置も
概念的にはネットリスト抽出部とシミュレータ部とから
構成される。このうちネットリスト抽出部の構成および
動作は第1実施形態と同様であるが、本実施形態のシミ
ュレーション部では所定の回路シミュレーション方法に
よるモンテカルロ解析が行われ、この点が本実施形態に
特有の特徴となっている。そこで以下では、まず、本実
施形態のシミュレーション部でモンテカルロ解析を行う
ための回路シミュレーション方法について説明する。
The circuit simulation apparatus of this embodiment is conceptually composed of a netlist extraction unit and a simulator unit. The configuration and operation of the netlist extraction unit are the same as those of the first embodiment. However, the simulation unit of this embodiment performs Monte Carlo analysis by a predetermined circuit simulation method, which is a feature unique to this embodiment. It has become. Therefore, a circuit simulation method for performing the Monte Carlo analysis in the simulation unit of the present embodiment will be described below.

【0100】<2.2.1 第2実施形態の回路シミュレ
ーション方法>本回路シミュレーション方法によって行
われるモンテカルロ解析は、ばらつき解析で一般的に用
いられる統計解析法であって、関数記述ネットリストL
st_ntにおいて、集積回路を製造するためのプロセス
に依存して決まる電気的特性値である所定のプロセスデ
ータDt_prを変数(電気的特性変数Var_elc)とし
て取扱い、電気的特性変数Var_elcに所定の分布特性
(後述するガウス分布特性Chr_dst)を与えることに
依って、回路特性の統計的ばらつき解析を容易に実行す
る点に特徴を有している。
<2.2.1 Circuit Simulation Method of Second Embodiment> The Monte Carlo analysis performed by the present circuit simulation method is a statistical analysis method generally used in variation analysis, and the function description netlist L
In st_nt, predetermined process data Dt_pr, which is an electrical characteristic value determined depending on a process for manufacturing an integrated circuit, is treated as a variable (electrical characteristic variable Var_elc), and a predetermined distribution characteristic (Var_elc) is assigned to the electrical characteristic variable Var_elc. It is characterized in that statistical variation analysis of circuit characteristics is easily performed by giving a Gaussian distribution characteristic (Chr_dst) described later.

【0101】具体的には、モンテカルロ解析法は、指定
した電気的特性変数Var_elcをガウス分布又はー定分
布等に沿ってサンプリング回数N_smpl(数十〜数百
回)だけサンプリングを実行し、その各々のサンプリン
グ値を用いて本実施形態の回路シミュレーション方法を
実行し、算出された結果(やはり分布を示す)に対して
統計的解析を行うものである。
Specifically, in the Monte Carlo analysis method, the specified electrical characteristic variable Var_elc is sampled along a Gaussian distribution or a constant distribution by the number of samplings N_smpl (several tens to several hundreds), and each of them is executed. The circuit simulation method of the present embodiment is executed using the sampling values of (1) and (2), and a statistical analysis is performed on the calculated result (which also shows the distribution).

【0102】次に、前述の図3(b)に示す構造の配線
100を有する回路の特性ばらつきについてのモンテカ
ルロ解析法を説明する。図3(b)に示すボトム容量C
bが層間膜厚のばらつきにより変動する事例を考える
(但し、簡単の為、フリンジ容量Cfl及びCf2は無
視するものとする)。
Next, a Monte Carlo analysis method for the characteristic variation of the circuit having the wiring 100 having the structure shown in FIG. 3B will be described. The bottom capacitance C shown in FIG.
Consider a case where b fluctuates due to variations in interlayer film thickness (however, for simplicity, fringe capacitances Cfl and Cf2 are ignored).

【0103】モンテカルロ解析において、配線100の
負荷を有する集積回路のレイアウトデータDt_lytを用
いて関数記述ネットリストLst_ntが抽出される。こ
の関数記述ネットリストLst_nt中では、前述の図5
で示したように、単位面積当たりのボトム容量(Cb)
に対してCBALという電気的特性変数Var_elcが与
えられ、CBALに対してガウス分布特性Chr_dstが
設定されている。但し、図5の関数記述ネットリストL
st_ntではCBALの値が指定されているが(CBA
L=0.01F)、ここではこの指定値の代わりに、ガ
ウス分布特性Chr_dstに基づいて分布の種類、センタ
ー値、標準偏差σ等が指定される。また、モンテカルロ
解析における解析条件設定においては、サンプリング回
数N_smpl等が設定される。
In the Monte Carlo analysis, the function description netlist Lst_nt is extracted using the layout data Dt_lyt of the integrated circuit having the load of the wiring 100. In the function description netlist Lst_nt, FIG.
, The bottom capacitance per unit area (Cb)
Is given an electrical characteristic variable Var_elc called CBAL, and a Gaussian distribution characteristic Chr_dst is set for CBAL. However, the function description netlist L of FIG.
In st_nt, the value of CBAL is specified (CBA
L = 0.01F), where the type of distribution, center value, standard deviation σ, etc. are specified based on the Gaussian distribution characteristic Chr_dst instead of this specified value. In setting the analysis conditions in the Monte Carlo analysis, the number of samplings N_smpl and the like are set.

【0104】この様な条件下で、回路シミュレーション
を実行すれば、単位面積当たりのボトム容量CBALは
図16(a)のような頻度でサンプリングされ、CBA
Lのばらつきを反映した回路特性のばらつきが図16
(b)のように算出される。ここで、図16(a)は、
単位配線面積当たりのボトム容量CBALのばらつきの
一例を示すヒストグラムであり、図16(b)は、回路
特性値のばらつきを示すヒストグラムである。
When a circuit simulation is performed under such conditions, the bottom capacitance CBAL per unit area is sampled at a frequency as shown in FIG.
The variation in circuit characteristics reflecting the variation in L is shown in FIG.
It is calculated as shown in FIG. Here, FIG.
FIG. 16B is a histogram showing an example of the variation of the bottom capacitance CBAL per unit wiring area, and FIG. 16B is a histogram showing the variation of the circuit characteristic value.

【0105】このようにして算出した図16(b)のヒ
ストグラムに基づいて、回路特性のセンター値やσ値
(分散値)を求めたり、電気的特性変数Var_elcと回
路特性との相関の強さを調査したり等の統計的解析を行
うことができる。
The center value and the σ value (dispersion value) of the circuit characteristic are obtained based on the histogram of FIG. And statistical analysis such as investigating.

【0106】図17は、本実施形態の回路シミュレーシ
ョン方法により実行される上記モンテカルロ解析を説明
するためのフローチャートである。
FIG. 17 is a flowchart for explaining the Monte Carlo analysis executed by the circuit simulation method of the present embodiment.

【0107】本実施形態の回路シミュレーション方法に
よるモンテカルロ解析は、第1ステップと第2ステップ
と第3ステップとを有している。モンテカルロ解析が開
始されると(ステップQ1)、まず第1ステップが実行
される(ステップQ2)。ここで、第1ステップは、電
気的特性変数Var_elcの各々に対して電気的特性にか
かる所定の分布特性Chr_dstを設定するステップであ
る。第1ステップ(ステップQ2)は、図17に示すよ
うに、電気的特性変数Var_elcの各々に対して分布特
性Chr_dstを入力する工程と、統計解析の繰り返し回
数にかかるサンプリング回数N_smplを入力する工程
と、モンテカルロ解析の対象となる集積回路(対象回
路)の回路構成を特定するための関数記述ネットリスト
Lst_ntを入力する工程と、対象回路を構成する素子
にかかる電気的特性(素子特性情報)を入力する工程と
を含んで構成されている。
The Monte Carlo analysis according to the circuit simulation method of the present embodiment has a first step, a second step, and a third step. When the Monte Carlo analysis is started (Step Q1), first, a first step is executed (Step Q2). Here, the first step is a step of setting a predetermined distribution characteristic Chr_dst concerning the electric characteristic for each of the electric characteristic variables Var_elc. The first step (step Q2) is, as shown in FIG. 17, a step of inputting the distribution characteristic Chr_dst for each of the electric characteristic variables Var_elc, and a step of inputting the number of samplings N_smpl for the number of repetitions of the statistical analysis. Inputting a function description netlist Lst_nt for specifying a circuit configuration of an integrated circuit (target circuit) to be subjected to Monte Carlo analysis, and inputting electrical characteristics (element characteristic information) of elements constituting the target circuit And the step of performing

【0108】本実施形態では、前述したように、分布特
性Chr_dstとしてガウス分布特性Chr_dstを用いて
いる。この様に、関数記述ネットリストLst_ntにお
いて、所定のプロセスデータDt_prを電気的特性変数
Var_elcとして用い、寄生素子D_stryの寄生素子値
V_stryを表す関数における電気的特性変数にガウス分
布特性Chr_dstを与えることに依り、すなわち単位配
線面積当たりのボトム容量CBALや、配線のシート抵
抗値RAL、単位配線フリンジ長当たりのフリンジ容量
CFAL等にガウス分布特性Chr_dstを与えることに
依り、回路特性の統計的ばらつき解析が精度良く且つ容
易実行できるようになるといった効果を奏する。
In this embodiment, as described above, the Gaussian distribution characteristic Chr_dst is used as the distribution characteristic Chr_dst. As described above, in the function description netlist Lst_nt, the predetermined process data Dt_pr is used as the electric characteristic variable Var_elc, and the Gaussian distribution characteristic Chr_dst is given to the electric characteristic variable in the function representing the parasitic element value V_stry of the parasitic element D_stry. In other words, by providing the Gaussian distribution characteristic Chr_dst to the bottom capacitance CBAL per unit wiring area, the sheet resistance value RAL of the wiring, the fringe capacitance CFAL per unit wiring fringe length, etc. There is an effect that it can be executed well and easily.

【0109】更に、第1ステップに続く第2ステップ
(ステップQ2)は、電気的特性変数Var_elc毎に設
定された分布特性Chr_dstに基づいて各電気的特性変
数Var_elcの値(具体的にはRALやCBAL等の
値)を設定するステップである。
Further, a second step (step Q2) following the first step is based on the distribution characteristic Chr_dst set for each electric characteristic variable Var_elc, and the value of each electric characteristic variable Var_elc (specifically, RAL or This is a step of setting a value such as CBAL.

【0110】第2ステップに続く第3ステップ(ステッ
プQ3)は、第2ステップで設定された電気的特性変数
Var_elcの値に基づいて、寄生素子D_stry毎の寄生
素子値V_stry(具体的には寄生容量値や寄生抵抗値
等)を求めるステップである。
A third step (step Q3) following the second step is a parasitic element value V_stry (specifically, a parasitic element value V_stry for each parasitic element D_stry) based on the value of the electrical characteristic variable Var_elc set in the second step. This is a step of obtaining a capacitance value, a parasitic resistance value, and the like.

【0111】第3ステップに続く第4ステップ(ステッ
プQ4)は、第3ステップで求めた寄生素子値V_stry
を用いて対象回路の回路特性解析を実行するステップで
ある。
A fourth step (step Q4) following the third step is a parasitic element value V_stry obtained in the third step.
Is a step of performing a circuit characteristic analysis of the target circuit by using.

【0112】本モンテカルロ解析は、この様な第1ステ
ップ(ステップQ2)乃至第4ステップ(ステップQ
4)を用い、設定されたサンプリング回数N_smplだ
け、第3ステップ(ステップQ3)及び第4ステップ
(ステップQ4)を繰り返すことに依り(ステップQ3
→ステップQ4→ステップQ5のNo→・ステップQ6
→ステップQ3→・・・)統計解析としてモンテカルロ
解析を実行し、これにより回路特性のばらつき幅を求め
る機能を有する点に特徴がある。
The present Monte Carlo analysis includes the first step (step Q2) to the fourth step (step Q2).
4), by repeating the third step (step Q3) and the fourth step (step Q4) for the set number of sampling times N_smpl (step Q3).
→ Step Q4 → Step Q5 No → Step Q6
→ Step Q3 →...) A feature is that a Monte Carlo analysis is performed as a statistical analysis, thereby obtaining a variation width of circuit characteristics.

【0113】設定されたサンプリング回数N_smplだ
け、回路特性解析が実行されると(則ち、ステップQ5
のYes)、算出された回路特性(具体的には信号の遅
延時間や集積回路の消費電力など)のばらつきを図16
(b)に示すようなヒストグラムとして出力するステッ
プQ7が実行された後、モンテカルロ解析を終了する
(ステップQ8)。
When the circuit characteristic analysis is executed for the set number of sampling times N_smpl (that is, step Q5).
FIG. 16 shows the variation in the calculated circuit characteristics (specifically, the signal delay time and the power consumption of the integrated circuit).
After the step Q7 of outputting as a histogram as shown in (b) is executed, the Monte Carlo analysis ends (step Q8).

【0114】この様に、ばらつき解析にモンテカルロ解
析法を用い、指定した寄生素子D_stryの寄生素子値V_
stryを表現する関数における電気的特性変数Var_elc
をガウス分布に従ってサンプリング回数N_smplだけサ
ンプリングし、その各々の値を用いて、従来は困難であ
った配線プロセス等のばらつきによる回路特性の統計的
ばらつき解析を容易に実現できるモンテカルロ解析を実
行するための回路シミュレーションを行うことができる
ようになるといった効果を奏する。この様な回路シミュ
レーションを実行すれば、(所望の寄生素子D_stryの
寄生素子値V_stryを表現する関数における)電気的特
性変数Var_elcとしての所定のプロセスデータのばら
つきを反映した回路特性のばらつき(ヒストグラム)を
求めることができるようになるといった効果を奏する。
更に、回路特性のばらつきのヒストグラムに基づいて、
回路特性のセンター値やσ値を求めたり、電気的特性変
数Var_elcとしての所定のプロセスデータ(又は寄生
素子値V_stry)と回路特性との相関の強さを考察する
といった統計的解析が精度良く且つ容易に実行できるよ
うになるといった効果を奏する。
As described above, the Monte Carlo analysis method is used for the variation analysis, and the parasitic element value V_ of the designated parasitic element D_stry is used.
Var_elc electrical characteristic variable in function expressing stry
In accordance with a Gaussian distribution for sampling the number of times N_smpl, and using each value to execute Monte Carlo analysis that can easily realize statistical variation analysis of circuit characteristics due to variation in wiring process and the like, which was difficult in the past. There is an effect that a circuit simulation can be performed. By executing such a circuit simulation, a variation (histogram) of the circuit characteristic reflecting a variation of predetermined process data as an electrical characteristic variable Var_elc (in a function expressing a parasitic element value V_stry of a desired parasitic element D_stry) Is obtained.
Furthermore, based on the histogram of the variation in the circuit characteristics,
Statistical analysis such as obtaining the center value or σ value of the circuit characteristics, and considering the strength of the correlation between the predetermined process data (or the parasitic element value V_stry) as the electric characteristic variable Var_elc and the circuit characteristics is performed with high accuracy. This has the effect that it can be easily executed.

【0115】なお、モンテカルロ解析についての以上の
説明では、1種類の電気的特性変数Var_elcすなわち
プロセスデータCBAL(単位配線面積当たりのボトム
容量)についてのみ分布を与えているが(図16(a)
参照)、この場合には回路特性の分布(図16(b)の
ヒストグラム)の概要は直感的に予測可能とも言える。
しかし、通常は、複数種類の電気的特性変数Var_elc
に対して分布が与えられ(各電気的特性変数Var_elc
によって分布の種類が異なっていてもよい)、それに対
する回路特性のばらつきを示すヒストグラムが本実施形
態の回路シミュレーション方法(モンテカルロ解析)に
より求められる。この場合には、回路特性の分布(ばら
つき)を直感的に予測するのは困難であるため、本実施
形態の回路シミュレーション(モンテカルロ解析)が特
に有効となる。
In the above description of the Monte Carlo analysis, the distribution is given only for one kind of electric characteristic variable Var_elc, that is, the process data CBAL (bottom capacitance per unit wiring area) (FIG. 16A).
In this case, the outline of the distribution of the circuit characteristics (the histogram in FIG. 16B) can be said to be intuitively predictable.
However, usually, a plurality of kinds of electric characteristic variables Var_elc
Distribution is given to each of the electric characteristic variables Var_elc
The type of distribution may differ depending on the type of distribution), and a histogram indicating the variation in circuit characteristics corresponding thereto is obtained by the circuit simulation method (Monte Carlo analysis) of the present embodiment. In this case, since it is difficult to intuitively predict the distribution (variation) of the circuit characteristics, the circuit simulation (Monte Carlo analysis) of the present embodiment is particularly effective.

【0116】以上説明したように、第2実施形態の回路
シミュレーション方法に依れば、関数記述ネットリスト
Lst_ntにおいて、プロセスデータDt_prを電気的特
性変数Var_elcとして用い、寄生素子D_stryの寄生
素子値V_stryに所定の分布特性Chr_dstを与えるこ
とに依り、回路特性の統計的ばらつき解析が精度良く且
つ容易実行できるようになるといった効果を奏する。更
に、この様な統計的ばらつき解析の結果を解析すること
に依り、各寄生素子D_stryの寄生素子値V_stry
と回路特性との相関の強さや最善値及び最悪値を精度良
く求めることができるようになるといった効果を奏す
る。
As described above, according to the circuit simulation method of the second embodiment, in the function description netlist Lst_nt, the process data Dt_pr is used as the electrical characteristic variable Var_elc, and the parasitic element value V_stry of the parasitic element D_stry is used. By providing the predetermined distribution characteristic Chr_dst, there is an effect that the statistical variation analysis of the circuit characteristic can be performed accurately and easily. Further, by analyzing the result of such statistical variation analysis, the parasitic element value V_try of each parasitic element D_stry is analyzed.
And the circuit characteristic can be obtained with high accuracy and the best value and worst value of the correlation.

【0117】<2.2.2 第2実施形態の回路シミュ
レーションプログラム>上記回路シミュレーション方法
によるワーストケース解析は、下記に述べる回路シミュ
レーションプログラムをCPU56が実行することによ
り実施される。
<2.2.2 Circuit Simulation Program of Second Embodiment> The worst case analysis by the above-described circuit simulation method is executed by the CPU 56 executing a circuit simulation program described below.

【0118】本回路シミュレーションプログラムは、本
実施形態の回路シミュレーション方法を実行するための
プログラムであって、本実施形態の回路シミュレーショ
ン装置を実現するためのハードウェアであるコンピュー
タを用いて読み出すことができる記憶媒体(具体的に
は、フロッピーディスクや磁気テープ等の磁気記憶手
段、または、CD−ROM(Compact Disk-ROM)等の光学
式記憶手段など)に記憶されて提供される。
The present circuit simulation program is a program for executing the circuit simulation method of the present embodiment, and can be read out by using a computer which is hardware for realizing the circuit simulation apparatus of the present embodiment. The storage medium (specifically, a magnetic storage unit such as a floppy disk or a magnetic tape, or an optical storage unit such as a CD-ROM (Compact Disk-ROM)) is provided.

【0119】また、上記の記憶媒体から読み出された回
路シミュレーションプログラムは、回路シミュレーショ
ン装置(コンピュータ)内に設けられた内部記憶手段
(具体的には、EEPROM、RAM等の半導体記憶デ
バイス、磁気ディスク等の磁気記憶手段)に保持され、
回路シミュレーション方法の実行に応じて適時読み出さ
れる。
The circuit simulation program read from the storage medium is stored in an internal storage means (specifically, a semiconductor storage device such as an EEPROM or a RAM, a magnetic disk) provided in a circuit simulation apparatus (computer). , Etc.)
It is read out as appropriate according to the execution of the circuit simulation method.

【0120】本回路シミュレーションプログラムは、第
1プログラムステップと第2プログラムステップと第3
プログラムステップと第4プログラムステップとを有し
ている。第1プログラムステップは、電気的特性変数V
ar_elcの各々に対して電気的特性の所定の分布を設定
するプログラムステップである。特に、統計解析ルーチ
ンプログラムにおける第1プログラムステップは、電気
的特性変数Var_elcの各々に対して分布特性Chr_d
stを入力するプログラムステップと、統計解析のサンプ
リング回数N_smplを入力するプログラムステップと、
集積回路である対象回路の回路構成を特定するための関
数記述ネットリストLst_ntを入力するプログラムス
テップと、対象回路を構成する素子の電気的特性(素子
特性情報)を入力するプログラムステップとを含んで構
成されている。
The present circuit simulation program comprises a first program step, a second program step, and a third program step.
It has a program step and a fourth program step. The first program step comprises the electrical characteristic variable V
This is a program step for setting a predetermined distribution of electrical characteristics for each of ar_elc. In particular, a first program step in the statistical analysis routine program includes a distribution characteristic Chr_d for each of the electrical characteristic variables Var_elc.
a program step for inputting st, a program step for inputting the number of samplings N_smpl for statistical analysis,
Including a program step of inputting a function description netlist Lst_nt for specifying a circuit configuration of a target circuit which is an integrated circuit, and a program step of inputting electrical characteristics (element characteristic information) of elements forming the target circuit. It is configured.

【0121】第2プログラムステップは、電気的特性変
数Var_elc毎に設定された分布特性Chr_dstに基づ
いて各電気的特性変数Var_elcの値を設定するプログ
ラムステップである。
The second program step is a program step for setting the value of each electric characteristic variable Var_elc based on the distribution characteristic Chr_dst set for each electric characteristic variable Var_elc.

【0122】第3プログラムステップは、第2プログラ
ムステップで設定された電気的特性変数Var_elcに基
づいて、寄生素子D_stry毎の寄生素子値V_stryを求め
るプログラムステップである。
The third program step is a program step for obtaining a parasitic element value V_stry for each parasitic element D_stry based on the electric characteristic variable Var_elc set in the second program step.

【0123】第4プログラムステップは、第3プログラ
ムステップで求めた寄生素子値V_stryを用いて回路特
性解析を実行するプログラムステップである。
The fourth program step is a program step for executing a circuit characteristic analysis using the parasitic element value V_stry obtained in the third program step.

【0124】本回路シミュレーションプログラムは、サ
ンプリング回数N_smplだけ、第3プログラムステップ
及び第4プログラムステップを用いて回路特性解析を繰
り返すことに依り、回路特性の分布(例えば図16
(b)に示すような回路特性値のヒストグラム)を求め
る統計解析ルーチンプログラムである。
This circuit simulation program is based on repeating the circuit characteristic analysis using the third program step and the fourth program step for the number of times of sampling N_smpl, thereby obtaining the distribution of circuit characteristics (for example, FIG. 16).
This is a statistical analysis routine program for obtaining a circuit characteristic value histogram as shown in FIG.

【0125】<2.2.3 第2実施形態のハードウェア
構成>本実施形態の回路シミュレーション装置は、上記
モンテカルロ解析を実施するために、集積回路の回路構
成を特定する関数記述ネットリストLst_ntにおいて
関数で記述された寄生素子値V_stryを数値化する数値
化手段(図17のステップQ3に相当)や、数値化され
た寄生素子値V_stryを用いて回路シミュレーションを
実行する手段(ステップQ5に相当)等を備えている
が、これらはコンピュータプログラムにより実現され
る。したがって、本実施形態の回路シミュレーション装
置は、ハードウェア的には第1実施形態の回路シミュレ
ーション装置と同様(図2参照)、所定のプログラムを
実行することにより各種の演算処理等を行うCPU5
6、前述の回路シミュレーションプログラムやネットリ
スト抽出プログラム等の各種プログラムが保持された記
憶媒体(図中のハードディスク装置52)、記憶手段
(図中のメモリ58)、各種操作コマンドやパラメータ
を入力するためのキーボード54、回路シミュレーショ
ン過程や結果を表示するCRT60、前述の記憶媒体を
読み出すための周辺装置等(図示せず)を中心に構成さ
れている。
<2.2.3 Hardware Configuration of Second Embodiment> The circuit simulation apparatus of the present embodiment uses a function description netlist Lst_nt for specifying the circuit configuration of an integrated circuit in order to perform the above Monte Carlo analysis. Numericalizing means (corresponding to step Q3 in FIG. 17) for quantifying the parasitic element value V_stry described by the function, and means for executing a circuit simulation using the quantified parasitic element value V_stry (corresponding to step Q5) These are realized by a computer program. Therefore, the circuit simulation apparatus of the present embodiment is similar to the circuit simulation apparatus of the first embodiment in hardware (see FIG. 2), and executes a predetermined program to execute various arithmetic processing and the like.
6. A storage medium (hard disk device 52 in the figure) holding various programs such as the above-described circuit simulation program and netlist extraction program, storage means (memory 58 in the figure), and input of various operation commands and parameters. A keyboard 54, a CRT 60 for displaying a circuit simulation process and results, and peripheral devices (not shown) for reading the above-mentioned storage medium are mainly configured.

【0126】<3. その他>第1実施形態または第2
実施形態の回路シミュレーション装置は、既述のよう
に、図2に示したハードウェア構成のコンピュータにお
いてネットリスト抽出プログラムをCPU56が実行す
ることによりネットリスト抽出部として動作し、これに
より集積回路のレイアウトデータから関数記述ネットリ
ストLst_ntを生成することができる。
<3. Others> First Embodiment or Second Embodiment
As described above, the circuit simulation apparatus of the embodiment operates as a netlist extraction unit when the CPU 56 executes the netlist extraction program in the computer having the hardware configuration shown in FIG. A function description netlist Lst_nt can be generated from the data.

【0127】なお、第1実施形態および第2実施形態で
は、集積回路における配線構造の寄生素子値V_stry
(具体的には、寄生容量素子値や寄生抵抗素子値)が関
数記述された関数記述ネットリストLst_ntを用いて
回路シミュレーション(回路特性解析)を行っている
が、本発明は、これに限定されるものではない。既述の
ように、例えば、MOSトランジスタ構造における拡散
層の寄生容量値や寄生抵抗値も、単位面積または単位長
さ当たりの容量値やシート抵抗値とレイアウトデータと
から算出されるため、これらについても本発明の回路シ
ミュレーション装置におけるネットリスト抽出部により
関数記述ネットリストを生成することができる。また、
コンタクト抵抗やスルーホール抵抗についても、ホール
1個当たりの抵抗値とホール数から、または、単位ホー
ル面積当たりの抵抗値とホール面積から抵抗値を算出す
ることが可能であり、これらについても同様に関数記述
としたネットリストを生成することができる。さらに、
レイアウトデータから抽出するネットリストに、寄生イ
ンダクタなどの他の寄生素子を記述する場合において
も、その寄生素子に対し同様にして関数記述を適用する
ことができる。したがって、本発明の回路シミュレーシ
ョン装置におけるシミュレーション部は、このような各
種の関数記述ネットリストを用いて回路シミュレーショ
ンによる回路特性のばらつき解析(ワーストケース解析
やモンテカルロ解析など)を行うことができる。
In the first and second embodiments, the parasitic element value V_stry of the wiring structure in the integrated circuit is described.
(Specifically, a circuit simulation (circuit characteristic analysis) is performed by using a function description netlist Lst_nt in which a function is described (parasitic capacitance element value and parasitic resistance element value). However, the present invention is not limited to this. Not something. As described above, for example, the parasitic capacitance and the parasitic resistance of the diffusion layer in the MOS transistor structure are also calculated from the capacitance and the sheet resistance per unit area or unit length and the layout data. Also, the function description netlist can be generated by the netlist extraction unit in the circuit simulation device of the present invention. Also,
Regarding the contact resistance and the through-hole resistance, the resistance value can be calculated from the resistance value per one hole and the number of holes, or from the resistance value per unit hole area and the hole area. A netlist can be generated as a function description. further,
Even when another parasitic element such as a parasitic inductor is described in a netlist extracted from the layout data, the function description can be similarly applied to the parasitic element. Therefore, the simulation unit in the circuit simulation apparatus of the present invention can perform a circuit characteristic variation analysis (worst case analysis, Monte Carlo analysis, etc.) by circuit simulation using such various function description netlists.

【0128】[0128]

【発明の効果】本発明に係る第1の回路シミュレーショ
ン方法、第1の回路シミュレーションプログラムを記録
した記録媒体、または第1の回路シミュレーション装置
によれば、関数記述ネットリストによってシミュレーシ
ョン対象の集積回路の回路構成が特定される。このた
め、レイアウトデータが同一でプロセスが変更された場
合やプロセスがばらついた場合に、レイアウトデータか
らネットリストを再度抽出することなく、関数記述ネッ
トリストにおける変数の値の定義を修正して回路シミュ
レーションを行うことにより、プロセスの変更やばらつ
きによる回路特性の変化を容易に予測することができ
る。また、レイアウトデータが得られているがプロセス
が未確定の場合に、関数記述ネットリストにおける変数
の値の定義を種々変更しながら回路シミュレーションを
繰り返すことにより、所望の回路特性を有するプロセス
の決定やプロセスの最適化を行うことができる。
According to the first circuit simulation method, the recording medium on which the first circuit simulation program is recorded, or the first circuit simulation apparatus according to the present invention, the integrated circuit to be simulated is obtained by the function description netlist. The circuit configuration is specified. For this reason, when the layout data is the same and the process is changed or the process varies, the definition of the variable value in the function description netlist is corrected without re-extracting the netlist from the layout data, and the circuit simulation is performed. , It is possible to easily predict a change in circuit characteristics due to a change or variation in the process. Further, when the layout data is obtained but the process is undetermined, by repeating the circuit simulation while variously changing the definition of the variable value in the function description netlist, it is possible to determine a process having desired circuit characteristics. Process optimization can be performed.

【0129】本発明に係る第2の回路シミュレーション
方法、第2の回路シミュレーションプログラムを記録し
た記録媒体、または第2の回路シミュレーション装置に
よれば、関数記述ネットリストにおいて所定のプロセス
データを電気的特性値を示す変数(電気的特性変数)と
して用いてプロセスデータにばらつきを与えることに依
り、回路特性のばらつきの最大値及び最小値(又は最善
値及び最悪値)を求めることができる。これにより、従
来は困難であった配線プロセス等のばらつきによる回路
特性のばらつきを解析できるワーストケース解析を精度
良く実行できるようになる。
According to the second circuit simulation method, the recording medium on which the second circuit simulation program is recorded, or the second circuit simulation apparatus according to the present invention, the predetermined process data is stored in the function description netlist by using the electrical characteristics. By giving variation to the process data by using it as a variable indicating the value (electrical characteristic variable), the maximum value and the minimum value (or the best value and the worst value) of the variation in the circuit characteristics can be obtained. As a result, worst-case analysis for analyzing variations in circuit characteristics due to variations in wiring processes and the like, which has been difficult in the past, can be performed with high accuracy.

【0130】本発明に係る第3もしくは第4の回路シミ
ュレーション方法、第3もしくは第4の回路シミュレー
ションプログラムを記録した記録媒体、または第3もし
くは第4の回路シミュレーション装置によれば、関数記
述ネットリストにおいて、所定のプロセスデータを電気
的特性値を示す変数(電気的特性変数)として用い、そ
の所定のプロセスデータに所定の分布特性を与えること
に依り、その所定のプロセスデータのばらつきを反映し
た回路特性のばらつき(分布)を求めることができる。
これにより、従来は困難であった配線プロセス等のばら
つきによる回路特性のばらつき(分布)を求め、それに
基づいて回路特性のセンター値やσ値を求めたり、電気
的特性変数としての所定のプロセスデータ(又は寄生素
子値)と回路特性との相関の強さを考察するといった統
計的解析が精度良く且つ容易に実行できるようになる。
According to the third or fourth circuit simulation method, the recording medium storing the third or fourth circuit simulation program, or the third or fourth circuit simulation apparatus according to the present invention, the function description netlist A circuit which uses predetermined process data as a variable indicating an electrical characteristic value (electrical characteristic variable) and gives a predetermined distribution characteristic to the predetermined process data, thereby reflecting a variation in the predetermined process data. The variation (distribution) of the characteristics can be obtained.
As a result, variations (distribution) of circuit characteristics due to variations in wiring processes and the like, which were difficult in the past, are obtained, and a center value or σ value of the circuit characteristics is obtained based on the variations, or predetermined process data as electrical characteristic variables is obtained. Statistical analysis such as consideration of the strength of correlation between (or a parasitic element value) and circuit characteristics can be performed accurately and easily.

【0131】本発明に係る第5ないし第7の回路シミュ
レーション方法、第5の回路シミュレーションプログラ
ムを記録した記録媒体、または第5の回路シミュレーシ
ョン装置によれば、シミュレーションの対象となる集積
回路の構成を示すネットリストが生成され、生成された
ネットリストにおいて、寄生容量または寄生抵抗の値が
単位面積当たりまたは単位長さ当たりの容量値または抵
抗値やシート抵抗値などの電気的特性値を変数とする関
数として記述されるとともに、それらの変数の値が別途
定義されている。そして、このような関数記述ネットリ
ストを用いて回路シミュレーションが行われる。このた
め、レイアウトデータが同一でプロセスが変更された場
合やプロセスがばらついた場合に、レイアウトデータか
らネットリストを再度抽出することなく、関数記述ネッ
トリストにおける変数の値の定義を修正して回路シミュ
レーションを行うことにより、プロセスの変更やばらつ
きによる回路特性の変化を容易に予測することができ
る。また、レイアウトデータが得られているがプロセス
が未確定の場合に、関数記述ネットリストにおける変数
の値の定義を種々変更しながら回路シミュレーションを
繰り返すことにより、所望の回路特性を有するプロセス
の決定やプロセスの最適化を行うことができる。
According to the fifth to seventh circuit simulation methods, the recording medium storing the fifth circuit simulation program, or the fifth circuit simulation apparatus according to the present invention, the configuration of the integrated circuit to be simulated is reduced. The generated netlist is generated, and in the generated netlist, the value of the parasitic capacitance or the parasitic resistance is a variable per unit area or per unit length, such as a capacitance value per unit area or a resistance value or a sheet resistance value. It is described as a function and the values of those variables are defined separately. Then, a circuit simulation is performed using such a function description netlist. For this reason, when the layout data is the same and the process is changed or the process varies, the definition of the variable value in the function description netlist is corrected without re-extracting the netlist from the layout data, and the circuit simulation is performed. , It is possible to easily predict a change in circuit characteristics due to a change or variation in the process. Further, when the layout data is obtained but the process is undetermined, by repeating the circuit simulation while variously changing the definition of the variable value in the function description netlist, it is possible to determine a process having desired circuit characteristics. Process optimization can be performed.

【0132】本発明に係る第8の回路シミュレーション
方法によれば、単位長さ当たりの抵抗値、シート抵抗
値、単位面積当たりの容量値、および単位長さ当たりの
容量値を含む前記電気的特性値のうち指定されたものだ
けが、寄生容量値または寄生抵抗値を表す関数の変数と
して用いられる。したがって、例えば層間膜形成プロセ
スのみ変更される可能性がある場合は、寄生抵抗値(配
線抵抗値)は変動しないと考えられるので、シート抵抗
値について変数指定しないことにより、寄生抵抗値は数
値で記述し寄生容量値は関数で記述するというように、
目的に応じて寄生抵抗値や寄生容量値の記述形式を選択
することができる。これにより、目的に合った効率のよ
いネットリストを生成することができ、そのネットリス
トを用いて回路シミュレーションを行うことができる。
According to the eighth circuit simulation method of the present invention, the electric characteristics including a resistance value per unit length, a sheet resistance value, a capacitance value per unit area, and a capacitance value per unit length. Only the specified one of the values is used as a variable of the function representing the parasitic capacitance value or the parasitic resistance value. Therefore, for example, when only the interlayer film formation process is likely to be changed, the parasitic resistance (wiring resistance) is considered to not change. Therefore, by not specifying a variable for the sheet resistance, the parasitic resistance is represented by a numerical value. And describe the parasitic capacitance value as a function,
The description format of the parasitic resistance value and the parasitic capacitance value can be selected according to the purpose. Thus, an efficient netlist suitable for the purpose can be generated, and a circuit simulation can be performed using the netlist.

【0133】本発明に係る第9の回路シミュレーション
方法によれば、同一ノード間に存在する複数の寄生容量
が関数記述のまま合成されて素子数が減るため、上記第
5ないし第8の回路シミュレーション方法によって生成
されるネットリストの利点を保持しつつ、ネットリスト
の規模を縮小することができる。そして、このネットリ
ストを用いることにより、回路シミュレーションを効率
よく行うことができる。
According to the ninth circuit simulation method of the present invention, a plurality of parasitic capacitances existing between the same nodes are combined with a function description and the number of elements is reduced. The size of the netlist can be reduced while retaining the advantages of the netlist generated by the method. Then, by using this netlist, circuit simulation can be performed efficiently.

【0134】本発明に係る第10の回路シミュレーショ
ン方法によれば、寄生容量または寄生抵抗が関数記述の
まま合成されてノード数および素子数が減るため、上記
第5ないし第8回路シミュレーション方法によって生成
されるネットリストの利点を保持しつつ、ネットリスト
の規模を縮小することができる。そして、このネットリ
ストを用いることにより、回路シミュレーションを効率
よく行うことができる。
According to the tenth circuit simulation method of the present invention, the number of nodes and the number of elements are reduced by combining the parasitic capacitance or the parasitic resistance as it is with the function description. The size of the netlist can be reduced while retaining the advantages of the netlist. Then, by using this netlist, circuit simulation can be performed efficiently.

【0135】本発明に係る第11の回路シミュレーショ
ン方法によれば、まず関数記述ネットリストが生成さ
れ、次に、そのネットリストにおいて関数で記述された
寄生容量値または寄生抵抗値が数値化されるため、ネッ
トリストの生成を再度行うことなく、関数不使用ネット
リストを得ることができる。したがって、ネットリスト
の生成を1回行うだけで、目的に応じ、関数記述ネット
リストと関数不使用ネットリストのいずれをも得ること
ができる。
According to the eleventh circuit simulation method of the present invention, first, a function description netlist is generated, and then a parasitic capacitance value or a parasitic resistance value described by a function in the netlist is digitized. Therefore, a function-free netlist can be obtained without having to generate a netlist again. Therefore, by performing the generation of the netlist only once, both the function description netlist and the function non-use netlist can be obtained according to the purpose.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の回路シミュレーション装置における
ネットリスト抽出部の構成例1によるネットリスト抽出
の手順を示すフローチャート。
FIG. 1 is a flowchart showing a procedure for extracting a netlist according to a first configuration example of a netlist extraction unit in a circuit simulation apparatus of the present invention.

【図2】 本発明の実施形態としての回路シミュレーシ
ョン装置のハードウェア構成を示すブロック図。
FIG. 2 is a block diagram showing a hardware configuration of a circuit simulation device as an embodiment of the present invention.

【図3】 ネットリスト抽出の対象となる集積回路にお
ける配線構造の一例を示す上面図(a)および断面図
(b)。
3A and 3B are a top view and a cross-sectional view illustrating an example of a wiring structure in an integrated circuit from which a netlist is extracted.

【図4】 図3に示す配線構造の等価回路を示す図。FIG. 4 is a diagram showing an equivalent circuit of the wiring structure shown in FIG. 3;

【図5】 前記ネットリスト抽出部の構成例1によって
得られるネットリストの一例を示す図。
FIG. 5 is a diagram showing an example of a netlist obtained by a configuration example 1 of the netlist extraction unit.

【図6】 前記ネットリスト抽出部の構成例2によって
得られるネットリストの一例を示す図。
FIG. 6 is a diagram showing an example of a netlist obtained by a configuration example 2 of the netlist extraction unit.

【図7】 前記ネットリスト抽出部の構成例3によるネ
ットリスト抽出の手順を示すフローチャート。
FIG. 7 is a flowchart illustrating a procedure of extracting a netlist according to a configuration example 3 of the netlist extraction unit.

【図8】 前記ネットリスト抽出部の構成例3によって
寄生素子が合成された回路の一例を示す図。
FIG. 8 is a diagram showing an example of a circuit in which parasitic elements are combined by the configuration example 3 of the netlist extraction unit.

【図9】 前記ネットリスト抽出部の構成例3によって
得られるネットリストの一例を示す図。
FIG. 9 is a diagram showing an example of a netlist obtained by a configuration example 3 of the netlist extraction unit.

【図10】 前記ネットリスト抽出部の構成例3によ
る、π型分割近似回路に対する寄生素子の合成を示す
図。
FIG. 10 is a diagram showing the synthesis of a parasitic element with respect to a π-type division approximation circuit according to Configuration Example 3 of the netlist extraction unit.

【図11】 前記ネットリスト抽出部の構成例4におけ
る数値化手段の動作を示すフローチャート。
FIG. 11 is a flowchart showing the operation of a digitizing means in Configuration Example 4 of the netlist extraction unit.

【図12】 本発明の実施形態としての回路シミュレー
ション装置のシミュレーション部の構成を示す機能ブロ
ック図。
FIG. 12 is a functional block diagram showing a configuration of a simulation unit of the circuit simulation device according to the embodiment of the present invention.

【図13】 前記シミュレーション部の基本的動作を示
すフローチャート。
FIG. 13 is a flowchart showing a basic operation of the simulation unit.

【図14】 従来のネットリスト抽出方法によって得ら
れるネットリストの一例を示す図。
FIG. 14 is a diagram showing an example of a netlist obtained by a conventional netlist extraction method.

【図15】 第1実施形態の回路シミュレーション方法
により実行されるワーストケース解析を説明するための
フローチャート。
FIG. 15 is a flowchart illustrating a worst case analysis performed by the circuit simulation method according to the first embodiment.

【図16】 単位配線面積当たりのボトム容量のばらつ
きをヒストグラムとして示す図(a)、および、回路特
性値のばらつきをヒストグラムとして示す図(b)。
FIG. 16A is a diagram illustrating a variation in bottom capacitance per unit wiring area as a histogram, and FIG. 16B is a diagram illustrating variation in circuit characteristic values as a histogram.

【図17】 第2実施形態の回路シミュレーション方法
により実行されるモンテカルロ解析を説明するためのフ
ローチャート。
FIG. 17 is a flowchart illustrating a Monte Carlo analysis performed by the circuit simulation method according to the second embodiment;

【符号の説明】[Explanation of symbols]

30 …入力部 32 …関数記述ネットリスト読込手段 34 …数値化手段 35 …解析部 40 …出力部 42 …回路シミュレーション情報保持部 44 …関数記述ネットリスト保持部 46 …素子特性情報保持部 50 …本体(コンピュータ本体) 52 …ハードディスク装置 56 …CPU 58 …メモリ R10 …寄生抵抗(配線抵抗) Cb …ボトム容量(寄生容量) Cf1、Cf2…フリンジ容量(寄生容量) RAL …シート抵抗値 CBAL …単位配線面積当たりのボトム容量値 CFAL …単位配線フリンジ長当たりのフリンジ容量
値 D_stry …寄生素子 Lst_nt …関数記述ネットリスト
DESCRIPTION OF SYMBOLS 30 ... Input part 32 ... Function description net list reading means 34 ... Numericalization means 35 ... Analysis part 40 ... Output part 42 ... Circuit simulation information holding part 44 ... Function description net list holding part 46 ... Element characteristic information holding part 50 ... Main body (Computer body) 52 Hard disk drive 56 CPU 58 Memory R10 Parasitic resistance (wiring resistance) Cb Bottom capacitance (parasitic capacitance) Cf1, Cf2 Fringe capacitance (parasitic capacitance) RAL Sheet resistance CBAL Unit wiring area Bottom capacitance per unit CFAL ... Fringe capacitance per unit wiring fringe length D_stry ... Parasitic element Lst_nt ... Function description netlist

Claims (21)

【特許請求の範囲】[Claims] 【請求項1】 ネットリストによって回路構成が特定さ
れる集積回路の動作のシミュレーションを行う回路シミ
ュレーション方法において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と集積回路を製造するためのプ
ロセスによって決まる電気的特性値である変数とにより
表現される関数であって前記レイアウトパターンの寄生
容量または寄生抵抗の値を含む寄生素子値を示す関数を
使用しつつ前記集積回路の回路構成を記述したネットリ
ストを用い、 該ネットリストにおいて関数で記述された寄生素子値を
数値化し、 数値化された寄生素子値を用いて前記シミュレーション
を行う、ことを特徴とする回路シミュレーション方法。
1. A circuit simulation method for simulating an operation of an integrated circuit whose circuit configuration is specified by a netlist, wherein a constant which is a parameter indicating a geometric shape of a layout pattern of the integrated circuit and an integrated circuit are manufactured. Circuit configuration of the integrated circuit using a function expressed by a variable that is an electrical characteristic value determined by a process for indicating a parasitic element value including a value of a parasitic capacitance or a parasitic resistance of the layout pattern. A circuit simulation method, comprising: using a netlist describing the above, digitizing parasitic element values described by functions in the netlist, and performing the simulation using the digitized parasitic element values.
【請求項2】 請求項1に記載の回路シミュレーション
方法において、 前記変数の各々に対してばらつき幅を設定する第1ステ
ップと、 前記ばらつき幅の範囲内での前記変数の最大値または最
小値のいずれかを該変数の代表値として用いて前記関数
より前記寄生素子値の各々を算出する第2ステップと、 第2ステップで算出された前記寄生素子値を用いて前記
シミュレーションを行う第3ステップとを備え、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき第2および第3ステップを繰り返し実行して、前
記代表値の各組み合わせに対する前記シミュレーション
の結果として前記集積回路の回路特性値を得ることによ
り、該回路特性値のばらつき幅を求める、ことを特徴と
する回路シミュレーション方法。
2. The circuit simulation method according to claim 1, wherein: a first step of setting a variation width for each of the variables; and a maximum value or a minimum value of the variables within the range of the variation width. A second step of calculating each of the parasitic element values from the function using any of the variables as a representative value of the variable; and a third step of performing the simulation using the parasitic element values calculated in the second step. Repeating the second and third steps for all combinations of the representative values for each of the variables to obtain a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values A variation width of the circuit characteristic value.
【請求項3】 請求項1に記載の回路シミュレーション
方法において、 前記変数の各々に対して所定の分布を設定する第1ステ
ップと、 前記変数毎に設定された前記分布に基づいて前記変数の
代表値を設定する第2ステップと、 第2ステップで設定された前記変数の代表値に基づい
て、前記関数より前記寄生素子値の各々を算出する第3
ステップと、 第3ステップで算出された前記寄生素子値を用いて前記
シミュレーションを行う第4ステップとを備え、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき第2、第3および第4ステップを繰り返し実行し
て、前記代表値の各組み合わせに対する前記シミュレー
ションの結果として前記集積回路の回路特性値を得るこ
とにより、該回路特性値の分布を求める、ことを特徴と
する回路シミュレーション方法。
3. The circuit simulation method according to claim 1, wherein: a first step of setting a predetermined distribution for each of the variables; and a representative of the variables based on the distribution set for each of the variables. A second step of setting a value; and a third step of calculating each of the parasitic element values from the function based on the representative value of the variable set in the second step.
And a fourth step of performing the simulation using the parasitic element value calculated in the third step. A second, third, and fourth step for all combinations of the representative values for each of the variables A circuit characteristic value of the integrated circuit is obtained as a result of the simulation for each combination of the representative values to obtain a distribution of the circuit characteristic value.
【請求項4】 請求項3に記載の回路シミュレーション
方法において、 前記第1ステップでは、前記所定の分布としてガウス分
布を設定することを特徴とする回路シミュレーション方
法。
4. The circuit simulation method according to claim 3, wherein in the first step, a Gaussian distribution is set as the predetermined distribution.
【請求項5】 ネットリストによって回路構成が特定さ
れる集積回路の動作のシミュレーションをコンピュータ
に実行させるための回路シミュレーションプログラムを
記録した記録媒体において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と集積回路を製造するためのプ
ロセスによって決まる電気的特性値である変数とにより
表現される関数であって前記レイアウトパターンの寄生
容量または寄生抵抗の値を含む寄生素子値を示す関数を
使用しつつ前記集積回路の回路構成を記述したネットリ
ストを用い、 該ネットリストにおいて関数で記述された寄生素子値を
数値化し、 数値化された寄生素子値を用いて前記シミュレーション
を行う、という機能をコンピュータに実現させるための
回路シミュレーションプログラムを記録した記録媒体。
5. A recording medium on which a circuit simulation program for causing a computer to execute a simulation of an operation of an integrated circuit whose circuit configuration is specified by a netlist is stored, and wherein a geometric shape of a layout pattern of the integrated circuit is indicated. A function expressed by a constant that is a parameter and a variable that is an electrical characteristic value determined by a process for manufacturing an integrated circuit, and is a function indicating a parasitic element value including a parasitic capacitance or a parasitic resistance value of the layout pattern. Using a netlist that describes the circuit configuration of the integrated circuit while using a numerical value of a parasitic element value described by a function in the netlist, and performing the simulation using the quantified parasitic element value. Circuit simulation for realizing functions on a computer A recording medium on which a computer program is recorded.
【請求項6】 請求項5に記載の回路シミュレーション
プログラムを記録した記録媒体において、 前記回路シミュレーションプログラムは、 前記変数の各々に対してばらつき幅を設定する第1ステ
ップと、 前記ばらつき幅の範囲内での前記変数の最大値または最
小値のいずれかを該変数の代表値として用いて前記関数
より前記寄生素子値の各々を算出する第2ステップと、 第2ステップで算出された前記寄生素子値を用いて前記
シミュレーションを行う第3ステップとを有し、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき第2および第3ステップを繰り返し実行して、前
記代表値の各組み合わせに対する前記シミュレーション
の結果として前記集積回路の回路特性値を得ることによ
り、該回路特性値のばらつき幅を求めるという機能をコ
ンピュータに実現させる、ことを特徴とする記録媒体。
6. A recording medium on which the circuit simulation program according to claim 5 is recorded, wherein the circuit simulation program comprises: a first step of setting a variation width for each of the variables; A second step of calculating each of the parasitic element values from the function using either the maximum value or the minimum value of the variable as a representative value of the variable, and the parasitic element value calculated in the second step And a third step of performing the simulation by using the following. Repeating the second and third steps for all combinations of the representative values for each of the variables, and executing the simulation for each combination of the representative values As a result, a circuit characteristic value of the integrated circuit is obtained, thereby obtaining a variation width of the circuit characteristic value. Realizing functions referred to in the computer, a recording medium, characterized in that.
【請求項7】 請求項5に記載の回路シミュレーション
プログラムを記録した記録媒体において、 前記回路シミュレーションプログラムは、 前記変数の各々に対して所定の分布を設定する第1ステ
ップと、 前記変数毎に設定された前記分布に基づいて前記変数の
代表値を設定する第2ステップと、 第2ステップで設定された前記変数の代表値に基づい
て、前記関数より前記寄生素子値の各々を算出する第3
ステップと、 第3ステップで算出された前記寄生素子値を用いて前記
シミュレーションを行う第4ステップとを有し、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき第2、第3および第4ステップを繰り返し実行し
て、前記代表値の各組み合わせに対する前記シミュレー
ションの結果として前記集積回路の回路特性値を得るこ
とにより、該回路特性値の分布を求めるという機能をコ
ンピュータに実現させる、ことを特徴とする記録媒体。
7. A recording medium on which the circuit simulation program according to claim 5 is recorded, wherein the circuit simulation program comprises: a first step of setting a predetermined distribution for each of the variables; and setting for each of the variables. A second step of setting a representative value of the variable based on the distribution obtained, and a third step of calculating each of the parasitic element values from the function based on the representative value of the variable set in the second step.
And a fourth step of performing the simulation using the parasitic element values calculated in the third step. The second, third and fourth steps are performed for all combinations of the representative values for each of the variables. Repeating the steps to obtain a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values, thereby realizing a function of obtaining a distribution of the circuit characteristic value. Recording medium.
【請求項8】 請求項7に記載の回路シミュレーション
プログラムを記録した記録媒体において、 前記第1ステップでは、前記所定の分布としてガウス分
布を設定することを特徴とする記録媒体。
8. A recording medium on which the circuit simulation program according to claim 7 is recorded, wherein in the first step, a Gaussian distribution is set as the predetermined distribution.
【請求項9】 ネットリストによって回路構成が特定さ
れる集積回路の動作のシミュレーションを行う回路シミ
ュレーション装置において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と集積回路を製造するためのプ
ロセスによって決まる電気的特性値である変数とにより
表現される関数であって前記レイアウトパターンの寄生
容量または寄生抵抗の値を含む寄生素子値を示す関数を
使用しつつ前記集積回路の回路構成を記述したネットリ
ストを用い、 該ネットリストにおいて関数で記述された寄生素子値を
数値化し、 数値化された寄生素子値を用いて前記シミュレーション
を行う、ことを特徴とする回路シミュレーション装置。
9. A circuit simulation apparatus for simulating an operation of an integrated circuit whose circuit configuration is specified by a netlist, wherein a constant which is a parameter indicating a geometric shape of a layout pattern of the integrated circuit and an integrated circuit are manufactured. Circuit configuration of the integrated circuit using a function expressed by a variable that is an electrical characteristic value determined by a process for indicating a parasitic element value including a value of a parasitic capacitance or a parasitic resistance of the layout pattern. A circuit simulation apparatus, comprising: using a netlist describing the above, digitizing a parasitic element value described by a function in the netlist, and performing the simulation using the digitized parasitic element value.
【請求項10】 請求項9に記載の回路シミュレーショ
ン装置において、 前記変数の各々に対してばらつき幅を設定する設定手段
と、 前記ばらつき幅の範囲内での前記変数の最大値または最
小値のいずれかを該変数の代表値として用いて前記関数
より前記寄生素子値の各々を算出する素子値算出手段
と、 素子値算出手段によって算出された前記寄生素子値を用
いて前記シミュレーションを行うシミュレーション実行
手段と、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき、素子値算出手段による前記寄生素子値の算出と
シミュレーション実行手段による前記シミュレーション
とを繰り返し実行して、前記代表値の各組み合わせに対
する前記シミュレーションの結果として前記集積回路の
回路特性値を得ることにより、該回路特性値のばらつき
幅を求める解析手段と、を備えることを特徴とする回路
シミュレーション装置。
10. The circuit simulation apparatus according to claim 9, wherein: setting means for setting a variation width for each of the variables; and a maximum value or a minimum value of the variables within the range of the variation width. Element value calculation means for calculating each of the parasitic element values from the function using the above as a representative value of the variable, and simulation execution means for performing the simulation using the parasitic element value calculated by the element value calculation means And for each combination of the representative values for each of the variables, repeatedly performing the calculation of the parasitic element value by the element value calculation means and the simulation by the simulation execution means, and performing the simulation for each combination of the representative values. Obtaining a circuit characteristic value of the integrated circuit as a result of Circuit simulation apparatus comprising: an analyzing means for determining the variation range of sexual value.
【請求項11】 請求項9に記載の回路シミュレーショ
ン装置において、 前記変数の各々に対して所定の分布を設定する第1設定
手段と、 前記変数毎に設定された前記分布に基づいて前記変数の
代表値を設定する第2設定手段と、 第2設定手段で設定された前記変数の代表値に基づい
て、前記関数より前記寄生素子値の各々を算出する算出
手段と、 算出手段で算出された前記寄生素子値を用いて前記シミ
ュレーションを行うシミュレーション実行手段と、 前記変数の各々に対する前記代表値の全ての組み合わせ
につき、第2設定手段による前記変数の代表値の設定、
算出手段による前記寄生素子値の各々の算出、およびシ
ミュレーション実行手段による前記シミュレーションを
繰り返し実行して、前記代表値の各組み合わせに対する
前記シミュレーションの結果として前記集積回路の回路
特性値を得ることにより、該回路特性値の分布を求める
解析手段と、を備えることを特徴とする回路シミュレー
ション装置。
11. The circuit simulation apparatus according to claim 9, wherein: a first setting unit that sets a predetermined distribution for each of the variables; and a setting of the variable based on the distribution set for each of the variables. Second setting means for setting a representative value; calculating means for calculating each of the parasitic element values from the function based on the representative value of the variable set by the second setting means; Simulation execution means for performing the simulation using the parasitic element value; setting of the representative value of the variable by the second setting means for all combinations of the representative value for each of the variables;
The calculation of each of the parasitic element values by the calculation unit and the simulation by the simulation execution unit are repeatedly executed to obtain a circuit characteristic value of the integrated circuit as a result of the simulation for each combination of the representative values. A circuit simulation apparatus, comprising: analysis means for obtaining a distribution of circuit characteristic values.
【請求項12】 請求項11に記載の回路シミュレーシ
ョン装置において、 前記第1設定手段は、前記所定の分布としてガウス分布
を設定することを特徴とする回路シミュレーション装
置。
12. The circuit simulation apparatus according to claim 11, wherein said first setting means sets a Gaussian distribution as said predetermined distribution.
【請求項13】 ネットリストにより回路構成が特定さ
れる集積回路の動作のシミュレーションを行う回路シミ
ュレーション方法において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と、集積回路を製造するための
プロセスによって決まる電気的特性値である変数とによ
り表現される関数であって、前記レイアウトパターンの
寄生容量または寄生抵抗の値を示す関数を導出する第1
ステップと、 前記シミュレーションの対象となる集積回路を製造する
ためのプロセスに対応する前記変数の値を獲得する第2
ステップと、 第1ステップで導出された関数の記述と第2ステップで
獲得された前記変数の値の定義とにより前記寄生容量ま
たは寄生抵抗の値を表した前記ネットリストを、第1の
ネットリストとして生成する第3ステップと、 第3ステップで生成された第1のネットリストにおいて
関数で記述された寄生容量値または寄生抵抗値を数値化
する第4ステップと、 第3ステップで生成されたネットリストで前記集積回路
の回路構成を特定するとともに第4ステップで数値化さ
れた寄生容量値または寄生抵抗値を用いて前記集積回路
の動作のシミュレーションを行う第5ステップと、を備
えることを特徴とする回路シミュレーション方法。
13. A circuit simulation method for simulating the operation of an integrated circuit whose circuit configuration is specified by a netlist, comprising: a constant which is a parameter indicating a geometric shape of a layout pattern of the integrated circuit; A function that is expressed by a variable that is an electrical characteristic value determined by a process for performing the operation, and that derives a function indicating a value of a parasitic capacitance or a parasitic resistance of the layout pattern.
A second step of obtaining a value of the variable corresponding to a process for manufacturing an integrated circuit to be simulated.
A first netlist representing the value of the parasitic capacitance or parasitic resistance based on the description of the function derived in the first step and the definition of the value of the variable obtained in the second step. A fourth step of generating a numerical value of a parasitic capacitance value or a parasitic resistance value described as a function in the first netlist generated in the third step; and a net generated in the third step. A fifth step of specifying the circuit configuration of the integrated circuit in a list and simulating the operation of the integrated circuit using the parasitic capacitance value or the parasitic resistance value quantified in the fourth step. Circuit simulation method.
【請求項14】 請求項13に記載の回路シミュレーシ
ョン方法において、 前記第1ステップでは、前記レイアウトパターンの単位
面積または単位長さ当たりの容量値を前記変数として前
記寄生容量の値を示す関数を導出することを特徴とする
回路シミュレーション方法。
14. The circuit simulation method according to claim 13, wherein, in the first step, a function indicating a value of the parasitic capacitance is derived using a capacitance value per unit area or unit length of the layout pattern as the variable. A circuit simulation method.
【請求項15】 請求項13に記載の回路シミュレーシ
ョン方法において、 前記第1ステップでは、前記レイアウトパターンの単位
長さ当たりの抵抗値またはシート抵抗値を前記変数とし
て前記寄生抵抗の値を示す関数を導出することを特徴と
する回路シミュレーション方法。
15. The circuit simulation method according to claim 13, wherein, in the first step, a function indicating a value of the parasitic resistance using a resistance value or a sheet resistance value per unit length of the layout pattern as the variable is used. A circuit simulation method characterized in that it is derived.
【請求項16】 請求項13ないし請求項15のいずれ
かに記載の回路シミュレーション方法において、 前記レイアウトパターンの単位長さ当たりの抵抗値、シ
ート抵抗値、単位面積当たりの容量値、および単位長さ
当たりの容量値を含む前記電気的特性値の中から、前記
変数として使用される電気的特性値を指定する第6ステ
ップを備え、 前記第1ステップでは、第6ステップで指定された電気
的特性値を用いて計算される寄生容量及び寄生抵抗に対
してのみ前記関数を導出する、ことを特徴とする回路シ
ミュレーション方法。
16. The circuit simulation method according to claim 13, wherein the layout pattern has a resistance value per unit length, a sheet resistance value, a capacitance value per unit area, and a unit length. A sixth step of designating an electric characteristic value to be used as the variable from among the electric characteristic values including a capacitance value per unit; and in the first step, the electric characteristic designated in the sixth step A circuit simulation method, wherein the function is derived only for a parasitic capacitance and a parasitic resistance calculated using values.
【請求項17】 請求項13ないし請求項16のいずれ
かに記載の回路シミュレーション方法であって、 前記第3ステップで生成された前記第1のネットリスト
によって記述される回路構成において並列接続された寄
生容量を合成することにより、素子数を減らした第2の
ネットリストを生成する第7ステップを備え、 前記第4ステップでは、前記第3ステップで生成された
第1のネットリストに代えて、第7ステップで生成され
た第2のネットリストにおいて関数で記述された寄生容
量値または寄生抵抗値を数値化し、 前記第5ステップでは、前記第3ステップで生成された
第1のネットリストに代えて第7ステップで生成された
第2のネットリストで前記集積回路の回路構成を特定す
るとともに第4ステップで数値化された寄生容量値また
は寄生抵抗値を用いて前記集積回路の動作のシミュレー
ションを行う、ことを特徴とする回路シミュレーション
方法。
17. The circuit simulation method according to claim 13, wherein the circuit is connected in parallel in a circuit configuration described by the first netlist generated in the third step. A seventh step of generating a second netlist in which the number of elements is reduced by synthesizing parasitic capacitances. In the fourth step, instead of the first netlist generated in the third step, In the second netlist generated in the seventh step, the parasitic capacitance value or the parasitic resistance value described as a function in the second netlist is quantified. In the fifth step, the first netlist generated in the third step is replaced with the first netlist. The circuit configuration of the integrated circuit is specified by the second netlist generated in the seventh step, and the parasitic capacitance value quantified in the fourth step is obtained. Or simulating the operation of the integrated circuit using a parasitic resistance value.
【請求項18】 請求項13ないし請求項16のいずれ
かに記載の回路シミュレーション方法であって、 前記第3ステップで生成された前記第1のネットリスト
によって記述される回路構成において寄生容量または寄
生抵抗の合成を行うことにより、ノード数を減らした第
3のネットリストを生成する第8ステップを備え、 前記第4ステップでは、前記第3ステップで生成された
第1のネットリストに代えて、第8ステップで生成され
た第3のネットリストにおいて関数で記述された寄生容
量値または寄生抵抗値を数値化し、 前記第5ステップでは、前記第3ステップで生成された
第1のネットリストに代えて第8ステップで生成された
第3のネットリストで前記集積回路の回路構成を特定す
るとともに第4ステップで数値化された寄生容量値また
は寄生抵抗値を用いて前記集積回路の動作のシミュレー
ションを行う、ことを特徴とする回路シミュレーション
方法。
18. The circuit simulation method according to claim 13, wherein a parasitic capacitance or a parasitic capacitance is included in a circuit configuration described by the first netlist generated in the third step. An eighth step of generating a third netlist with a reduced number of nodes by performing resistance synthesis is provided. In the fourth step, instead of the first netlist generated in the third step, In the third netlist generated in the eighth step, the parasitic capacitance value or the parasitic resistance value described by the function is converted into a numerical value. In the fifth step, the first netlist generated in the third step is replaced with the first netlist. The third netlist generated in the eighth step specifies the circuit configuration of the integrated circuit, and the parasitic capacitance quantified in the fourth step A method of simulating the operation of the integrated circuit using a value or a parasitic resistance value.
【請求項19】 請求項13ないし請求項18のいずれ
かに記載の回路シミュレーション方法であって、 前記第1ステップで導出された前記関数に前記第2ステ
ップで獲得された前記変数の値を代入することにより、
前記第1ないし第3のネットリストにおいて前記寄生容
量または寄生抵抗の値を数値化した第4のネットリスト
を生成する第9ステップを備えることを特徴とする回路
シミュレーション方法。
19. The circuit simulation method according to claim 13, wherein a value of the variable obtained in the second step is substituted for the function derived in the first step. By doing
A ninth step of generating a fourth netlist in which the values of the parasitic capacitance or the parasitic resistance in the first to third netlists are quantified is provided.
【請求項20】 ネットリストによって回路構成が特定
される集積回路の動作のシミュレーションをコンピュー
タに実行させるための回路シミュレーションプログラム
を記録した記録媒体において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と、集積回路を製造するための
プロセスによって決まる電気的特性値である変数とによ
り表現される関数であって、前記レイアウトパターンの
寄生容量または寄生抵抗の値を示す関数を導出する第1
ステップと、 前記シミュレーションの対象となる集積回路を製造する
ためのプロセスに対応する前記変数の値を獲得する第2
ステップと、 第1ステップで導出された関数の記述と第2ステップで
獲得された前記変数の値の定義とにより前記寄生容量ま
たは寄生抵抗の値を表した前記ネットリストを、第1の
ネットリストとして生成する第3ステップと、 第3ステップで生成された第1のネットリストにおいて
関数で記述された寄生容量値または寄生抵抗値を数値化
する第4ステップと、 第3ステップで生成されたネットリストで前記集積回路
の回路構成を特定するとともに第4ステップで数値化さ
れた寄生容量値または寄生抵抗値を用いて前記集積回路
の動作のシミュレーションを行う第5ステップと、をコ
ンピュータに実行させる回路シミュレーションプログラ
ムを記録した記録媒体。
20. A recording medium on which a circuit simulation program for causing a computer to execute an operation of an integrated circuit whose circuit configuration is specified by a netlist is recorded, the recording medium showing a geometric shape of a layout pattern of the integrated circuit. A function represented by a constant that is a parameter and a variable that is an electrical characteristic value determined by a process for manufacturing an integrated circuit, and derives a function indicating a value of a parasitic capacitance or a parasitic resistance of the layout pattern. First
A second step of obtaining a value of the variable corresponding to a process for manufacturing an integrated circuit to be simulated.
A first netlist representing the value of the parasitic capacitance or parasitic resistance based on the description of the function derived in the first step and the definition of the value of the variable obtained in the second step. A fourth step of generating a numerical value of a parasitic capacitance value or a parasitic resistance value described as a function in the first netlist generated in the third step; and a net generated in the third step. A fifth step of specifying the circuit configuration of the integrated circuit in a list and simulating the operation of the integrated circuit using the parasitic capacitance value or the parasitic resistance value quantified in the fourth step. A recording medium on which a simulation program is recorded.
【請求項21】 ネットリストにより回路構成が特定さ
れる集積回路の動作のシミュレーションを行う回路シミ
ュレーション装置において、 前記集積回路のレイアウトパターンの幾何学的形状を示
すパラメータである定数と、集積回路を製造するための
プロセスによって決まる電気的特性値である変数とによ
り表現される関数であって、前記レイアウトパターンの
寄生容量または寄生抵抗の値を示す関数を導出する関数
導出手段と、 前記シミュレーションの対象となる集積回路を製造する
ためのプロセスに対応する前記変数の値を獲得する値獲
得手段と、 関数導出手段で導出された関数の記述と値獲得手段で獲
得された前記変数の値の定義とにより前記寄生容量また
は寄生抵抗の値を表した前記ネットリストを、第1のネ
ットリストとして生成するリスト生成手段と、 前記第1のネットリストにおいて関数で記述された寄生
容量値または寄生抵抗値を数値化する数値化手段と、 前記第1のネットリストで前記集積回路の回路構成を特
定するとともに前記数値化手段で数値化された寄生容量
値または寄生抵抗値を用いて前記シミュレーションを行
うシミュレーション実行手段と、を備えることを特徴と
する回路シミュレーション装置。
21. A circuit simulation apparatus for simulating the operation of an integrated circuit whose circuit configuration is specified by a netlist, comprising: a constant which is a parameter indicating a geometric shape of a layout pattern of the integrated circuit; A function deriving means for deriving a function represented by a variable that is an electrical characteristic value determined by a process for performing the simulation, the function indicating a value of a parasitic capacitance or a parasitic resistance of the layout pattern; Value obtaining means for obtaining the value of the variable corresponding to the process for manufacturing the integrated circuit, and a description of the function derived by the function deriving means and a definition of the value of the variable obtained by the value obtaining means. The netlist representing the value of the parasitic capacitance or the parasitic resistance is generated as a first netlist. List generating means, numerical value converting means for numerically expressing a parasitic capacitance value or a parasitic resistance value described by a function in the first netlist, and a circuit configuration of the integrated circuit specified by the first netlist. And a simulation executing means for performing the simulation using the parasitic capacitance value or the parasitic resistance value quantified by the quantifying means.
JP9151950A 1996-08-09 1997-06-10 Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device Pending JPH10240796A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9151950A JPH10240796A (en) 1996-08-09 1997-06-10 Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP21091096 1996-08-09
JP34870596 1996-12-26
JP8-210910 1996-12-26
JP8-348705 1996-12-26
JP9151950A JPH10240796A (en) 1996-08-09 1997-06-10 Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device

Publications (1)

Publication Number Publication Date
JPH10240796A true JPH10240796A (en) 1998-09-11

Family

ID=27320195

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9151950A Pending JPH10240796A (en) 1996-08-09 1997-06-10 Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device

Country Status (1)

Country Link
JP (1) JPH10240796A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6507936B2 (en) 2000-04-21 2003-01-14 Matsushita Electric Industrial Co., Ltd. Timing verifying method
WO2003036713A1 (en) * 2001-10-25 2003-05-01 Nec Corporation Digital signal transmission circuit and method of designing it
KR100411767B1 (en) * 2000-03-16 2003-12-24 엔이씨 일렉트로닉스 코포레이션 Circuit simulation method and system
US7224838B2 (en) * 1999-06-29 2007-05-29 Tetsujiro Kondo Method and apparatus for recovery of encoded data using central value
JP2008097524A (en) * 2006-10-16 2008-04-24 Fuji Electric Holdings Co Ltd Circuit simulator, simulation method and simulation program
JP2008299536A (en) * 2007-05-30 2008-12-11 Toyota Central R&D Labs Inc Design program and design apparatus
JP2009037482A (en) * 2007-08-02 2009-02-19 Fujitsu Microelectronics Ltd Simulation method and program
US7975249B2 (en) 2007-11-12 2011-07-05 Oki Semiconductor Co., Ltd. Operation timing verifying apparatus and program
WO2014147833A1 (en) * 2013-03-22 2014-09-25 中国電力株式会社 Strain measurement device, capacitance measurement device, strain measurement method, and capacitance measurement method
CN117371387A (en) * 2023-12-08 2024-01-09 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7224838B2 (en) * 1999-06-29 2007-05-29 Tetsujiro Kondo Method and apparatus for recovery of encoded data using central value
KR100411767B1 (en) * 2000-03-16 2003-12-24 엔이씨 일렉트로닉스 코포레이션 Circuit simulation method and system
US6507936B2 (en) 2000-04-21 2003-01-14 Matsushita Electric Industrial Co., Ltd. Timing verifying method
WO2003036713A1 (en) * 2001-10-25 2003-05-01 Nec Corporation Digital signal transmission circuit and method of designing it
JP2008097524A (en) * 2006-10-16 2008-04-24 Fuji Electric Holdings Co Ltd Circuit simulator, simulation method and simulation program
JP2008299536A (en) * 2007-05-30 2008-12-11 Toyota Central R&D Labs Inc Design program and design apparatus
JP2009037482A (en) * 2007-08-02 2009-02-19 Fujitsu Microelectronics Ltd Simulation method and program
US7975249B2 (en) 2007-11-12 2011-07-05 Oki Semiconductor Co., Ltd. Operation timing verifying apparatus and program
WO2014147833A1 (en) * 2013-03-22 2014-09-25 中国電力株式会社 Strain measurement device, capacitance measurement device, strain measurement method, and capacitance measurement method
CN117371387A (en) * 2023-12-08 2024-01-09 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal
CN117371387B (en) * 2023-12-08 2024-02-13 浙江集迈科微电子有限公司 Integrated circuit device layout parameterization construction method and device, storage medium and terminal

Similar Documents

Publication Publication Date Title
JP2954894B2 (en) Integrated circuit design method, database device for integrated circuit design, and integrated circuit design support device
US8700377B2 (en) Accelerated analog and/or RF simulation
JP3027009B2 (en) Design capture system
JPH10207937A (en) Method and device for executing verification after layout of micro electronics circuit by filtering timing error limit value for layout critical network and computer program product
US20070101302A1 (en) Mixed signal circuit simulator
US20100223041A1 (en) Mixed-domain analog/rf simulation
US5796985A (en) Method and apparatus for incorporating a miller compensation for modeling electrical circuits
JP5265151B2 (en) Method and apparatus for dynamic simulation at gate level
JPH10240796A (en) Circuit simulation method and record medium for recording circuit simulation program and circuit simulation device
JP2002318829A (en) Circuit simulation method, circuit simulation device, circuit simulation program and computer-readable recording medium with the program recorded thereon
US20040025136A1 (en) Method for designing a custom ASIC library
US6829755B2 (en) Variable detail automatic invocation of transistor level timing for application specific integrated circuit static timing analysis
US7987439B2 (en) Method and apparatus for analyzing circuit model by reduction and computer program product for analyzing the circuit model
US7036096B1 (en) Estimating capacitances using information including feature sizes extracted from a netlist
JPH113366A (en) Delay time calculating method, its device, table preparing method and storing medium
US20040073879A1 (en) Modeling devices in consideration of process fluctuations
Nunez-Aldana et al. An analog performance estimator for improving the effectiveness of cmos analog systems circuit synthesis
US20020124234A1 (en) Method for designing circuits with sections having different supply voltages
CN100474311C (en) IC design for optimizing manufacturability
JP2002149717A (en) Structure optimizing method and recording medium recorded with structure optimizing program
JP3296320B2 (en) Gate delay calculation device and recording medium recording gate delay calculation program
US6944834B2 (en) Method and apparatus for modeling dynamic systems
US20060015311A1 (en) Circuit design support method and system
JP4355930B2 (en) Semiconductor integrated circuit power supply model creation method, program, and information processing apparatus
US20040044507A1 (en) Mesh creating device, mesh creating method and mesh creating program