JPH10163820A - Semiconductor device - Google Patents

Semiconductor device

Info

Publication number
JPH10163820A
JPH10163820A JP8325082A JP32508296A JPH10163820A JP H10163820 A JPH10163820 A JP H10163820A JP 8325082 A JP8325082 A JP 8325082A JP 32508296 A JP32508296 A JP 32508296A JP H10163820 A JPH10163820 A JP H10163820A
Authority
JP
Japan
Prior art keywords
flop
flip
circuit
clock
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8325082A
Other languages
Japanese (ja)
Inventor
Toshiaki Tanaka
中 利 明 田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JFE Steel Corp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Priority to JP8325082A priority Critical patent/JPH10163820A/en
Publication of JPH10163820A publication Critical patent/JPH10163820A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device which does not need to change a circuit and uses a flip-flop that reduces power consumption. SOLUTION: A comparator circuit 16 and a control circuit 18 are provided inside the layout of a flip-flop 10, the circuit 16 compares data that is currently held with data that is newly inputted, and the circuit 18 stops a clock buffer when the comparison results of the comparison circuit match and the circuit 18 operates the clock buffer when the comparison results of the comparator circuit do not match.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、低消費電力化が可
能なフリップフロップを用いた半導体装置に関するもの
である。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device using a flip-flop capable of reducing power consumption.

【0002】[0002]

【従来の技術】図2は、従来のフリップフロップの一例
の構成回路図である。図示例のフリップフロップ56
は、D型フリップフロップの内部構成を示すもので、ク
ロック信号をバッファリングし、内部クロック信号C
K,CK_を生成するクロックバッファ58、および、
このクロックバッファ58により生成された内部クロッ
ク信号CK,CK_に応じて入力データを保持し、これ
を出力データとして出力する保持回路14により構成さ
れている。
2. Description of the Related Art FIG. 2 is a circuit diagram showing an example of a conventional flip-flop. The illustrated flip-flop 56
Shows an internal configuration of a D-type flip-flop, which buffers a clock signal and outputs an internal clock signal C.
A clock buffer 58 for generating K, CK_, and
The holding circuit 14 holds input data in accordance with the internal clock signals CK and CK_ generated by the clock buffer 58 and outputs the input data as output data.

【0003】図示例のフリップフロップ56において、
クロックバッファ58は、直列接続された2つのインバ
ータ22,24を有している。クロック信号は、フリッ
プフロップ56のクロック入力端子26を介してインバ
ータ22に入力され、インバータ22,24の出力信号
は、それぞれ内部クロック信号CK_,CKとされてい
る。また、クロックバッファ58により生成された内部
クロック信号CK,CK_は、保持回路14に供給され
ている。
In the illustrated flip-flop 56,
The clock buffer 58 has two inverters 22 and 24 connected in series. The clock signal is input to the inverter 22 via the clock input terminal 26 of the flip-flop 56, and the output signals of the inverters 22 and 24 are the internal clock signals CK_ and CK, respectively. The internal clock signals CK and CK_ generated by the clock buffer 58 are supplied to the holding circuit 14.

【0004】保持回路14は、クロックドインバータ3
6、インバータ38,40およびトランスファゲート4
2を有するマスターラッチ32と、トランスファゲート
44,50およびインバータ46,48,52を有する
スレーブラッチ34により構成されている。上述するよ
うに、クロックバッファ58により生成された内部クロ
ック信号CK,CK_は、クロックドインバータ36の
制御端子およびトランスファゲート42,44,50の
制御端子にそれぞれ入力されている。
[0004] The holding circuit 14 includes a clocked inverter 3.
6, inverters 38 and 40 and transfer gate 4
2 and a slave latch 34 having transfer gates 44 and 50 and inverters 46, 48 and 52. As described above, the internal clock signals CK, CK_ generated by the clock buffer 58 are input to the control terminal of the clocked inverter 36 and the control terminals of the transfer gates 42, 44, 50, respectively.

【0005】マスターラッチ32において、入力データ
は、フリップフロップ56のデータ入力端子28を介し
てクロックドインバータ36に入力され、クロックドイ
ンバータ36の出力信号は、インバータ38に入力され
ている。インバータ38の出力信号は、インバータ40
およびスレーブラッチ34のトランスファゲート44に
入力され、インバータ40の出力信号は、トランスファ
ゲート42に入力され、トランスファゲート42の出力
信号は、インバータ38に入力されている。
In the master latch 32, input data is input to a clocked inverter 36 via a data input terminal 28 of a flip-flop 56, and an output signal of the clocked inverter 36 is input to an inverter 38. The output signal of the inverter 38 is
The output signal of the inverter 40 is input to the transfer gate 42, and the output signal of the transfer gate 42 is input to the inverter 38.

【0006】スレーブラッチ34において、トランスフ
ァゲート44の出力信号はインバータ46に入力され、
インバータ46の出力信号は、インバータ48およびト
ランスファゲート50に入力されている。インバータ4
8の出力信号は、フリップフロップ56のデータ出力端
子30を介して出力データとして出力されている。ま
た、トランスファゲート50の出力信号はインバータ5
2に入力され、インバータ52の出力信号はインバータ
46に入力されている。
In slave latch 34, the output signal of transfer gate 44 is input to inverter 46,
The output signal of the inverter 46 is input to the inverter 48 and the transfer gate 50. Inverter 4
The output signal 8 is output as output data via the data output terminal 30 of the flip-flop 56. The output signal of the transfer gate 50 is
2 and the output signal of the inverter 52 is input to the inverter 46.

【0007】図示例のフリップフロップ56において、
まず、クロック信号がローレベルになると、すなわち、
内部クロック信号CK,CK_がそれぞれローレベルお
よびハイレベルになると、クロックドインバータ36お
よびトランスファゲート50が、オフ状態からオン状態
に変化するとともに、トランスファゲート42,44が
ともにオン状態からオフ状態に変化し、マスターラッチ
32に入力データが入力される。
In the illustrated flip-flop 56,
First, when the clock signal goes low,
When the internal clock signals CK and CK_ go to a low level and a high level, respectively, the clocked inverter 36 and the transfer gate 50 change from the off state to the on state, and the transfer gates 42 and 44 both change from the on state to the off state. Then, the input data is input to the master latch 32.

【0008】次いで、クロック信号がハイレベルになる
と、すなわち、内部クロック信号CK,CK_がそれぞ
れハイレベルおよびローレベルになると、クロックドイ
ンバータ36およびトランスファゲート50が、オン状
態からオフ状態に変化するとともに、トランスファゲー
ト42,44がともにオフ状態からオン状態に変化し、
入力データは、マスターラッチ32に保持されるととも
に、スレーブラッチ34に入力され、出力データとして
出力される。
Next, when the clock signal goes high, that is, when the internal clock signals CK and CK_ go high and low, respectively, the clocked inverter 36 and the transfer gate 50 change from an on state to an off state. , The transfer gates 42 and 44 both change from the off state to the on state,
The input data is held in the master latch 32, is input to the slave latch 34, and is output as output data.

【0009】このように、図示例のフリップフロップ5
6においては、クロック信号に応じて、内部クロック信
号CK,CK_が生成され、これらの内部クロック信号
CK,CK_に応じて入力データが保持され、出力デー
タとして出力される。しかしながら、フリップフロップ
56においては、クロックバッファ58を構成する2つ
のインバータ22,24が、クロック信号の動作周波数
に応じて常に動作するため、消費電力が増大するという
問題点があった。
Thus, the flip-flop 5 of the illustrated example is
In 6, the internal clock signals CK and CK_ are generated according to the clock signal, input data is held according to these internal clock signals CK and CK_, and output as output data. However, the flip-flop 56 has a problem that power consumption increases because the two inverters 22 and 24 constituting the clock buffer 58 always operate according to the operating frequency of the clock signal.

【0010】このようなフリップフロップの消費電力の
増大を防止する1つの解決策としては、例えばゲーティ
ドクロックを利用する方法がある。ゲーティドクロック
とは、図3に示されるように、例えばクロック信号を制
御するANDゲート60およびEXORゲート61を設
けることにより、フリップフロップ62に供給されるク
ロック信号を一定の条件に基づいて停止させ、これによ
り、フリップフロップ62内部のクロックバッファによ
る消費電力の増大を防止するものである。
One solution for preventing such an increase in the power consumption of the flip-flop is to use a gated clock, for example. As shown in FIG. 3, the gated clock is provided with, for example, an AND gate 60 and an EXOR gate 61 for controlling a clock signal, so that the clock signal supplied to the flip-flop 62 is stopped based on a certain condition. This prevents the clock buffer inside the flip-flop 62 from increasing power consumption.

【0011】しかしながら、ゲーティドクロックを利用
した消費電力の削減方法では、論理回路の設計段階にお
いて、個々のフリップフロップに、例えば図3に示され
るANDゲート60等のクロック信号をゲートするため
の論理ゲートを追加する必要があるため、半導体装置全
体のゲート数が増加するし、そのために配線の接続を変
更しなければならない等の回路変更を行う必要があり、
面倒であるという問題点があった。
However, in the method for reducing power consumption using a gated clock, in a logic circuit design stage, for example, a clock signal such as an AND gate 60 shown in FIG. Since it is necessary to add a logic gate, the number of gates of the entire semiconductor device increases, and it is necessary to make a circuit change such as a change in wiring connection.
There was a problem that it was troublesome.

【0012】[0012]

【発明が解決しようとする課題】本発明の目的は、前記
従来技術に基づく問題点をかえりみて、回路を変更する
必要がなく、消費電力を削減することができるフリップ
フロップを用いた半導体装置を提供することにある。
SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor device using a flip-flop which can reduce power consumption without having to change a circuit in view of the problems based on the prior art. To provide.

【0013】[0013]

【課題を解決するための手段】上記目的を達成するため
に、本発明は、クロック信号をバッファリングし、内部
クロック信号を生成するクロックバッファと、このクロ
ックバッファにより生成された内部クロック信号に応じ
て入力データを保持し、出力データとして出力する保持
回路とを有するフリップフロップを用いた半導体装置で
あって、前記フリップフロップは、さらに、現在保持し
ているデータと前記入力データとを比較する比較回路
と、この比較回路の比較結果が一致のときに、前記クロ
ックバッファを停止させ、前記比較回路の比較結果が不
一致のときに、前記クロックバッファを動作させる制御
回路とを有することを特徴とする半導体装置を提供する
ものである。
In order to achieve the above object, the present invention provides a clock buffer for buffering a clock signal and generating an internal clock signal, and responding to the internal clock signal generated by the clock buffer. A holding circuit for holding input data and outputting the output data as output data, wherein the flip-flop further comprises a comparator for comparing currently held data with the input data. And a control circuit that stops the clock buffer when the comparison result of the comparison circuit matches, and operates the clock buffer when the comparison result of the comparison circuit does not match. A semiconductor device is provided.

【0014】[0014]

【発明の実施の形態】以下に、添付の図面に示す好適実
施例に基づいて、本発明の半導体装置を詳細に説明す
る。図1は、本発明の半導体装置に用いられるフリップ
フロップの一実施例の構成回路図である。図示例のフリ
ップフロップ10は、D型フリップフロップの内部構成
を示すものであって、基本的に、クロックバッファ1
2、保持回路14、比較回路16および制御回路18を
有する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a semiconductor device according to the present invention will be described in detail based on preferred embodiments shown in the accompanying drawings. FIG. 1 is a configuration circuit diagram of one embodiment of a flip-flop used in a semiconductor device of the present invention. The illustrated flip-flop 10 shows the internal configuration of a D-type flip-flop.
2, a holding circuit 14, a comparing circuit 16, and a control circuit 18.

【0015】クロックバッファ12は、クロック信号を
バッファリングし、内部クロック信号CK,CK_を生
成するもので、図示例においては、NANDゲート20
およびインバータ24を有する。クロック信号は、フリ
ップフロップ10のクロック入力端子26を介して、N
ANDゲート20の一方の入力端子に入力され、NAN
Dゲート20の出力信号は、内部クロック信号CK_と
されるとともにインバータ24に入力され、インバータ
24の出力信号は、内部クロック信号CKとされてい
る。
The clock buffer 12 buffers the clock signal and generates the internal clock signals CK and CK_. In the illustrated example, the clock buffer 12 is a NAND gate 20.
And an inverter 24. The clock signal is supplied to the clock input terminal 26 of the flip-flop 10
The signal is input to one input terminal of the AND gate 20, and the NAN
The output signal of the D gate 20 is used as an internal clock signal CK_ and input to the inverter 24, and the output signal of the inverter 24 is used as the internal clock signal CK.

【0016】保持回路14は、クロックバッファ12に
より生成された内部クロック信号CK,CK_に応じ
て、フリップフロップ10のデータ入力端子28を介し
て入力される入力データを保持し、これをフリップフロ
ップ10のデータ出力端子30を介して出力データとし
て出力するものである。図示例の保持回路14は、図2
に示される従来のフリップフロップ56と同じ構成を有
するものであるから、ここでは、同一構成要素に同一符
号を付し、その説明を省略する。
The holding circuit 14 holds the input data input through the data input terminal 28 of the flip-flop 10 in accordance with the internal clock signals CK, CK_ generated by the clock buffer 12, Is output as output data via the data output terminal 30 of the first embodiment. The holding circuit 14 in the example shown in FIG.
Has the same configuration as that of the conventional flip-flop 56 shown in FIG. 1, and the same reference numerals are given to the same components here, and description thereof will be omitted.

【0017】比較回路16は、フリップフロップ10が
現在保持しているデータと、入力データとを比較し、そ
の出力結果を出力するものであって、図示例において
は、現在保持しているデータ、すなわち、保持回路14
のスレーブラッチ34を構成するインバータ52の出力
信号と、入力データとの不一致を検出するEXORゲー
ト54により構成され、EXORゲート54の出力信号
は、クロックバッファ12を構成するNANDゲート2
0の他方の入力端子に入力されている。
The comparison circuit 16 compares the data currently held by the flip-flop 10 with the input data and outputs the output result. In the illustrated example, the data currently held is That is, the holding circuit 14
And an EXOR gate 54 for detecting a mismatch between the output signal of the inverter 52 constituting the slave latch 34 and the input data. The output signal of the EXOR gate 54 is output from the NAND gate 2 constituting the clock buffer 12.
0 is input to the other input terminal.

【0018】制御回路18は、比較回路16の比較結果
が一致のときに、すなわち、図示例の場合には、EXO
Rゲート54の出力信号がローレベルのときにクロック
バッファ12を停止させ、比較回路16の比較結果が不
一致のときに、すなわち、EXORゲート54の出力信
号がハイレベルのときに、クロックバッファ12を動作
させるように制御するものであって、図示例において
は、クロックバッファ12のNANDゲート20を共有
して構成されている。
When the comparison result of the comparison circuit 16 is coincident, that is, in the case of the example shown in FIG.
When the output signal of the R gate 54 is at a low level, the clock buffer 12 is stopped, and when the comparison result of the comparison circuit 16 does not match, that is, when the output signal of the EXOR gate 54 is at a high level, the clock buffer 12 is stopped. This is controlled so as to operate, and in the illustrated example, the NAND gate 20 of the clock buffer 12 is shared.

【0019】図示例のフリップフロップ10において
は、比較回路16により、フリップフロップ10が現在
保持しているデータ、すなわち、保持回路14のスレー
ブラッチ34を構成するインバータ52の出力信号と、
フリップフロップ10のデータ入力端子28を介して入
力される入力データとが比較される。その結果、比較回
路16を構成するEXORゲート54からは、両者が一
致しているときにローレベルが出力され、不一致のとき
にはハイレベルが出力される。
In the flip-flop 10 of the illustrated example, the data currently held by the flip-flop 10, that is, the output signal of the inverter 52 constituting the slave latch 34 of the holding circuit 14, is output by the comparison circuit 16.
The input data input through the data input terminal 28 of the flip-flop 10 is compared. As a result, the EXOR gate 54 constituting the comparison circuit 16 outputs a low level when both match, and outputs a high level when they do not match.

【0020】ここで、EXORゲート54からローレベ
ルが出力された場合、すなわち、フリップフロップ10
が現在保持しているデータと、入力データとが一致して
いる場合、クロック信号は、制御回路18を構成するN
ANDゲート20によりゲートされ、内部クロックC
K,CK_は、クロック信号にかかわらず停止される。
すなわち、図示例のフリップフロップ10においては、
内部クロックCK,CK_は、それぞれローレベルおよ
びハイレベルとされる。
Here, when a low level is output from the EXOR gate 54, that is, when the flip-flop 10
When the data currently held and the input data match, the clock signal
The internal clock C gated by the AND gate 20
K and CK_ are stopped regardless of the clock signal.
That is, in the illustrated flip-flop 10,
The internal clocks CK and CK_ are set to a low level and a high level, respectively.

【0021】これとは逆に、EXORゲート54からハ
イレベルが出力された場合、すなわち、フリップフロッ
プ10が現在保持しているデータと入力データとが不一
致の場合、制御回路18およびクロックバッファ12を
構成するNANDゲート20が動作状態とされ、クロッ
ク信号は、クロックバッファ12を構成するNANDゲ
ート20およびインバータ24によりバッファリングさ
れ、これに応じて内部クロックCK,CK_が生成され
る。
Conversely, when a high level is output from the EXOR gate 54, that is, when the data currently held by the flip-flop 10 does not match the input data, the control circuit 18 and the clock buffer 12 are turned off. The constituent NAND gate 20 is brought into the operating state, and the clock signal is buffered by the NAND gate 20 and the inverter 24 constituting the clock buffer 12, and the internal clocks CK and CK_ are generated accordingly.

【0022】なお、内部クロックCK,CK_が生成さ
れた後の動作については、図2に示される従来のフリッ
プフロップ56の場合と同じである。
The operation after the generation of the internal clocks CK and CK_ is the same as that of the conventional flip-flop 56 shown in FIG.

【0023】このように、図示例のフリップフロップ1
0においては、クロックの動作周波数よりも低いデータ
の論理変化によってクロックバッファ12を動作させる
ようにしたため、消費電力を低減することができる。ま
た、フリップフロップ10内部の構成を変更したため、
従来のフリップフロップ56と入力端子および出力端子
に互換性があり、従来のフリップフロップ56を本発明
に係わるフリップフロップ10に置換するだけでよく、
例えばゲーティドクロックを適用する場合のように回路
を変更する面倒がないという利点がある。
As described above, the flip-flop 1 of the illustrated example
In the case of 0, the clock buffer 12 is operated by a logical change of data lower than the operating frequency of the clock, so that power consumption can be reduced. Also, since the internal configuration of the flip-flop 10 has been changed,
The input terminal and the output terminal are compatible with the conventional flip-flop 56, and only the conventional flip-flop 56 needs to be replaced with the flip-flop 10 according to the present invention.
For example, there is an advantage that there is no need to change a circuit as in the case of using a gated clock.

【0024】以上、本発明の半導体装置について詳細に
説明したが、本発明は上記実施例に限定されず、本発明
の主旨を逸脱しない範囲において、種々の改良や変更を
してもよいのはもちろんである。例えば、上記実施例に
おいては、本発明の半導体装置において用いられるフリ
ップフロップとして、D型フリップフロップを一例とし
て挙げたが、本発明は、従来公知のあらゆるフリップフ
ロップに適用可能であることは言うまでもないことであ
る。
Although the semiconductor device of the present invention has been described in detail above, the present invention is not limited to the above embodiment, and various improvements and modifications may be made without departing from the gist of the present invention. Of course. For example, in the above embodiment, a D-type flip-flop is described as an example of a flip-flop used in the semiconductor device of the present invention. However, it is needless to say that the present invention can be applied to any conventionally known flip-flop. That is.

【0025】[0025]

【発明の効果】以上詳細に説明した様に、本発明の半導
体装置は、現在保持しているデータと入力データとを比
較し、入力データが、現在保持しているデータと異なる
場合にのみクロックバッファを動作させ、入力データを
保持するように構成したフリップフロップを有するもの
である。従って、本発明の半導体装置においては、クロ
ック信号の動作周波数よりも低い、入力データの論理変
化のタイミングでフリップフロップが動作するため、従
来のフリップフロップと比較して消費電力を大幅に削減
することができる。また、フリップフロップの内部構造
自体を変更したため、従来のフリップフロップに対して
入力端子および出力端子の点で互換性があり、論理ゲー
トの追加や配線の接続の変更等の回路変更の面倒がな
い。すなわち、本発明の半導体装置によれば、単に、従
来のフリップフロップを使用していたところに、本発明
に係わるフリップフロップを使用するだけで、半導体装
置全体の消費電力を削減することができるという利点が
ある。
As described above in detail, the semiconductor device of the present invention compares the currently held data with the input data, and only when the input data is different from the currently held data, the clock is output. It has a flip-flop configured to operate a buffer and hold input data. Therefore, in the semiconductor device of the present invention, since the flip-flop operates at the timing of the logical change of the input data, which is lower than the operating frequency of the clock signal, the power consumption can be significantly reduced as compared with the conventional flip-flop. Can be. In addition, since the internal structure of the flip-flop itself has been changed, it is compatible with the conventional flip-flop in terms of the input terminal and the output terminal, and there is no hassle of changing the circuit such as adding a logic gate or changing the wiring connection. . That is, according to the semiconductor device of the present invention, the power consumption of the entire semiconductor device can be reduced by simply using the flip-flop according to the present invention instead of using the conventional flip-flop. There are advantages.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の半導体装置において用いられるフリ
ップフロップの一実施例の構成回路図である。
FIG. 1 is a configuration circuit diagram of an embodiment of a flip-flop used in a semiconductor device of the present invention.

【図2】 従来のフリップフロップの一例の構成回路図
である。
FIG. 2 is a configuration circuit diagram of an example of a conventional flip-flop.

【図3】 ゲーティドクロックを適用した従来のフリッ
プフロップの一例の構成回路図である。
FIG. 3 is a configuration circuit diagram of an example of a conventional flip-flop to which a gated clock is applied.

【符号の説明】[Explanation of symbols]

10,56,62 フリップフロップ 12,58 クロックバッファ 14 保持回路 16 比較回路 18 制御回路 20 NANDゲート 22,24,38,40,46,48,52 インバー
タ 26 クロック入力端子 28 データ入力端子 30 データ出力端子 32 マスターラッチ 34 スレーブラッチ 36 クロックドインバータ 42,44,50 トランスファゲート 54,61 EXORゲート 60 ANDゲート
10, 56, 62 flip-flop 12, 58 clock buffer 14 holding circuit 16 comparison circuit 18 control circuit 20 NAND gate 22, 24, 38, 40, 46, 48, 52 inverter 26 clock input terminal 28 data input terminal 30 data output terminal 32 Master Latch 34 Slave Latch 36 Clocked Inverter 42,44,50 Transfer Gate 54,61 EXOR Gate 60 AND Gate

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】クロック信号をバッファリングし、内部ク
ロック信号を生成するクロックバッファと、このクロッ
クバッファにより生成された内部クロック信号に応じて
入力データを保持し、出力データとして出力する保持回
路とを有するフリップフロップを用いた半導体装置であ
って、 前記フリップフロップは、さらに、現在保持しているデ
ータと前記入力データとを比較する比較回路と、この比
較回路の比較結果が一致のときに、前記クロックバッフ
ァを停止させ、前記比較回路の比較結果が不一致のとき
に、前記クロックバッファを動作させる制御回路とを有
することを特徴とする半導体装置。
1. A clock buffer for buffering a clock signal and generating an internal clock signal, and a holding circuit for holding input data according to the internal clock signal generated by the clock buffer and outputting the input data as output data. A semiconductor device using the flip-flop, wherein the flip-flop further comprises: a comparison circuit for comparing currently held data with the input data; and And a control circuit for stopping the clock buffer and operating the clock buffer when the comparison result of the comparison circuit does not match.
JP8325082A 1996-12-05 1996-12-05 Semiconductor device Withdrawn JPH10163820A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8325082A JPH10163820A (en) 1996-12-05 1996-12-05 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8325082A JPH10163820A (en) 1996-12-05 1996-12-05 Semiconductor device

Publications (1)

Publication Number Publication Date
JPH10163820A true JPH10163820A (en) 1998-06-19

Family

ID=18172954

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8325082A Withdrawn JPH10163820A (en) 1996-12-05 1996-12-05 Semiconductor device

Country Status (1)

Country Link
JP (1) JPH10163820A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005130493A (en) * 2003-10-24 2005-05-19 Samsung Electronics Co Ltd Pass gate circuit with stable operation in transition phase of input signal, self-refresh circuit including the pass gate circuit, and method of controlling the pass gate circuit
JP2006067559A (en) * 2004-07-27 2006-03-09 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit
JP2006287906A (en) * 2005-03-31 2006-10-19 Hynix Semiconductor Inc Data latch circuit of semiconductor device
JP2007013349A (en) * 2005-06-29 2007-01-18 Renesas Technology Corp Semiconductor integrated circuit device
JP2007235501A (en) * 2006-03-01 2007-09-13 Nec Corp Flip flop circuit and semiconductor integrated circuit
JP2008028930A (en) * 2006-07-25 2008-02-07 Toshiba Corp Semiconductor integrated circuit, and method of designing the same
JP2014075785A (en) * 2012-09-11 2014-04-24 Semiconductor Energy Lab Co Ltd Flip-flop circuit and semiconductor device

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005130493A (en) * 2003-10-24 2005-05-19 Samsung Electronics Co Ltd Pass gate circuit with stable operation in transition phase of input signal, self-refresh circuit including the pass gate circuit, and method of controlling the pass gate circuit
JP4558438B2 (en) * 2003-10-24 2010-10-06 三星電子株式会社 Pass gate circuit that operates stably in transition period of input signal, self-refresh circuit including the same, and control method of pass gate circuit
JP2006067559A (en) * 2004-07-27 2006-03-09 Matsushita Electric Ind Co Ltd Semiconductor integrated circuit
JP2006287906A (en) * 2005-03-31 2006-10-19 Hynix Semiconductor Inc Data latch circuit of semiconductor device
JP2007013349A (en) * 2005-06-29 2007-01-18 Renesas Technology Corp Semiconductor integrated circuit device
JP2007235501A (en) * 2006-03-01 2007-09-13 Nec Corp Flip flop circuit and semiconductor integrated circuit
JP2008028930A (en) * 2006-07-25 2008-02-07 Toshiba Corp Semiconductor integrated circuit, and method of designing the same
JP2014075785A (en) * 2012-09-11 2014-04-24 Semiconductor Energy Lab Co Ltd Flip-flop circuit and semiconductor device

Similar Documents

Publication Publication Date Title
KR100231605B1 (en) Apparatus of reduced power consumption for semiconductor memory device
US6753714B2 (en) Reducing power and area consumption of gated clock enabled flip flops
US5999030A (en) Flip-flop circuit
US7353441B2 (en) Flip flop circuit and apparatus using a flip flop circuit
US6429698B1 (en) Clock multiplexer circuit with glitchless switching
JP3262033B2 (en) Semiconductor storage device
US6472909B1 (en) Clock routing circuit with fast glitchless switching
US20030025540A1 (en) Register capable of corresponding to wide frequency band and signal generating method using the same
US6229757B1 (en) Semiconductor memory device capable of securing large latch margin
KR100498473B1 (en) Control signal generation circuit and data transmission circuit having the same
US6909314B2 (en) Flip-flop circuit
JPH0375976A (en) Semiconductor integrated circuit device
US6351170B1 (en) Low power consumption type digital logic circuit
JPH10163820A (en) Semiconductor device
JPH06105285B2 (en) Semiconductor integrated circuit device
JPH11145786A (en) Flip-flop reset circuit
JPH09312553A (en) Logic circuit
KR100224277B1 (en) A circuit of generating internal clock of synchronous semiconductor device
JPH0645879A (en) Flip flop
US10454457B1 (en) Self-gating flip-flop
JPH1185304A (en) Clock input control circuit
US20100122133A1 (en) Latch circuit including data input terminal and scan data input terminal, and semiconductor device and control method
US10936040B2 (en) Semiconductor apparatus
JPH11298300A (en) Electronic circuit
JPH05241697A (en) Interface circuit

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040302