JPH098010A - Plasma processor - Google Patents

Plasma processor

Info

Publication number
JPH098010A
JPH098010A JP17436795A JP17436795A JPH098010A JP H098010 A JPH098010 A JP H098010A JP 17436795 A JP17436795 A JP 17436795A JP 17436795 A JP17436795 A JP 17436795A JP H098010 A JPH098010 A JP H098010A
Authority
JP
Japan
Prior art keywords
frequency power
plasma
high frequency
antenna
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP17436795A
Other languages
Japanese (ja)
Other versions
JP3192352B2 (en
Inventor
Akira Koshiishi
公 輿石
Koichiro Inasawa
剛一郎 稲沢
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron Yamanashi Ltd
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Yamanashi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Yamanashi Ltd filed Critical Tokyo Electron Ltd
Priority to JP17436795A priority Critical patent/JP3192352B2/en
Publication of JPH098010A publication Critical patent/JPH098010A/en
Application granted granted Critical
Publication of JP3192352B2 publication Critical patent/JP3192352B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies

Abstract

PURPOSE: To obtain a plasma processor which can secure high plasma density while keeping uniformity in the plasma density and also suppressing plasma diffusion. CONSTITUTION: A susceptor 6 and an upper electrode 32 are oppositely provided in a processing container 3, while high frequency power of relatively low frequency is applied from a first high frequency power source 41 to the susceptor 6 and high frequency power of relatively high frequency is applied from a second high frequency power source 44 to the upper electrode 32. A circular antenna 52 is placed via an insulator 51 on an outer periphery of the processing container 3, and high frequency power from a third high frequency power source is applied to the antenna 52. A variable magnetic field B is formed by the antenna 52 around a space where the susceptor 6 and the upper electrode 32 are opposite to each other so that plasma diffusion is suppressed, thereby increasing plasma density. The incident energy of ions in the high density plasma into a wafer W is controlled by high frequency having relatively low frequency.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、被処理体に対して、エ
ッチング処理を始めとする各種のプラズマ処理を施すた
めのプラズマ処理装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing apparatus for performing various types of plasma processing such as etching processing on an object to be processed.

【0002】[0002]

【従来の技術】従来から半導体製造プロセスにおいて
は、エッチング処理を始めとして、スパッタリング処理
やCVD処理などの処理に際し、処理容器内にプラズマ
を発生させ、該プラズマ雰囲気で、被処理基板、例えば
半導体ウエハ(以下、「ウエハ」という)の表面に所定
の処理を行うように構成されたプラズマ処理装置が用い
られているが、今日ではデバイスの集積度が益々高くな
り、またスループットの向上も極めて重要であることか
ら、これらプラズマ処理装置においては、歩留まりの向
上はもちろんのこと、微細な処理を高速に実施すること
がとりわけ重視されている。
2. Description of the Related Art Conventionally, in a semiconductor manufacturing process, a plasma is generated in a processing container during a process such as a sputtering process or a CVD process including an etching process, and a substrate to be processed, for example, a semiconductor wafer, in the plasma atmosphere. A plasma processing apparatus configured to perform a predetermined process on the surface of a wafer (hereinafter, referred to as “wafer”) is used, but today, the degree of integration of devices is increasing, and improvement of throughput is also very important. Therefore, in these plasma processing apparatuses, not only the improvement of the yield but also the high-speed fine processing is emphasized.

【0003】この点を踏まえた上で、例えばエッチング
装置を例にとって説明すると、プラズマ発生のために高
周波電力を用いたいわゆるカソードカップル型エッチン
グ装置やアノードカップル型エッチング装置において
は、そのままの構成でプラズマ密度を高めようとする
と、高周波電力のパワーを上げる必要がある。
In consideration of this point, for example, an etching apparatus will be described as an example. In a so-called cathode-coupled etching apparatus or anode-coupled etching apparatus that uses high-frequency power for generating plasma, the plasma is configured as it is. In order to increase the density, it is necessary to increase the high frequency power.

【0004】しかしながらそのように高周波のパワーを
上げると今度は、イオンの入射エネルギーの上昇を招
き、その結果ウエハへのダメージやマスクのレジストの
変質をもたらすという問題が生ずる。
However, if the high frequency power is increased in this way, then the incident energy of ions is increased, resulting in damage to the wafer and deterioration of the mask resist.

【0005】これを改善するため、特公昭58−123
47号においては、上下の電極に異なった周波数の高周
波を印加し、高い周波数の高周波でプラズマを発生さ
せ、低い周波数の高周波でイオン入射のエネルギーを独
立に制御することが提案されている。
In order to improve this, Japanese Patent Publication No. 58-123
No. 47 proposes that high frequencies having different frequencies are applied to the upper and lower electrodes, plasma is generated at high frequencies, and the energy of ion incidence is independently controlled at high frequencies.

【0006】[0006]

【発明が解決しようとする課題】しかしながら前記従来
技術では、プラズマ密度を向上させるには限界があり、
またより微細な処理を実施するために処理容器内の圧力
を下げると、プラズマが処理容器内に拡散してしまい、
その結果所期の高密度プラズマが得られないおそれがあ
る。
However, in the above-mentioned prior art, there is a limit in improving the plasma density,
When the pressure in the processing container is reduced to carry out finer processing, plasma diffuses into the processing container,
As a result, the desired high-density plasma may not be obtained.

【0007】この点に関し、例えば被処理体上に平行磁
場を形成し、被処理体表面に形成された直交電磁界によ
るマグネトロン放電を利用するマグネトロンRIE装置
の構成を取り入れて、プラズマ密度をさらに上げること
も考えられるが、そうすると今度はプラズマ密度の均一
性を確保するのが難しくなる。しかもプラズマの拡散を
抑えるためにプラズマを処理領域内に閉じこめるという
問題も依然として残っている。
Regarding this point, for example, a parallel magnetic field is formed on the object to be processed, and the configuration of a magnetron RIE apparatus utilizing the magnetron discharge by the orthogonal electromagnetic field formed on the surface of the object is introduced to further increase the plasma density. However, this makes it difficult to ensure the uniformity of the plasma density. Moreover, there still remains the problem of confining the plasma in the processing region in order to suppress the diffusion of the plasma.

【0008】本発明はかかる点に鑑みてなされたもので
あり、プラズマ密度の均一性を確保しつつしかもプラズ
マの拡散を抑えて高いプラズマ密度を確保できるプラズ
マ処理装置を提供して、前記問題の解決を図ることを目
的とする。
The present invention has been made in view of the above problems, and provides a plasma processing apparatus capable of ensuring a high plasma density while suppressing the plasma diffusion while ensuring the uniformity of the plasma density. The purpose is to solve the problem.

【0009】[0009]

【課題を解決するための手段】前記目的を達成するた
め、請求項1のプラズマ処理装置は、2つの電極を処理
容器内に対向配置し、この処理容器内にプラズマを発生
させて、前記電極のうちの一方の電極上の被処理体に対
してプラズマ処理を施す処理装置であって、前記一方の
電極は相対的に低い周波数の高周波電力を出力する第1
の高周波電源と接続され、他方の電極は相対的に高い周
波数の高周波電力を出力する第2の高周波電源と接続さ
れ、さらに高周波電力の印加によって、前記処理容器内
の対向電極間領域の周囲に変動磁界を発生させて前記プ
ラズマを閉じこめるアンテナを備えたことを特徴とする
ものである。
In order to achieve the above object, a plasma processing apparatus according to a first aspect of the present invention has two electrodes facing each other in a processing container, and plasma is generated in the processing container to generate the electrode. A processing device for performing a plasma treatment on an object to be processed on one of the electrodes, wherein the one electrode outputs high frequency power of a relatively low frequency.
Other high frequency power source, and the other electrode is connected to a second high frequency power source that outputs high frequency high frequency power, and by applying high frequency power, the electrode is formed around the area between the opposing electrodes in the processing container. An antenna for generating a fluctuating magnetic field and confining the plasma is provided.

【0010】また請求項2のプラズマ処理装置は、前記
請求項1のプラズマ処理装置における電極への高周波電
力の印加経路を変え、ミキシング装置を介して、相対的
に低い周波数の高周波電力を出力する第1の高周波電
源、及び相対的に高い周波数の高周波電力を出力する第
2の高周波電源の双方を被処理体を載置する一方の電極
と接続し、他方の電極を接地したことを特徴とするもの
である。
A plasma processing apparatus according to a second aspect of the present invention changes the application path of the high frequency power to the electrode in the plasma processing apparatus according to the first aspect, and outputs the high frequency power of a relatively low frequency through the mixing device. Both the first high-frequency power source and the second high-frequency power source that outputs high-frequency power of a relatively high frequency are connected to one electrode on which the object to be processed is placed, and the other electrode is grounded. To do.

【0011】さらにこれら各プラズマ処理装置における
アンテナは、請求項3に記載したように、前記他方の電
極寄りの位置に配置する、すなわち一方の電極よりも他
方の電極の方に近い位置に配置すると共に、このアンテ
ナの径を前記他の電極の径よりも大きいものとすれば、
より好ましい。
Further, as described in claim 3, the antenna in each of these plasma processing apparatuses is arranged at a position closer to the other electrode, that is, at a position closer to the other electrode than one electrode. At the same time, if the diameter of this antenna is made larger than the diameters of the other electrodes,
More preferable.

【0012】[0012]

【作用】請求項1、2、3のプラズマ処理装置とも、高
い周波数の高周波電力を出力する第2の高周波電源から
の高周波電力によって処理容器内にプラズマを発生さ
せ、このプラズマ中のイオンの被処理体への入射エネル
ギーを、第1の高周波電源からの低い周波数の高周波電
力によって制御することができる。かかる作用を鑑みれ
ば、第1の高周波電源の周波数は、イオンが追従できる
周波数、例えば数百kHzオーダーの周波数など、1M
Hz以下の周波数が好適である。他方、第2の高周波電
源の周波数は、高密度プラズマを発生させるための周波
数、例えば13.56MHz、27.12MHzなど、
1MHzを越える周波数が好ましい。
According to the plasma processing apparatus of claims 1, 2 and 3, plasma is generated in the processing chamber by the high frequency power from the second high frequency power source which outputs high frequency high frequency power, and the ions in the plasma are contaminated. The incident energy to the processing body can be controlled by the low frequency high frequency power from the first high frequency power supply. In consideration of such an action, the frequency of the first high frequency power source is 1M, which is a frequency that ions can follow, for example, a frequency of the order of several hundred kHz.
Frequencies below Hz are preferred. On the other hand, the frequency of the second high frequency power supply is a frequency for generating high density plasma, such as 13.56 MHz, 27.12 MHz,
Frequencies above 1 MHz are preferred.

【0013】そしてアンテナによって、前記処理容器内
の対向電極間の領域、即ち処理領域の周囲には変動磁界
が発生され、この変動磁界によってプラズマが閉じこめ
られるので、その結果処理領域内のプラズマ密度は上昇
する。また高周波電力によって形成される変動磁界は対
向電極間の領域の周囲に形成されるので、処理領域のプ
ラズマ密度の均一性はこの変動磁界によって影響を受け
ない。そして特に請求項3のようにアンテナの配置位
置、大きさを設定すれば、より効果的にプラズマを閉じ
こめることができる。
The antenna generates a fluctuating magnetic field in the area between the opposing electrodes in the processing container, that is, around the processing area, and the fluctuating magnetic field traps the plasma. As a result, the plasma density in the processing area is reduced. To rise. Further, since the fluctuating magnetic field formed by the high frequency power is formed around the region between the opposed electrodes, the uniformity of the plasma density in the processing region is not affected by the fluctuating magnetic field. Particularly, by setting the arrangement position and size of the antenna as in claim 3, the plasma can be more effectively confined.

【0014】前記アンテナの構成としては、例えば環状
の1ターンの高周波アンテナを始めとして、複数ターン
の高周波アンテナ、さらにはスパイラル形状の高周波ア
ンテナ等が提案できる。そしてこのアンテナに印加する
高周波電力の周波数は、前記第1の高周波電源の周波数
と第2の高周波電源の周波数との間の周波数が好まし
い。
As the structure of the antenna, for example, an annular one-turn high-frequency antenna, a multi-turn high-frequency antenna, and a spiral-shaped high-frequency antenna can be proposed. The frequency of the high frequency power applied to this antenna is preferably between the frequency of the first high frequency power supply and the frequency of the second high frequency power supply.

【0015】なお前記アンテナは処理容器の外部に設け
てもよく、また処理容器の内部に設けてもよい。通常こ
の種の処理容器は、導電性の材質で構成されて接地され
ているので、この場合には、アンテナを外部に設ける際
に、処理容器におけるアンテナの近傍のみ、絶縁材質、
例えば石英等で構成すればよい。またアンテナの配置場
所は、処理容器の天板に相当する部分の上部や、処理容
器の側壁外周に設定してもよい。いずれにしろ対向電極
間領域の周囲に変動磁界を形成できるように適宜配置す
ればよい。
The antenna may be provided outside the processing container or inside the processing container. Normally, this type of processing container is made of a conductive material and is grounded, so in this case, when the antenna is provided outside, only the vicinity of the antenna in the processing container, the insulating material,
For example, it may be made of quartz or the like. Further, the antenna may be arranged at the upper part of the portion corresponding to the top plate of the processing container or on the outer periphery of the side wall of the processing container. In any case, it may be appropriately arranged so that a fluctuating magnetic field can be formed around the area between opposed electrodes.

【0016】一方、前記アンテナを処理容器内部に設け
る場合には、プラズマによるスパッタリングを防止する
ため、アンテナの外周を絶縁体、例えば石英等で被覆す
ればよい。さらに前記アンテナに印加する前記高周波電
力の出力、周波数を適宜設定することよって、補助的に
処理容器内のプラズマ密度を高めることも可能である。
On the other hand, when the antenna is provided inside the processing container, the outer periphery of the antenna may be covered with an insulating material such as quartz in order to prevent sputtering due to plasma. Further, by appropriately setting the output and frequency of the high frequency power applied to the antenna, it is possible to supplementally increase the plasma density in the processing container.

【0017】[0017]

【実施例】以下、本発明をエッチング装置に適用した実
施例について説明すると、図1は本実施例にかかるエッ
チング装置1の断面を模式的に示しており、このエッチ
ング装置1における処理室2は、酸化アルマイト処理さ
れたアルミニウムなどからなる円筒形状の処理容器3内
に形成され、処理室2は気密に閉塞自在に構成されてい
る。また処理容器3自体は、例えば接地線4に接続され
るなどして接地されている。前記処理室2内の底部には
セラミックなどの絶縁支持板5が設けられており、この
絶縁支持板5の上部に、被処理体例えば直径12インチ
の半導体ウエハ(以下、「ウエハ」という)Wを載置す
るための略円柱状のサセプタ6が収容されている。この
サセプタ6は、例えば酸化アルマイト処理されたアルミ
ニウムからなっており、下部電極を構成する。
EXAMPLE An example in which the present invention is applied to an etching apparatus will be described below. FIG. 1 schematically shows a cross section of an etching apparatus 1 according to this example. The processing chamber 2 is formed in a cylindrical processing container 3 made of anodized aluminum or the like, and the processing chamber 2 is hermetically closed. The processing vessel 3 itself is grounded, for example, by being connected to a ground wire 4. An insulating support plate 5 made of ceramic or the like is provided at the bottom of the processing chamber 2, and an object to be processed, for example, a semiconductor wafer having a diameter of 12 inches (hereinafter referred to as “wafer”) W is provided on the insulating support plate 5. A substantially columnar susceptor 6 for mounting the is accommodated. The susceptor 6 is made of, for example, anodized aluminum and constitutes a lower electrode.

【0018】前記サセプタ6内には、冷媒が流通する冷
媒循環路7が形成されており、冷媒導入管8から導入さ
れた冷媒は、この冷媒循環路7内を巡って冷媒排出管9
から処理容器3外部に排出されるようになっている。か
かる構成により、サセプタ6及び前記ウエハWを所定の
温度に冷却することが可能になっている。また同時にサ
セプタ6内に、例えばセラミックヒータなどの加熱手段
を別途を設け、適宜の温度センサによる制御によって、
該加熱手段をコントロールして、前記冷媒循環路7の作
用と共に、ウエハWを所定温度に制御する構成としても
よい。
A refrigerant circulation path 7 through which a refrigerant flows is formed in the susceptor 6, and the refrigerant introduced from the refrigerant introduction pipe 8 circulates in the refrigerant circulation path 7 and a refrigerant discharge pipe 9 is formed.
From the processing container 3. With this configuration, the susceptor 6 and the wafer W can be cooled to a predetermined temperature. At the same time, a heating means such as a ceramic heater is separately provided in the susceptor 6 and controlled by an appropriate temperature sensor,
The heating means may be controlled to control the wafer W at a predetermined temperature together with the action of the coolant circulation path 7.

【0019】前記サセプタ6上には、ウエハWを吸着保
持するための静電チャック11が設けられている。この
静電チャック11は、導電性の薄膜12をポリイミド系
の樹脂フィルム13によって上下から挟持した構成を有
し、処理容器3の外部に設置されている高圧直流電源1
4からの所定の直流電圧、例えば1.5kV〜2kVの
電圧が前記薄膜12に印加されると、樹脂フィルム13
上に発生する電荷に基づいたクーロン力によって、静電
チャック11上に載置されたウエハWは、この静電チャ
ック11の上面に吸着保持されるようになっている。
An electrostatic chuck 11 for attracting and holding the wafer W is provided on the susceptor 6. The electrostatic chuck 11 has a structure in which a conductive thin film 12 is sandwiched between polyimide resin films 13 from above and below, and a high-voltage DC power supply 1 installed outside the processing container 3 is provided.
4 is applied to the thin film 12 with a predetermined DC voltage, for example, a voltage of 1.5 kV to 2 kV, the resin film 13
The wafer W placed on the electrostatic chuck 11 is adsorbed and held on the upper surface of the electrostatic chuck 11 by the Coulomb force based on the electric charges generated above.

【0020】また前記サセプタ6内には、処理容器3底
部及び絶縁支持板5を貫設した伝熱ガス導入管15が設
けられ、前記静電チャック11を貫通したガス流路16
と接続されている。そして処理容器3外部から供給され
る適宜の伝熱ガス、例えばHe(ヘリウム)ガスが前記
伝熱ガス導入管15、ガス流路16を通じて、静電チャ
ック11上に保持されているウエハWの裏面に供給さ
れ、ウエハWと静電チャック11、即ちサセプタ6との
間の熱伝達効率が向上するようになっている。
In the susceptor 6, there is provided a heat transfer gas introducing pipe 15 penetrating the bottom of the processing container 3 and the insulating support plate 5, and a gas passage 16 penetrating the electrostatic chuck 11.
Is connected to Then, an appropriate heat transfer gas, for example, He (helium) gas supplied from the outside of the processing container 3 is passed through the heat transfer gas introducing pipe 15 and the gas flow path 16 to the back surface of the wafer W held on the electrostatic chuck 11. The heat transfer efficiency between the wafer W and the electrostatic chuck 11, that is, the susceptor 6 is improved.

【0021】前記サセプタ6の上面外周縁における静電
チャック11の周囲には、この静電チャック11を取り
囲むようにして、環状のフォーカスリング17が設けら
れている。このフォーカスリング17は、例えば導電性
を有する単結晶シリコン等で形成された場合には、ウエ
ハW周辺のプラズマ密度の均一性を改善する機能を有
し、また石英等の絶縁体で形成された場合には、プラズ
マ中のイオンを引き寄せず、ウエハWへの入射効率を向
上させる機能を有する。このような作用を鑑みれば、内
側に導電性のフォーカスリングを配置し、その外周に絶
縁性のフォーカスリングを配置した構成をとれば、ウエ
ハW周辺のプラズマ密度の均一性を改善しつつ、かつウ
エハW上のプラズマ密度を高める作用が得られる。
An annular focus ring 17 is provided around the electrostatic chuck 11 on the outer peripheral edge of the upper surface of the susceptor 6 so as to surround the electrostatic chuck 11. The focus ring 17 has a function of improving the uniformity of plasma density around the wafer W when formed of, for example, conductive single crystal silicon or the like, and is formed of an insulator such as quartz. In this case, it has a function of not attracting ions in the plasma and improving the efficiency of incidence on the wafer W. In consideration of such an action, if the conductive focus ring is arranged inside and the insulating focus ring is arranged around the outer periphery thereof, the uniformity of the plasma density around the wafer W is improved, and The effect of increasing the plasma density on the wafer W is obtained.

【0022】前出サセプタ6の周囲には、多数の透孔が
形成されたバッフル板18が配置されており、さらにこ
のバッフル板18の下方における処理容器3の底部近傍
には、排気口19が設けられ、この排気口19は、例え
ばターボ分子ポンプなどの真空引き手段20に通ずる排
気管21と接続されている。従って、真空引き手段20
の作動によって、処理容器3内は所定の減圧度、例えば
10mTorrにまで、真空引きすることが可能であ
り、しかもその場合、処理容器3内のガスは前記バッフ
ル板18を通じて排気されるので、均一に排気すること
が可能である。このような処理容器3内の排気、並びに
真空引き手段20の作動による処理容器3内の減圧度の
維持は、処理容器3に設けた圧力センサ(図示せず)か
らの検出信号に基づいて自動的に制御されるようになっ
ている。
A baffle plate 18 having a large number of through holes is arranged around the susceptor 6, and an exhaust port 19 is provided below the baffle plate 18 near the bottom of the processing container 3. The exhaust port 19 is provided and is connected to an exhaust pipe 21 that communicates with a vacuuming means 20 such as a turbo molecular pump. Therefore, the evacuation means 20
By the operation of, the inside of the processing container 3 can be evacuated to a predetermined decompression degree, for example, to 10 mTorr, and in that case, the gas inside the processing container 3 is exhausted through the baffle plate 18, so that the gas can be uniformly discharged. It is possible to exhaust to. Such evacuation of the processing container 3 and maintenance of the degree of pressure reduction in the processing container 3 by the operation of the evacuation means 20 are automatically performed based on a detection signal from a pressure sensor (not shown) provided in the processing container 3. Controlled.

【0023】前出処理室2の上部には、例えばアルミナ
からなる絶縁材31を介して、上部電極32が設けられ
ている。この上部電極32は、導電性の材質、例えば酸
化アルマイト処理されたアルミニウムで構成されている
が、少なくとも前記ウエハWに対向する面32aは、他
の導電性材質、例えば単結晶シリコンで形成してもよ
い。またこの上部電極32は、その内部に中空部32b
を有する中空構造を有しており、さらに上部電極32の
上部中央にはガス導入口33が形成され、このガス導入
口33は前記中空部32bと通じている。そしてウエハ
Wに対向する面32aには、多数の吐出口32cが形成
されている。
An upper electrode 32 is provided above the processing chamber 2 via an insulating material 31 made of alumina, for example. The upper electrode 32 is made of a conductive material, for example, aluminum that has been subjected to alumite oxide treatment. At least the surface 32a facing the wafer W is made of another conductive material, for example, single crystal silicon. Good. The upper electrode 32 has a hollow portion 32b inside.
And a gas inlet 33 is formed in the upper center of the upper electrode 32, and the gas inlet 33 communicates with the hollow portion 32b. A large number of ejection ports 32c are formed on the surface 32a facing the wafer W.

【0024】前記ガス導入口33には、ガス導入管34
が接続され、さらにこのガス導入管34には、バルブ3
5、流量調節のためのマスフローコントローラ36を介
して、処理ガス供給源37が接続されている。本実施例
では、処理ガス供給源47から所定の処理ガス、例えば
CF4ガスやC48ガスなどのCF系のエッチングガス
等が供給されるようになっており、このエッチングガス
は、マスフローコントローラ36で流量が調節されて、
前記上部電極32の吐出口32cから、ウエハWに対し
て均一に吐出される構成となっている。
A gas introduction pipe 34 is provided at the gas introduction port 33.
Is connected to the gas introduction pipe 34, and the valve 3 is connected to the gas introduction pipe 34.
5. A processing gas supply source 37 is connected via a mass flow controller 36 for adjusting the flow rate. In this embodiment, a predetermined processing gas, for example, a CF-based etching gas such as CF 4 gas or C 4 F 8 gas is supplied from the processing gas supply source 47, and the etching gas is a mass flow. The flow rate is adjusted by the controller 36,
The ejection port 32c of the upper electrode 32 is configured to uniformly eject the wafer W.

【0025】次にこのエッチング装置1の高周波電力の
供給系について説明すると、まず下部電極となるサセプ
タ6に対しては、周波数が数百kHz程度、例えば80
0kHzの高周波電力を出力する第1の高周波電源41
からの電力が、ブロッキングコンデンサなどを有する整
合器42を介して供給される構成となっている。一方上
部電極32に対しては、整合器43を介して、周波数が
前記第1の高周波電源41よりも高い1MHz以上の周
波数、例えば27.12MHzの高周波電力を出力する
第2の高周波電源44からの電力が供給される構成とな
っている。
Next, the high-frequency power supply system of the etching apparatus 1 will be described. First, the susceptor 6 serving as the lower electrode has a frequency of about several hundred kHz, for example, 80.
First high frequency power supply 41 that outputs high frequency power of 0 kHz
Power is supplied from the matching unit 42 having a blocking capacitor or the like. On the other hand, for the upper electrode 32, from the second high frequency power supply 44 that outputs a high frequency power of 1 MHz or higher, for example, 27.12 MHz, whose frequency is higher than that of the first high frequency power supply 41, via the matching unit 43. Is configured to be supplied with power.

【0026】そして前記処理容器3の側壁上部は、例え
ば石英からなる環状の絶縁材51が配設されており、こ
の絶縁材51の外周には、図2にも示したような環状の
アンテナ52が配置されている。このアンテナ52は、
いわゆる1ターン構成であり、第3の高周波電源53か
らの高周波電力、例えば周波数が13.56MHzの高
周波電力が印加されると、図1に示したように、上部電
極32とサセプタ6との間の空間の周囲に、前記周波数
に応じた変動磁界Bが形成されるようになっている。
A ring-shaped insulating material 51 made of, for example, quartz is disposed on the upper side wall of the processing container 3, and the ring-shaped antenna 52 as shown in FIG. Are arranged. This antenna 52
When the so-called one-turn configuration is used and high-frequency power from the third high-frequency power source 53, for example, high-frequency power having a frequency of 13.56 MHz is applied, as shown in FIG. 1, the space between the upper electrode 32 and the susceptor 6 is increased. A fluctuating magnetic field B according to the frequency is formed around the space.

【0027】本実施例にかかるエッチング装置1の主要
部は以上のように構成されており、このエッチング装置
1の側面には、例えばゲートバルブ(図示せず)を介し
て、ウエハ搬送手段等が収容されているロードロック室
(図示せず)が並設されている。
The main part of the etching apparatus 1 according to this embodiment is constructed as described above, and a wafer transfer means or the like is provided on the side surface of the etching apparatus 1 via, for example, a gate valve (not shown). Load lock chambers (not shown) housed therein are arranged in parallel.

【0028】次に前記構成になるエッチング装置1を用
いて、例えばシリコンのウエハWの酸化膜(SiO2
に対してエッチングする場合のプロセス、作用等につい
て説明すると、まず前記ロードロック室から被処理体で
あるウエハWが処理室2内に搬入され、静電チャック1
1上に載置されると、高圧直流電源14から所定の電圧
が静電チャック11内の導電性の薄膜12に印加され
て、ウエハWはこの静電チャック11上に吸着、保持さ
れる。
Next, by using the etching apparatus 1 having the above-mentioned structure, for example, an oxide film (SiO 2 ) of a silicon wafer W is formed.
The process, action, etc. in the case of etching will be described. First, the wafer W as the object to be processed is carried into the processing chamber 2 from the load lock chamber, and the electrostatic chuck 1
When the wafer W is placed on the electrostatic chuck 11, a predetermined voltage is applied from the high-voltage DC power supply 14 to the conductive thin film 12 in the electrostatic chuck 11, and the wafer W is attracted and held on the electrostatic chuck 11.

【0029】次いで処理室2内が、真空引き手段20に
よって真空引きされていき、所定の真空度、例えば10
mTorrになった後、処理ガス供給源37から所定の
処理ガス、例えばCF4が所定の流量比で供給され、処
理室2の圧力が所定の真空度、例えば20mTorrに
設定、維持される。
Next, the inside of the processing chamber 2 is evacuated by the evacuation means 20 to a predetermined degree of vacuum, for example, 10
After reaching mTorr, a predetermined processing gas, for example CF 4, is supplied from the processing gas supply source 37 at a predetermined flow rate ratio, and the pressure in the processing chamber 2 is set and maintained at a predetermined vacuum degree, for example, 20 mTorr.

【0030】次いでアンテナ52に対して第3の高周波
電源から、周波数が13.56MHz、パワーが例えば
500W〜2000Wの高周波電力が印加されると、既
述したように、上部電極32とサセプタ6との間の空間
の周囲に、前記周波数に応じた変動磁界Bが形成され
る。
Next, when a high frequency power having a frequency of 13.56 MHz and a power of, for example, 500 W to 2000 W is applied to the antenna 52 from the third high frequency power supply, as described above, the upper electrode 32, the susceptor 6 and A fluctuating magnetic field B according to the frequency is formed around the space between.

【0031】そして上部電極32に対して第2の高周波
電源44から周波数が27.12MHz、パワーが2k
Wの高周波電力が供給されると、上部電極32とサセプ
タ6との間にプラズマが生起される。またこれより僅か
に遅れて(1秒以下のタイミング遅れ)をもって、サセ
プタ6に対して第1の高周波電源41から周波数が80
0kHz、パワーが1kWの高周波電力が供給される。
そのようにサセプタ6に対して上部電極32よりもタイ
ミングを遅らせて高周波電力を供給させることにより、
過大な電圧によってウエハWがダメージを受けることを
防止できる。
A frequency of 27.12 MHz and a power of 2 k are supplied from the second high frequency power source 44 to the upper electrode 32.
When the high frequency power of W is supplied, plasma is generated between the upper electrode 32 and the susceptor 6. With a slight delay (timing delay of 1 second or less) from this, the frequency from the first high frequency power supply 41 to the susceptor 6 becomes 80%.
High frequency power of 0 kHz and power of 1 kW is supplied.
In this way, by supplying the high frequency power to the susceptor 6 with a timing delayed from the upper electrode 32,
The wafer W can be prevented from being damaged by an excessive voltage.

【0032】前記第2の高周波電源44からの高周波
(27.12MHz)の印加によって発生したプラズマ
により処理室2内のエッチングガス、即ちCF4ガスの
ガス分子が解離し、それによって生じたエッチャントイ
オンが、第1の高周波電源41からサセプタ6側に供給
された相対的に低い周波数の高周波(800kHz)に
よってその入射速度がコントロールされつつ、ウエハW
表面のシリコン酸化膜(SiO2)をエッチングしてい
く。
The plasma generated by the application of the high frequency (27.12 MHz) from the second high frequency power source 44 dissociates the etching gas in the processing chamber 2, that is, the gas molecules of the CF 4 gas, and the etchant ions generated thereby. However, while the incident speed is controlled by the high frequency (800 kHz) having a relatively low frequency supplied from the first high frequency power supply 41 to the susceptor 6 side, the wafer W
The silicon oxide film (SiO 2 ) on the surface is etched.

【0033】この場合、前記したように、上部電極32
とサセプタ6との間の空間の周囲には、変動磁界Bが形
成されているので、上部電極32とサセプタ6間に発生
したプラズマの拡散は、この変動磁界Bによって抑制さ
れる。従って、上部電極32とサセプタ6との間の領域
のプラズマ密度はそれに応じて高いものとなっている。
従って、高密度プラズマの下での高速なエッチングレー
トが得られる。
In this case, as described above, the upper electrode 32
Since the fluctuating magnetic field B is formed around the space between the susceptor 6 and the susceptor 6, the fluctuating magnetic field B suppresses the diffusion of the plasma generated between the upper electrode 32 and the susceptor 6. Therefore, the plasma density in the region between the upper electrode 32 and the susceptor 6 is correspondingly high.
Therefore, a high etching rate under high density plasma can be obtained.

【0034】また変動磁界Bは、上部電極32とサセプ
タ6との間の空間の周囲に形成されて、プラズマの拡散
を防止できる程度の強度であれば十分であるから、ウエ
ハW上の磁場は殆ど0(ゼロ)とすることができ、プラ
ズマ密度の均一性には影響がない。従って、被処理体で
あるウエハWに対する前記エッチング処理の均一性は極
めて良好である。
Further, since the fluctuating magnetic field B is formed around the space between the upper electrode 32 and the susceptor 6 and has a strength enough to prevent plasma diffusion, the magnetic field on the wafer W is It can be set to almost 0 (zero) and does not affect the uniformity of plasma density. Therefore, the uniformity of the etching process on the wafer W, which is the object to be processed, is extremely good.

【0035】また変動磁界Bの強度にもよるが、電界と
直交するところでは、電子のサイクロイド運動によって
ガス分子との衝突が起こり、その結果プラズマが生起さ
れ、処理室2内のプラズマは補助的に濃くなって、さら
にプラズマ密度を高くすることができる。
Although depending on the strength of the fluctuating magnetic field B, the cycloidal motion of electrons causes collisions with gas molecules at a position orthogonal to the electric field, resulting in the generation of plasma, and the plasma in the processing chamber 2 is auxiliary. Further, the plasma density can be further increased by increasing the density.

【0036】なお以上のような変動磁界Bの作用等に鑑
みれば、アンテナ52に印加する高周波電力のパワー
は、プラズマ拡散を抑制するに十分な変動磁界Bを形成
できればよく、従ってこの高周波電力を発生させる第3
の高周波電源の出力は小さいものでよい。それゆえ、処
理容器3の外部周りの装置構成が肥大化せず、エッチン
グ装置1全体としてみても、大がかりなものとはならな
い。
In consideration of the action of the fluctuating magnetic field B as described above, the power of the high frequency power applied to the antenna 52 is sufficient if it can form the fluctuating magnetic field B sufficient to suppress the plasma diffusion. Third to generate
The output of the high-frequency power source of 2 may be small. Therefore, the apparatus configuration around the outside of the processing container 3 is not enlarged, and the etching apparatus 1 as a whole is not large-scaled.

【0037】なお前記実施例で用いたアンテナ52は、
1ターンの環状の構成を有していたが、これに代えて、
例えば図3に示したように、環状の1ターンのアンテナ
61、62を上下に配置したアンテナ63を用いてもよ
い。
The antenna 52 used in the above embodiment is
It had a one-turn ring configuration, but instead of this,
For example, as shown in FIG. 3, an antenna 63 in which annular one-turn antennas 61 and 62 are vertically arranged may be used.

【0038】さらに図4に示したように、アンテナ部材
を螺旋状に構成したアンテナ64を用いてもよい。
Further, as shown in FIG. 4, an antenna 64 having a spiral antenna member may be used.

【0039】前記実施例にかかるエッチング装置1にお
いては、変動磁界Bを形成するためのアンテナ52が、
処理容器3の側壁上部に配設された環状の絶縁部材51
の外周に配置されていたが、これに限らず、図5に示し
た第2の実施例にかかるエッチング装置71のように、
処理容器72の天板部分に相当する部分の上部に配置し
てもよい。なお図5中、図1と同一の番号で示される部
材は、前記実施例にかかるエッチング装置1における部
材と同一の構成を示している。
In the etching apparatus 1 according to the above embodiment, the antenna 52 for forming the fluctuating magnetic field B is
An annular insulating member 51 disposed on the upper side wall of the processing container 3.
However, not limited to this, as in the etching apparatus 71 according to the second embodiment shown in FIG.
It may be arranged above the portion corresponding to the top plate portion of the processing container 72. In FIG. 5, members designated by the same reference numerals as those in FIG. 1 have the same configurations as the members in the etching apparatus 1 according to the embodiment.

【0040】このエッチング装置71においては、酸化
アルマイト処理されたアルミニウムなどからなる円筒形
状の処理容器72の天板に相当する部分を、絶縁材、例
えば石英からなる絶縁プレート73で構成し、該絶縁プ
レート73の上に、前出第1実施例のエッチング装置1
におけるアンテナ52と相似形をなす1ターンの略環状
(ループ状)のアンテナ74を配置し、このアンテナ7
4に、第3の高周波電源53を接続した構成を有してい
る。
In this etching apparatus 71, a portion corresponding to a top plate of a cylindrical processing container 72 made of aluminum which has been subjected to alumite oxidation treatment is constituted by an insulating plate 73 made of an insulating material such as quartz. On the plate 73, the etching apparatus 1 of the first embodiment described above is provided.
A one-turn substantially annular (loop-shaped) antenna 74 having a similar shape to the antenna 52 in FIG.
4 has a configuration in which a third high frequency power source 53 is connected.

【0041】このような構成をもったエッチング装置7
1においても、前記アンテナ74によって、上部電極3
2とサセプタ6との間の空間の周囲には、プラズマの拡
散を抑える変動磁界が形成され、第1実施例にかかるエ
ッチング装置1と同様、高密度プラズマの下での高速か
つ均一なエッチングレートが得られる。
Etching device 7 having such a configuration
Also in the first embodiment, the antenna 74 allows the upper electrode 3
A fluctuating magnetic field that suppresses plasma diffusion is formed around the space between 2 and the susceptor 6, and like the etching apparatus 1 according to the first embodiment, a high-speed and uniform etching rate under high-density plasma. Is obtained.

【0042】前記各実施例にかかるエッチング装置1、
71においては、変動磁界を形成するためのアンテナ5
2、74はいずれも処理容器の外部に配置されていた
が、図6に示した第3の実施例にかかるエッチング装置
81のように、処理容器82の内部にしてもよい。なお
図6中、図1と同一の番号で示される部材は、前記実施
例にかかるエッチング装置1における部材と同一の構成
を示している。
The etching apparatus 1 according to each of the above embodiments,
In 71, an antenna 5 for forming a fluctuating magnetic field
Although both 2 and 74 are arranged outside the processing container, they may be arranged inside the processing container 82 like the etching apparatus 81 according to the third embodiment shown in FIG. In FIG. 6, members designated by the same reference numerals as those in FIG. 1 have the same configurations as the members in the etching apparatus 1 according to the embodiment.

【0043】即ち図6に示したエッチング装置81にお
いては、酸化アルマイト処理されたアルミニウムなどか
らなる円筒形状の処理容器82の内部における側壁上部
に、例えば石英などの絶縁材83で被覆された環状のア
ンテナ84を配置し、このアンテナ84に、前出第3の
高周波電源53を接続した構成を有している。
That is, in the etching apparatus 81 shown in FIG. 6, the upper part of the side wall inside the cylindrical processing container 82 made of aluminum which has been subjected to alumite oxidation treatment has an annular shape covered with an insulating material 83 such as quartz. An antenna 84 is arranged, and the antenna 84 is connected to the third high-frequency power source 53 described above.

【0044】かかる構成を有するエッチング装置81に
よれば、前記アンテナ84によって、上部電極32とサ
セプタ6との間の空間の周囲に、プラズマの拡散を抑え
る変動磁界が形成され、第1実施例にかかるエッチング
装置1と同様、高密度プラズマの下での高速かつ均一な
エッチングレートが得られる。しかもこのエッチング装
置81においては、アンテナ84が処理容器82内に収
容されているので、エッチング装置81の周りがより簡
素化されている。
According to the etching apparatus 81 having such a structure, the antenna 84 forms a fluctuating magnetic field around the space between the upper electrode 32 and the susceptor 6 to suppress the diffusion of plasma. Similar to the etching apparatus 1, a high speed and uniform etching rate under high density plasma can be obtained. Moreover, in this etching apparatus 81, since the antenna 84 is housed in the processing container 82, the area around the etching apparatus 81 is further simplified.

【0045】さらに前記実施例では、いずれもサセプタ
6に相対的に低い周波数の高周波電力を発生する第1の
高周波電源41を接続し、上部電極32には相対的に高
い周波数の高周波電力を発生する第2の高周波電源44
を接続した構成であったが、図7に示した第4の実施例
にかかるエッチング装置91のように、これら2つの異
なった周波数の高周波電力を発生する第1の高周波電源
41と第2の高周波電源44の双方とも、サセプタ6に
接続する構成としてもよい。なお図7中、図1と同一の
番号で示される部材は、第1の実施例にかかるエッチン
グ装置1における部材と同一の構成を示している。
Further, in each of the above-described embodiments, the first high frequency power source 41 for generating high frequency power of relatively low frequency is connected to the susceptor 6, and the high frequency power of relatively high frequency is generated for the upper electrode 32. Second high frequency power source 44
However, like the etching apparatus 91 according to the fourth embodiment shown in FIG. 7, the first high frequency power source 41 and the second high frequency power source 41 that generate high frequency power of these two different frequencies are connected. Both of the high frequency power supplies 44 may be connected to the susceptor 6. In FIG. 7, members designated by the same reference numerals as those in FIG. 1 have the same configurations as the members in the etching apparatus 1 according to the first embodiment.

【0046】即ち図7に示したエッチング装置91にお
いては、第1の高周波電源41と第2の高周波電源44
の双方とも、各々相互干渉を防止するためのフィルタ等
を内蔵した整合器92、93を介して、ミキシング装置
94に接続し、このミキシング装置94を通じて、いわ
ば2つの異なった周波数の高周波が重畳した形で、サセ
プタ6に印加される構成となっている。
That is, in the etching apparatus 91 shown in FIG. 7, the first high frequency power supply 41 and the second high frequency power supply 44 are used.
Both of them are connected to a mixing device 94 via matching devices 92 and 93 each having a built-in filter or the like for preventing mutual interference, and so to speak, two high frequencies of different frequencies are superposed through the mixing device 94. In the shape, it is configured to be applied to the susceptor 6.

【0047】またこのエッチング装置91においては、
上部電極32は、絶縁材を介さず直接処理容器3に固定
され、接地線4によって接地された処理容器3と上部電
極とは同電位、即ち上部電極32は接地された構成とな
っている。
Further, in this etching apparatus 91,
The upper electrode 32 is directly fixed to the processing container 3 without an insulating material, and the processing container 3 and the upper electrode grounded by the ground wire 4 have the same potential, that is, the upper electrode 32 is grounded.

【0048】かかる構成を有するエッチング装置91に
よっても、第2の高周波電源44からの高周波(例え
ば、27.12MHz)の印加によって発生したプラズ
マにより解離したエッチャントイオンが、第1の高周波
電源41から印加される低い周波数の高周波(例えば8
00kHz)によって、その入射速度がコントロールさ
れつつ、ウエハW表面をエッチングしていく。従って、
ダメージのない高速エッチングが可能である。
Also with the etching apparatus 91 having such a configuration, etchant ions dissociated by the plasma generated by the application of the high frequency (for example, 27.12 MHz) from the second high frequency power source 44 are applied from the first high frequency power source 41. High frequency of low frequency (eg 8
(00 kHz), the surface of the wafer W is etched while the incident speed is controlled. Therefore,
High-speed etching without damage is possible.

【0049】もちろん前記第1実施例にかかるエッチン
グ装置1と同様、上部電極32とサセプタ6との間の空
間の周囲には、アンテナ52によって変動磁界が形成さ
れているので、前記プラズマの拡散は抑えられているか
ら、高速かつ均一なエッチングレートを得ることができ
る。
Of course, like the etching apparatus 1 according to the first embodiment, since the variable magnetic field is formed around the space between the upper electrode 32 and the susceptor 6 by the antenna 52, the diffusion of the plasma is prevented. Since it is suppressed, a high speed and uniform etching rate can be obtained.

【0050】なおそのように、サセプタ6に2つの異な
った周波数の高周波電力を印加する構成をとっても、変
動磁界を形成するためのアンテナは、図3、図4に示し
た構成であってもよく、またアンテナの配置は、図5に
示したように、処理容器の上部や、図6に示したように
処理容器の内部に配置してもよい。
As described above, the antenna for forming the fluctuating magnetic field may have the configuration shown in FIGS. 3 and 4 even if the high-frequency power of two different frequencies is applied to the susceptor 6. Further, the antenna may be arranged at the upper part of the processing container as shown in FIG. 5 or inside the processing container as shown in FIG.

【0051】なお前記した各実施例は、いずれもエッチ
ング装置として構成した例であったが、これに限らず、
本発明は他のプラズマ処理装置、例えばアッシング装
置、スパッタリング装置、CVD装置としても具体化で
きる。さらに被処理体も、ウエハに限らず、LCD基板
であってもよい。
Although each of the above-described embodiments is an example configured as an etching apparatus, the invention is not limited to this.
The present invention can be embodied as another plasma processing apparatus such as an ashing apparatus, a sputtering apparatus, or a CVD apparatus. Further, the object to be processed is not limited to the wafer but may be an LCD substrate.

【0052】[0052]

【発明の効果】請求項1、2、3のプラズマ処理装置に
よれば、アンテナによってプラズマの拡散が防止される
ので、高いプラズマ密度の下で被処理体に対して各種の
プラズマ処理を施すことができる。また処理領域のプラ
ズマ密度の均一性も良好であり、さらに被処理体にダメ
ージを与えることなく、高速かつ微細な処理を実施する
ことができる。また特に請求項3のプラズマ処理装置で
は、より効果的にプラズマを閉じこめることができる。
According to the plasma processing apparatus of the first, second, and third aspects, since the diffusion of plasma is prevented by the antenna, various plasma processing is performed on the object to be processed under a high plasma density. You can Further, the uniformity of the plasma density in the processing region is also good, and high-speed and fine processing can be performed without damaging the object to be processed. Further, particularly in the plasma processing apparatus of the third aspect, the plasma can be more effectively confined.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施例にかかるエッチング装置
の断面説明図である。
FIG. 1 is a sectional explanatory view of an etching apparatus according to a first embodiment of the present invention.

【図2】図1のエッチング装置に用いたアンテナの斜視
図である。
FIG. 2 is a perspective view of an antenna used in the etching apparatus of FIG.

【図3】本発明に適用できる他のアンテナの斜視図であ
る。
FIG. 3 is a perspective view of another antenna applicable to the present invention.

【図4】本発明に適用できる他のアンテナ(螺旋状)の
斜視図である。
FIG. 4 is a perspective view of another antenna (spiral shape) applicable to the present invention.

【図5】本発明の第2の実施例にかかるエッチング装置
の断面説明図である。
FIG. 5 is a sectional explanatory view of an etching apparatus according to a second embodiment of the present invention.

【図6】本発明の第3の実施例にかかるエッチング装置
の断面説明図である。
FIG. 6 is a cross-sectional explanatory view of an etching apparatus according to a third embodiment of the present invention.

【図7】本発明の第4の実施例にかかるエッチング装置
の断面説明図である。
FIG. 7 is a cross-sectional explanatory diagram of an etching apparatus according to a fourth embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1 エッチング装置 2 処理室 3 処理容器 6 サセプタ 11 静電チャック 20 真空引き手段 32 上部電極 37 処理ガス供給源 41 第1の高周波電源 44 第2の高周波電源 52 アンテナ 53 第3の高周波電源 B 変動磁界 W ウエハ DESCRIPTION OF SYMBOLS 1 Etching apparatus 2 Processing chamber 3 Processing container 6 Susceptor 11 Electrostatic chuck 20 Vacuuming means 32 Upper electrode 37 Processing gas supply source 41 First high frequency power supply 44 Second high frequency power supply 52 Antenna 53 Third high frequency power supply B Fluctuating magnetic field W wafer

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 2つの電極を処理容器内に対向配置し、
この処理容器内にプラズマを発生させて、前記電極のう
ちの一方の電極上の被処理体に対してプラズマ処理を施
す処理装置であって、前記一方の電極は相対的に低い周
波数の高周波電力を出力する第1の高周波電源と接続さ
れ、他方の電極は相対的に高い周波数の高周波電力を出
力する第2の高周波電源と接続され、さらに高周波電力
の印加によって、前記処理容器内の対向電極間領域の周
囲に変動磁界を発生させて前記プラズマを閉じこめるア
ンテナを備えたことを特徴とする、プラズマ処理装置。
1. Two electrodes are arranged opposite to each other in a processing container,
A processing apparatus for generating plasma in the processing container to perform plasma processing on an object to be processed on one of the electrodes, the one electrode being a high frequency power of a relatively low frequency. Is connected to a first high-frequency power source that outputs a high-frequency power, and the other electrode is connected to a second high-frequency power source that outputs a high-frequency power having a relatively high frequency. A plasma processing apparatus comprising: an antenna for generating a fluctuating magnetic field around the interspace to confine the plasma.
【請求項2】 2つの電極を処理容器内に対向配置し、
この処理容器内にプラズマを発生させて、前記電極のう
ちの一方の電極上の被処理体に対して処理を施す処理装
置であって、前記一方の電極はミキシング装置を介し
て、相対的に低い周波数の高周波電力を出力する第1の
高周波電源、及び相対的に高い周波数の高周波電力を出
力する第2の高周波電源の双方と接続されると共に、他
方の電極は接地され、さらに高周波電力の印加によっ
て、前記処理容器内の対向電極間領域の周囲に変動磁界
を発生させて前記プラズマを閉じこめるアンテナを備え
たことを特徴とする、プラズマ処理装置。
2. The two electrodes are arranged to face each other in the processing container,
A processing apparatus for generating plasma in the processing container to perform processing on an object to be processed on one of the electrodes, wherein the one electrode is relatively moved through a mixing device. It is connected to both a first high-frequency power source that outputs low-frequency high-frequency power and a second high-frequency power source that outputs relatively high-frequency high-frequency power, and the other electrode is grounded, and A plasma processing apparatus, comprising: an antenna for generating a fluctuating magnetic field around an area between opposed electrodes in the processing container to confine the plasma by application.
【請求項3】 前記アンテナは、前記他方の電極側に位
置し、かつこのアンテナは前記他方の電極よりも大きい
径を有していることを特徴とする、請求項1又は2に記
載のプラズマ処理装置。
3. The plasma according to claim 1, wherein the antenna is located on the side of the other electrode, and the antenna has a diameter larger than that of the other electrode. Processing equipment.
JP17436795A 1995-06-16 1995-06-16 Plasma processing equipment Expired - Fee Related JP3192352B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP17436795A JP3192352B2 (en) 1995-06-16 1995-06-16 Plasma processing equipment

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17436795A JP3192352B2 (en) 1995-06-16 1995-06-16 Plasma processing equipment

Publications (2)

Publication Number Publication Date
JPH098010A true JPH098010A (en) 1997-01-10
JP3192352B2 JP3192352B2 (en) 2001-07-23

Family

ID=15977385

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17436795A Expired - Fee Related JP3192352B2 (en) 1995-06-16 1995-06-16 Plasma processing equipment

Country Status (1)

Country Link
JP (1) JP3192352B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907109B1 (en) * 2001-09-27 2009-07-09 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US8662010B2 (en) 2002-06-19 2014-03-04 Mitsubishi Heavy Industries, Ltd. Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
JP2014197612A (en) * 2013-03-29 2014-10-16 Sppテクノロジーズ株式会社 Mounting table for plasma processing of processed substrate and plasma processing device using the same

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013191593A (en) 2012-03-12 2013-09-26 Tokyo Electron Ltd Plasma processing apparatus

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100907109B1 (en) * 2001-09-27 2009-07-09 도쿄엘렉트론가부시키가이샤 Plasma processing apparatus
US8662010B2 (en) 2002-06-19 2014-03-04 Mitsubishi Heavy Industries, Ltd. Plasma processing apparatus, plasma processing method, plasma film deposition apparatus, and plasma film deposition method
JP2014197612A (en) * 2013-03-29 2014-10-16 Sppテクノロジーズ株式会社 Mounting table for plasma processing of processed substrate and plasma processing device using the same

Also Published As

Publication number Publication date
JP3192352B2 (en) 2001-07-23

Similar Documents

Publication Publication Date Title
JP3210207B2 (en) Plasma processing equipment
US6849154B2 (en) Plasma etching apparatus
JP4285853B2 (en) Processing method
JP4454781B2 (en) Plasma processing equipment
TWI394492B (en) A plasma processing method and a plasma processing apparatus
US6024827A (en) Plasma processing apparatus
JP4831853B2 (en) Capacitively coupled parallel plate plasma etching apparatus and plasma etching method using the same
JP3535309B2 (en) Decompression processing equipment
JP2002093776A (en) HIGH SPEED ETCHING METHOD OF Si
JPH06283470A (en) Plasma processing device
JP3121524B2 (en) Etching equipment
JP3254069B2 (en) Plasma equipment
JP3062393B2 (en) Plasma processing equipment
JPH04279044A (en) Sample-retention device
JPH1074600A (en) Plasma processing equipment
JPH07106095A (en) Plasma processing device
JP4467667B2 (en) Plasma processing equipment
JPH07106316A (en) Plasma treatment equipment
JP4322350B2 (en) Plasma processing equipment
JP3192351B2 (en) Plasma processing equipment
JP3438003B2 (en) Plasma processing equipment
JP3192352B2 (en) Plasma processing equipment
JPH09186141A (en) Plasma processing system
JP2000082698A (en) Plasma processing apparatus
JPH02312231A (en) Dryetching device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010508

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130525

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees