JPH0950450A - Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device - Google Patents

Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device

Info

Publication number
JPH0950450A
JPH0950450A JP7199672A JP19967295A JPH0950450A JP H0950450 A JPH0950450 A JP H0950450A JP 7199672 A JP7199672 A JP 7199672A JP 19967295 A JP19967295 A JP 19967295A JP H0950450 A JPH0950450 A JP H0950450A
Authority
JP
Japan
Prior art keywords
wiring
placement
simulation
information
routing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7199672A
Other languages
Japanese (ja)
Inventor
Tetsuo Saito
哲男 斉藤
Yoshihiro Tanaka
美宏 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP7199672A priority Critical patent/JPH0950450A/en
Publication of JPH0950450A publication Critical patent/JPH0950450A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To generate a timing error to be generated at the time of detailed simulation in a simulation before wiring by estimating the wiring length of the wiring passing through a wiring difficult area long. SOLUTION: After call arrangement is completed by a floor planner. a global box 1 where it is estimated that the wirings are to be congested, is found. It is highly possible that the wirings 2-4 passing through the global box 1 are not wired with a wiring length estimated by the floor planner in a layout tool. Then, for the wiring for which the wiring is difficult by wiring length information calculation after the cell arrangement in the floor planner, the wiring length is estimated long, and the wiring length information of the simulation before the wiring is used. Thus, the wiring length is estimated longer for the wirings for which the change of the wiring length is estimated in arrangement and the wiring by the layout tool and the timing error in the datailed simulation by the change of the wiring in the layout tool is found in the simulation before the wiring.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】この発明は、ゲートアレイ・
ECA・ASIC等の半導体素子の製作過程についての
仮想シミュレーション後に行うマクロセルまたはブロッ
クレベルでの配置作業(以下、フロアプランという)に
おける配置評価方法と半導体配置配線装置(以下、レイ
アウトツールという)に関するものである。
TECHNICAL FIELD The present invention relates to a gate array
The present invention relates to a layout evaluation method for a macrocell or block level layout operation (hereinafter, referred to as a floor plan) and a semiconductor layout and wiring apparatus (hereinafter, referred to as a layout tool) performed after a virtual simulation of a manufacturing process of a semiconductor element such as ECA / ASIC is there.

【0002】[0002]

【従来の技術】このような配置配線用シミュレーション
方法においては、仮想シミュレーション後に行うマクロ
セルまたはブロックレベルでの配置作業(以下、フロア
プラン)で、配置の妥当性の評価は、チップを小さな格
子にわけて(以下、この格子のことをグローバルボック
スという)、その格子中に配線が何本入るかで評価して
いる。その評価をフロアプランでの配置情報をもとに得
られる配線長情報を用いて行うシミュレーション(以
下、配線前シミュレーションという)の結果が良好な場
合、フロアプランで行った配置情報を基にしレイアウト
ツールを用いて配置配線が行われる。このとき、フロア
プランで用いた仮配線の配線長と配置配線後の詳細シミ
ュレーションで用いる実際の配線長との差が大きいと配
線での遅延のタイミング誤差も大きくなり、配線前シミ
ュレーションではノーエラーのタイミングが、詳細シミ
ュレーションではタイミングエラーになる場合がある。
2. Description of the Related Art In such a placement and routing simulation method, placement work at a macrocell or block level (hereinafter, floor plan) performed after virtual simulation is performed. (Hereinafter, this lattice is referred to as a global box), and the number of wirings in the lattice is evaluated. If the result of the simulation (hereinafter referred to as pre-wiring simulation) in which the evaluation is performed using the wiring length information obtained based on the layout information in the floorplan is good, the layout tool is based on the layout information made in the floorplan. Placement and wiring are performed using. At this time, if the difference between the wiring length of the temporary wiring used in the floor plan and the actual wiring length used in the detailed simulation after placement and routing is large, the timing error of the delay in the wiring also becomes large, and in the pre-wiring simulation there is no error timing. However, a timing error may occur in the detailed simulation.

【0003】従来では、このようなエラーの発生を抑え
るためにフロアプランの段階で配線が込み合うと予想さ
れる配置箇所をユーザに示し、配置を改善させていた。
配置の改善には限界があり、ある程度妥協しなければな
らないため、配線困難な領域を残したまま配線前シミュ
レーションが行われる。
In the past, in order to suppress the occurrence of such an error, the location of the wiring expected to be crowded was shown to the user at the stage of floorplanning to improve the layout.
Since there is a limit to the improvement of the layout and it is necessary to compromise to some extent, the pre-wiring simulation is performed while leaving the difficult wiring area.

【0004】配線前シミュレーションの結果が良好であ
れば、配置配線を行う。しかし、フロアプランで配線困
難と予想された箇所で配線の変更が行われることがあ
り、配線長がフロアプラン実施装置(以下、フロアプラ
ンナという)が予想した配線長より長くなるため配線に
よる遅延が発生する。これにより、配置配線後の詳細シ
ミュレーションではタイミングエラーが発生することに
なる。
If the result of the pre-wiring simulation is good, placement and routing is performed. However, wiring may be changed at locations where wiring is expected to be difficult in the floor plan, and the wiring length becomes longer than the floor plan execution device (hereinafter referred to as the floor planner) expected, so delays due to wiring may occur. appear. As a result, a timing error will occur in the detailed simulation after placement and routing.

【0005】図3は、セル10とセル11とを結ぶ配線
経路8・9が示されている。フロアプランナでは、配線
の評価経路としてSteiner経路を取るため、セル
10とセル11とを結ぶ配線経路は、経路8か経路9の
どちらかを取る。レイアウトツールでは、初めの配線は
Steiner経路を取るため、セル10とセル11と
を結ぶ配線経路は、経路8か経路9のどちらかを取り、
配線が行えないときに配線を変更する。
FIG. 3 shows wiring paths 8 and 9 connecting the cell 10 and the cell 11. In the floor planner, since the Steiner route is used as the wiring evaluation route, the wiring route connecting the cell 10 and the cell 11 is either the route 8 or the route 9. In the layout tool, since the first wiring takes the Steiner path, the wiring path connecting the cell 10 and the cell 11 is either the path 8 or the path 9.
Change wiring when wiring is not possible.

【0006】従来、どちらの装置もセル10・セル11
についての配線は、同一の配線経路を選択できるが、フ
ロアプランナでの配線経路についての情報がレイアウト
ツールに渡されないため、それぞれ別々の配線経路が選
択される場合がある。これにより、フロアプランナにお
ける配線困難領域とレイアウトツールでの配線困難領域
が必ずしも一致しないことが考えられる。これは、フロ
アプランナで配線困難領域について考慮してもそれがレ
イアウトツール上で活用できないことを意味する。
Conventionally, both devices have cell 10 and cell 11
The same wiring route can be selected for the wiring for, but since information about the wiring route in the floor planner is not passed to the layout tool, different wiring routes may be selected. As a result, it is conceivable that the wiring difficult area in the floor planner and the wiring difficult area in the layout tool do not always match. This means that even if the floor planner considers the difficult wiring area, it cannot be used in the layout tool.

【0007】[0007]

【発明が解決しようとする課題】第1の発明は、詳細シ
ミュレーション時に発生するタイミングエラーを配線前
シミュレーションで発生させることができ、詳細シミュ
レーションのタイミングエラーを減少させることができ
る配置配線用シミュレーション方法を得ようとするもの
である。
A first aspect of the present invention is a placement and routing simulation method capable of causing a timing error occurring in a detailed simulation in a pre-wiring simulation and reducing a timing error in the detailed simulation. It's about to get.

【0008】第2の発明は、フロアプラン実施装置にお
ける配線経路と半導体配置配線装置における配線経路・
配線長、配線前シミュレーションと詳細シミュレーショ
ンの結果を一致させることができる半導体配置配線装置
を得ることを目的とする。
A second aspect of the present invention is a wiring route in a floor plan execution device and a wiring route in a semiconductor placement and wiring device.
An object of the present invention is to obtain a semiconductor placement and routing device that can match the wiring length, the results of the pre-wiring simulation and the results of the detailed simulation.

【0009】第3の発明は、フロアプラン実施装置にお
ける配線経路と半導体配置配線装置における配線経路・
配線長、配線前シミュレーションと詳細シミュレーショ
ンの結果を一致させることができる半導体配置配線装置
を得ることを目的とする。
A third aspect of the present invention is a wiring route in a floorplan execution device and a wiring route in a semiconductor placement and routing device.
An object of the present invention is to obtain a semiconductor placement and routing device that can match the wiring length, the results of the pre-wiring simulation and the results of the detailed simulation.

【0010】第4の発明は、フロアプラン実施装置の配
置評価の信頼性を向上させることができる配置配線用シ
ミュレーション方法を得ることを目的とする。
A fourth object of the present invention is to obtain a layout and wiring simulation method capable of improving the reliability of the layout evaluation of the floorplan execution device.

【0011】[0011]

【課題を解決するための手段】第1の発明においては、
仮想シミュレーション後に行う配置作業での配置の妥当
性の評価において、チップを小さな格子に分けてその格
子中に配線が何本入るかで評価を行うととともに、その
評価結果を前記配置作業での配置情報をもとに得られる
配線長情報を用いて行うシミュレーションにおいて、配
線数の多いグローバルボックスを通る配線の配線長を長
く見積る方法である。
Means for Solving the Problems In the first invention,
In the evaluation of the adequacy of the placement in the placement work performed after the virtual simulation, the chip is divided into small grids and the number of wires in the grid is evaluated, and the evaluation results are placed in the placement work. This is a method of estimating the wire length of a wire passing through a global box having a large number of wires in a simulation performed using the wire length information obtained from the information.

【0012】第2の発明においては、仮想シミュレーシ
ョン後に行う配置作業での配置情報をインターフェイス
機能を有するフロアプラン実施装置から受けて配置配線
を行う半導体配置配線装置において、前記配置作業での
セル配置情報と、前記配置作業での配線長の修正があっ
た場合の配線長とを、前記フロアプラン実施装置を介し
て受けるものである。
According to a second aspect of the present invention, in the semiconductor placement and routing apparatus that receives placement information for placement work performed after virtual simulation from a floorplan execution device having an interface function and performs placement and routing, cell placement information for the placement work is provided. And the wiring length when the wiring length is corrected in the arranging work via the floorplan execution device.

【0013】第3の発明においては、仮想シミュレーシ
ョン後に行う配置作業での配置情報をインターフェイス
機能を有するフロアプラン実施装置から受けて配置配線
を行う半導体配置配線装置において、前記配置作業で仮
配線した経路の情報と、前記配置作業での配線長の修正
があった場合の配線長とを、前記フロアプラン実施装置
を介して受けるものである。
According to a third aspect of the present invention, in a semiconductor placement and routing apparatus that receives placement information for placement work performed after virtual simulation from a floor plan execution device having an interface function and performs placement and routing, a route provisionally routed in the placement work is performed. Information and the wiring length in the case where the wiring length is corrected in the arranging work through the floorplan execution device.

【0014】第4の発明においては、仮想シミュレーシ
ョン後に行う配置作業での配線配置の妥当性の評価にお
いて、各配線でのタイミング検証結果によるタイミング
情報を配線危険領域判定の評価要素として反映させる。
In the fourth aspect of the invention, in evaluating the adequacy of the wiring arrangement in the arrangement work performed after the virtual simulation, the timing information based on the timing verification result of each wiring is reflected as an evaluation element for the wiring dangerous area determination.

【0015】[0015]

【発明の実施の形態】BEST MODE FOR CARRYING OUT THE INVENTION

実施の形態1.図1は、この発明の実施の形態1を示し
た図である。1は配線困難と予想されるグローバルボッ
クス、2〜7は配線を示す。まず、フロアプランナによ
りセル配置が完了した後、配線が込み合うと予想される
グローバルボックス1を発見する。発見されたグローバ
ルボックス1を通過する配線2〜4は、レイアウトツー
ルにおいてフロアプランナが予想する配線長で配線され
ない可能性が高い。そこで、フロアプランナ中のセル配
置後に算出する配線長情報で配線困難な配線は配線長を
長く見積り、そのため配線前シミュレーションの配線長
情報を用いる。
Embodiment 1. 1 is a diagram showing a first embodiment of the present invention. 1 indicates a global box which is expected to be difficult to wire, and 2 to 7 indicate wires. First, after the cell layout is completed by the floor planner, the global box 1 that is expected to have a crowded wiring is found. It is highly possible that the discovered wirings 2 to 4 passing through the global box 1 are not routed with the wiring length expected by the floor planner in the layout tool. Therefore, the wiring length is estimated to be long for the wiring that is difficult to wire with the wiring length information calculated after the cell placement in the floor planner.

【0016】見積り方を以下に示す。 〔元の配線長+(配線困難なグローバルボックス数×
α)〕=見積り配線長 (ここで、αは正の実数で、配線を行うレイアウトツー
ル、デバイス等のさまざまな条件から決まる値である。
このフロアプランナでは、任意の実数αの入力を可能と
する。)
The estimation method is shown below. [Original wiring length + (Number of global boxes where wiring is difficult ×
α)] = estimated wiring length (where α is a positive real number and is a value determined by various conditions such as a layout tool and device for wiring).
This floor planner allows input of an arbitrary real number α. )

【0017】これにより、レイアウトツールによる配置
配線で配線長の変更が予想される配線に関して配線長を
長く見積もることにより、レイアウトツールでの配線の
変更による詳細シミュレーションでのタイミングエラー
を配線前シミュレーションの時点で発見できるようにな
る。その結果は、図2に示される。フローを図5に示
す。フローチャートを図6に示す。
Thus, the wiring length is estimated to be long with respect to the wiring whose wiring length is expected to be changed by the layout tool, so that the timing error in the detailed simulation due to the wiring change in the layout tool can be corrected at the time of the pre-wiring simulation. You will be able to find it at. The result is shown in FIG. The flow is shown in FIG. The flowchart is shown in FIG.

【0018】以上のように、この実施の形態1では、レ
イアウトツールによる配置配線での配線の変更が予想さ
れる配線に関して配線長を長く見積もることにより、配
線前シミュレーションの信頼性を向上させることができ
る。
As described above, in the first embodiment, it is possible to improve the reliability of the pre-wiring simulation by estimating the wiring length of the wiring which is expected to be changed by the layout tool. it can.

【0019】実施の形態2.図3は、この発明の実施の
形態2を示す図である。図3において、8・9は配線経
路、10・11はセルである。
Embodiment 2. FIG. 3 is a diagram showing a second embodiment of the present invention. In FIG. 3, 8 and 9 are wiring paths and 10 and 11 are cells.

【0020】まず、フロアプランナにおいて仮配線を行
ったときの配線経路の情報を各配線毎に作成する。具体
的には、図3のセル10とセル11とを結ぶ配線経路が
経路8であれば、この配線経路は経路8であることをフ
ロアプランナが出力する。
First, information on a wiring route when temporary wiring is performed in the floor planner is created for each wiring. Specifically, if the wiring route connecting the cell 10 and the cell 11 in FIG. 3 is the route 8, the floor planner outputs that this wiring route is the route 8.

【0021】この情報をレイアウトツールが読み込めれ
ば、フロアプラン時の配線とレイアウトツールでの配線
は、配線の変更が行われない限り同様の配線にすること
ができる。フローは、図4のようになる。また、前記実
施の形態1で修正した配線長をフロアプランナが出力
し、その情報をレイアウトツールが読み込めれば、配線
経路の変更がレイアウトツールで行われる際、どれだけ
配線を長くすることができるかが、レイアウトツールで
判断できる。
If this information can be read by the layout tool, the wiring at the time of floorplanning and the wiring by the layout tool can be the same wiring unless the wiring is changed. The flow is as shown in FIG. If the floor planner outputs the wiring length corrected in the first embodiment and the layout tool can read the information, the wiring length can be lengthened when the wiring route is changed by the layout tool. It can be judged by the layout tool.

【0022】以上のように、配線経路情報を出力できる
このフロアプランナと、その情報を読み込める後述の実
施の形態3のレイアウトツールにより、フロアプラン時
の配線経路と配線経路の変更時の配線長をレイアウトツ
ールで反映させて配置配線が行えるようになる。また、
配線前シミュレーションでの結果と同様な結果を詳細シ
ミュレーションで得ることができる。
As described above, the floor planner capable of outputting the wiring route information and the layout tool of the third embodiment which can read the information can determine the wiring route at the time of floor plan and the wiring length at the time of changing the wiring route. It becomes possible to place and route by reflecting with the layout tool. Also,
The same result as the result of the pre-wiring simulation can be obtained by the detailed simulation.

【0023】実施の形態3.図3は、この発明の実施の
形態3をも示している。まず、実施の形態2で示したフ
ロアプランナから出力された仮配線の配線経路情報を読
み込み、各配線の配線経路をフロアプラン時の配線経路
と同じように配線を行う。具体的には、図3のセル10
とセル11とを結ぶ配線が、フロアプラン時に経路8の
配線経路であったならば、このレイアウトツールは、配
線経路8に添って配線を行う。
Embodiment 3. FIG. 3 also shows a third embodiment of the present invention. First, the wiring route information of the temporary wiring output from the floor planner shown in the second embodiment is read, and the wiring route of each wiring is wired in the same way as the wiring route at the time of floorplanning. Specifically, the cell 10 of FIG.
If the wiring connecting the cell 11 and the cell 11 is the wiring route of the route 8 at the time of floorplanning, this layout tool performs the wiring along the wiring route 8.

【0024】これにより、配線の変更が行なわれない限
りフロアプラン時の仮配線経路と同様の配線経路にする
ことができる。フローは、実施の形態2と同様に図4の
ようになる。また、実施の形態1で修正した配線長をフ
ロアプランナが出力し、その情報をレイアウトツールが
読み込み配線経路の修正が行なわれるとき、どれだけ配
線を長くできるかを判断できる。
As a result, unless the wiring is changed, the wiring path can be the same as the temporary wiring path at the time of floorplanning. The flow is as shown in FIG. 4 as in the second embodiment. Further, when the floor planner outputs the wiring length corrected in the first embodiment and the layout tool reads the information and corrects the wiring route, it can be determined how long the wiring can be.

【0025】以上のように、配線経路情報と配線長情報
を出力できる実施の形態2のフロアプランナと、その情
報を読み込めるこのレイアウトツールとによって、フロ
アプラン時の配線経路をレイアウトツールで反映させて
配置配線が行なえるようになる。また、配線前シミュレ
ーションの結果と同様な結果を詳細シミュレーションで
得ることができる。
As described above, with the floor planner of the second embodiment capable of outputting wiring route information and wiring length information and this layout tool capable of reading the information, the wiring route at the time of floor plan is reflected in the layout tool. Placement and wiring can be performed. Further, the same result as the result of the pre-wiring simulation can be obtained by the detailed simulation.

【0026】実施の形態4.図1により、この発明の実
施の形態4の説明を行う。まず、フロアプランナによ
り、図1のようにセル配置を行なったのち、タイミング
検証で作成したネットのタイミング情報をフロアプラン
ナが読み込み、配置の評価に用いる。タイミング余裕が
ない配線は配線長変更による遅延時間の増加によりタイ
ミングエラーを引き起こすため配線長を変更できない。
これを用いて、タイミング余裕の少ない配線が通過する
グローバルボックスをすべて配線困難な領域として評価
する。
Embodiment 4 A fourth embodiment of the present invention will be described with reference to FIG. First, after the cells are arranged by the floor planner as shown in FIG. 1, the floor planner reads the timing information of the net created by the timing verification and uses it for the evaluation of the arrangement. The wiring with no timing margin cannot change the wiring length because it causes a timing error due to the increase of the delay time due to the change of the wiring length.
Using this, all global boxes through which wiring with a small timing margin passes are evaluated as areas where wiring is difficult.

【0027】これにより、ユーザはフロアプランにおい
て配線数の多い領域と配線長を変更できない領域の2つ
を配線危険領域とした評価結果を参照することにより、
配置の妥当性を向上させることができる。フローを図7
に示す。フローチャートを図8に示す。
By this, the user refers to the evaluation result in which the two wiring areas, the area having a large number of wirings and the area where the wiring length cannot be changed, are set as the wiring dangerous area in the floor plan.
The validity of the arrangement can be improved. Figure 7 shows the flow
Shown in The flowchart is shown in FIG.

【0028】以上のように、この実施の形態4では、タ
イミング情報を評価要素に加えることによって、ユーザ
がフロアプランを行なう際に配線危険領域を発見するの
に役立つ。
As described above, in the fourth embodiment, by adding the timing information to the evaluation element, it is useful for the user to find the wiring dangerous area when performing the floor plan.

【0029】[0029]

【発明の効果】第1の発明によれば、配線困難領域を通
過する配線の配線長を大きく見積もるように構成したた
め、詳細シミュレーション時に発生するタイミングエラ
ーを配線前シミュレーションで発生させることができ、
詳細シミュレーションのタイミングエラーを減少させる
のに効果がある。
According to the first aspect of the present invention, since the wiring length of the wiring passing through the wiring difficult area is largely estimated, the timing error generated during the detailed simulation can be generated during the pre-wiring simulation.
It is effective in reducing the timing error of detailed simulation.

【0030】第2の発明によれば、フロアプランナにお
いて配線経路情報を出力するように構成したため、フロ
アプランナにおける配線経路とレイアウトツールにおけ
る配線経路・配線長、配線前シミュレーションと詳細シ
ミュレーションの結果を一致させるのに効果がある。
According to the second aspect of the invention, since the wiring route information is output in the floor planner, the wiring route in the floor planner and the wiring route / wiring length in the layout tool, and the results of the pre-wiring simulation and the detailed simulation match. It is effective in making it happen.

【0031】第3の発明においては、フロアプランナが
出力した配線経路情報をレイアウトツールが入力できる
ように構成したため、フロアプランナにおける配線経路
とレイアウトツールにおける配線経路・配線長、配線前
シミュレーションと詳細シミュレーションの結果を一致
させるのに効果がある。
In the third aspect of the invention, since the layout tool can input the wiring route information output by the floor planner, the wiring route in the floor planner, the wiring route / wiring length in the layout tool, the pre-wiring simulation and the detailed simulation. It is effective in matching the results of.

【0032】第4の発明によれば、フロアプランナにお
いてタイミング情報を評価項目に加えるように構成した
ため、フロアプランナの配置評価の信頼性を向上させる
のに効果がある。
According to the fourth aspect of the invention, since the floor planner is configured to add the timing information to the evaluation items, it is effective in improving the reliability of the floor planner placement evaluation.

【図面の簡単な説明】[Brief description of drawings]

【図1】 フロアプランナ実行時の一部拡大図である。FIG. 1 is a partially enlarged view when a floor planner is executed.

【図2】 実施の形態1での、フロアプラン時のセル配
置図(一部)である。
FIG. 2 is a cell layout diagram (partial) at the time of floorplanning in the first embodiment.

【図3】 実施の形態2・3での、配線経路の例を示す
図である。
FIG. 3 is a diagram showing an example of a wiring route in the second and third embodiments.

【図4】 実施の形態2・3の実行フロー図である。FIG. 4 is an execution flow chart of the second and third embodiments.

【図5】 実施の形態1の実行フロー図である。FIG. 5 is an execution flow diagram of the first embodiment.

【図6】 実施の形態1のフローチャートを示す図であ
る。
FIG. 6 is a diagram showing a flowchart of the first embodiment.

【図7】 実施の形態4の実行フロー図である。FIG. 7 is an execution flow diagram of the fourth embodiment.

【図8】 実施の形態4のフローチャートを示す図であ
る。
FIG. 8 is a diagram showing a flowchart of the fourth embodiment.

【符号の説明】[Explanation of symbols]

1 配線困難と予想されるグローバルボックス、2〜7
配線、8・9 配線経路、10・11 セル。
1 Global box, 2-7 expected to be difficult to wire
Wiring, 8 · 9 wiring path, 10 · 11 cells.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 仮想シミュレーション後に行う配置作業
での配置の妥当性の評価において、チップを小さな格子
に分けてその格子中に配線が何本入るかで評価を行うと
とともに、その評価結果を前記配置作業での配置情報を
もとに得られる配線長情報を用いて行うシミュレーショ
ンにおいて、配線数の多いグローバルボックスを通る配
線の配線長を長く見積ることを特徴とする配置配線用シ
ミュレーション方法。
1. In the evaluation of the appropriateness of the layout in the layout work performed after the virtual simulation, the chip is divided into small grids, and the number of wirings in the grid is evaluated. A simulation method for placement and routing, which comprises estimating a wiring length of a wiring passing through a global box having a large number of wirings in a simulation performed using wiring length information obtained based on the placement information in the placement work.
【請求項2】 仮想シミュレーション後に行う配置作業
での配置情報をインターフェイス機能を有するフロアプ
ラン実施装置から受けて配置配線を行う半導体配置配線
装置において、前記配置作業でのセル配置情報と、前記
配置作業での配線長の修正があった場合の配線長とを、
前記フロアプラン実施装置を介して受けることを特徴と
する半導体配置配線装置。
2. A semiconductor placement and routing apparatus that receives placement information for placement work performed after virtual simulation from a floorplan execution device having an interface function and performs placement and routing, and cell placement information for the placement work and the placement work. If there is a correction of the wiring length in
A semiconductor placement and routing device, characterized by being received via the floor plan implementation device.
【請求項3】 仮想シミュレーション後に行う配置作業
での配置情報をインターフェイス機能を有するフロアプ
ラン実施装置から受けて配置配線を行う半導体配置配線
装置において、前記配置作業で仮配線した経路の情報
と、前記配置作業での配線長の修正があった場合の配線
長とを、前記フロアプラン実施装置を介して受けること
を特徴とする半導体配置配線装置。
3. In a semiconductor placement and routing apparatus that receives placement information for placement work performed after virtual simulation from a floorplan execution device having an interface function and performs placement and routing, information on a route tentatively wired in the placement work, and A semiconductor placement and routing apparatus, which receives the wiring length when the wiring length is corrected in the placement work via the floorplan execution device.
【請求項4】 仮想シミュレーション後に行う配置作業
での配線配置の妥当性の評価において、各配線でのタイ
ミング検証結果によるタイミング情報を配線危険領域判
定の評価要素として反映させることを特徴とする配置配
線用シミュレーション方法。
4. The placement and routing, wherein timing information based on a timing verification result of each wiring is reflected as an evaluation element for determining a wiring danger area in the evaluation of the validity of the wiring placement in the placement work performed after the virtual simulation. Simulation method.
JP7199672A 1995-08-04 1995-08-04 Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device Pending JPH0950450A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP7199672A JPH0950450A (en) 1995-08-04 1995-08-04 Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP7199672A JPH0950450A (en) 1995-08-04 1995-08-04 Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device

Publications (1)

Publication Number Publication Date
JPH0950450A true JPH0950450A (en) 1997-02-18

Family

ID=16411707

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7199672A Pending JPH0950450A (en) 1995-08-04 1995-08-04 Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device

Country Status (1)

Country Link
JP (1) JPH0950450A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002086884A3 (en) * 2001-04-18 2007-07-19 Sun Microsystems Inc Method and apparatus for defining signal timing for an integrated circuit device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002086884A3 (en) * 2001-04-18 2007-07-19 Sun Microsystems Inc Method and apparatus for defining signal timing for an integrated circuit device

Similar Documents

Publication Publication Date Title
Koh et al. Manhattan or Non-Manhattan? A study of alternative VLSI routing architectures
JP3175653B2 (en) Crosstalk error improvement method and method
JP2006227762A (en) Method for designing semiconductor integrated circuit and device for designing semiconductor integrated circuit
US20070022400A1 (en) Method, program, and apparatus for designing layout of semiconductor integrated circuit
JP4037944B2 (en) Wiring route determination method and delay estimation method
JPH0950450A (en) Simulation method for arrangement and wiring, and semiconductor arrangement and wiring device
US8453077B2 (en) Circuit designing method and circuit designing system
JPH10173058A (en) Method for arrangement and interconnection
US20020170026A1 (en) Method of designing integrated circuit using hierarchical design technique
JP4071507B2 (en) Hierarchical layout method of semiconductor integrated circuit
JP3017131B2 (en) Layout method of semiconductor integrated circuit
US6845346B1 (en) Iterative method of parasitics estimation for integrated circuit designs
JPH05160375A (en) Automatic routing method
JP2002297683A (en) Layout designing method for semiconductor integrated circuit
JP3570883B2 (en) Semiconductor integrated circuit wiring method
JP2911915B2 (en) Wiring design method for integrated circuits
JP2508227B2 (en) Method for determining general wiring route of semiconductor integrated circuit
JPH05243383A (en) Automatic wiring method
JP2009253756A (en) Layout generating method for clock distribution circuit, and semiconductor integrated circuitry
JP2000150659A (en) Method for designing layout of semiconductor integrated circuit device
JP2972713B2 (en) Semiconductor integrated circuit manufacturing apparatus, semiconductor integrated circuit wiring method, and recording medium
JPH11282890A (en) Circuit design method
TWI267760B (en) Method of forecasting unit capacitance for chip design
JPH05166929A (en) Method of arranging cell in lsi
JP2002215704A (en) Method and device for determining terminal position in module