JPH0927399A - Plasma treatment method and device - Google Patents

Plasma treatment method and device

Info

Publication number
JPH0927399A
JPH0927399A JP7177086A JP17708695A JPH0927399A JP H0927399 A JPH0927399 A JP H0927399A JP 7177086 A JP7177086 A JP 7177086A JP 17708695 A JP17708695 A JP 17708695A JP H0927399 A JPH0927399 A JP H0927399A
Authority
JP
Japan
Prior art keywords
sample
pulse
plasma
voltage
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP7177086A
Other languages
Japanese (ja)
Other versions
JP3085151B2 (en
Inventor
Tetsunori Kaji
哲徳 加治
Takeshi Yoshioka
健 吉岡
Naoyuki Koto
直行 小藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP07177086A priority Critical patent/JP3085151B2/en
Publication of JPH0927399A publication Critical patent/JPH0927399A/en
Application granted granted Critical
Publication of JP3085151B2 publication Critical patent/JP3085151B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To improve the form controllability of fine pattern and improve the reduction of the etching rate in a deep groove/deep hole. SOLUTION: The DC component of the voltage added to a sample 1 is detected, and at least one of the pulse width, pulse period and pulse amplitude of a pulse power source 3' is changed according to the difference signal between the detected signal and a set signal. The output of the pulse power source 3' is applied to the sample 1 via a capacitance element 4, and the DC component of the voltage added to the sample 1 in plasma treatment is controlled to a prescribed value to make the voltage for accelerating electrons or ions constant. Since the voltage for accelerating electrons or ions is controlled constant, the neutralization of charges in a groove bottom/hole bottom by accelerating electron, or the etching rate by accelerating ion can be regularly stably provided.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明はプラズマ処理方法および
装置に係り、特に試料へのバイアス印加を用いた処理に
好適なプラズマ処理方法および装置に関するものであ
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a plasma processing method and apparatus, and more particularly to a plasma processing method and apparatus suitable for processing using a bias applied to a sample.

【0002】[0002]

【従来の技術】RFバイアスの従来例を図5に示す。試
料1は試料台2上に載置されており、バイアス用高周波
電源3からは図6に示す高周波が出力され、コンデンサ
4を経由して正弦波状の電圧が印加される。処理室5内
には、処理ガスを流入しながら排気すると共に、プラズ
マ発生用高周波電源6からコイル7並びに絶縁体8を経
由して加えられる高周波電力によりプラズマが生成され
る。プラズマから試料に供給される電子の量が、正イオ
ンの量に比べて数十倍〜数百倍と多いため、コンデンサ
4の試料1側には負の電荷が蓄積される。この電荷のた
め、図7に示す様に負にシフトした電圧が基板上に表れ
る。この負電圧によってエッチング種である正イオンが
加速され、試料1に垂直に入射することにより垂直形状
のエッチングが可能となる。しかし、試料パターンが微
細化するにつれ、溝や穴の底面における正電荷のチャー
ジアップのため、次に述べる色々な問題点が出てきた。
2. Description of the Related Art A conventional example of RF bias is shown in FIG. The sample 1 is placed on the sample table 2, the high frequency power for bias 3 outputs the high frequency shown in FIG. 6, and a sinusoidal voltage is applied via the capacitor 4. The processing gas is exhausted while flowing into the processing chamber 5, and plasma is generated by the high frequency power applied from the high frequency power source 6 for plasma generation via the coil 7 and the insulator 8. Since the amount of electrons supplied from the plasma to the sample is as large as several tens to several hundreds times the amount of positive ions, negative charges are accumulated on the sample 1 side of the capacitor 4. Due to this charge, a negatively shifted voltage appears on the substrate as shown in FIG. This negative voltage accelerates the positive ions, which are the etching species, and makes them vertically incident on the sample 1 to enable vertical etching. However, as the sample pattern becomes finer, various problems described below arise due to the charge-up of positive charges on the bottoms of the grooves and holes.

【0003】[0003]

【発明が解決しようとする課題】図7の基板バイアス波
形では、電子が試料に入射する正のサイクルで電子を加
速するための正電圧がほとんど0になっているため、電
子はほとんど加速されず基板に入射する。
In the substrate bias waveform of FIG. 7, the positive voltage for accelerating the electrons in the positive cycle in which the electrons are incident on the sample is almost 0, so the electrons are hardly accelerated. Incident on the substrate.

【0004】このようなバイアス印加法を用いて微細パ
ターンの加工を行った場合、試料に局所的チャージアッ
プが生じる。イオンは加速されて試料に垂直入射するた
め微細パターンの底面まで達するのに対して、電子は加
速されず試料に等方的に入射するため微細パターンでは
マスクに遮られて底面まで到達することができない(電
子シェーディング現象)。このため微細パターンの側面
が負にチャージアップし底面が正にチャージアップす
る。
When a fine pattern is processed using such a bias application method, local charge-up occurs in the sample. Ions are accelerated and vertically enter the sample to reach the bottom surface of the fine pattern, whereas electrons are not accelerated and areotropically incident on the sample, so that the fine pattern is blocked by the mask and reaches the bottom surface. Not possible (electronic shading phenomenon). Therefore, the side surface of the fine pattern is charged up negatively and the bottom surface is charged up positively.

【0005】この電子シェーディングによるチャージア
ップは、プラズマエッチングにおいて様々な弊害をもた
らしている。その最も重大な問題の一つがゲート用ポリ
シリコン加工における局所異状サイドエッチ(ノッチ)
の発生である。
The charge-up caused by the electron shading causes various problems in plasma etching. One of the most serious problems is local abnormal side etch (notch) in polysilicon processing for gate.
Is the occurrence of.

【0006】また、電子シェーディング現象によるチャ
ージアツプはメタル配線の加工においても発生し、ゲー
ト酸化膜にダメージを生じさせる。電子シェーディング
によって微細パターンの底面に生じた正電荷はメタル配
線につながっているフローティングゲートに集められ、
フローティングゲートと基板シリコンの間のゲート絶縁
膜に絶縁破壊等のダメージを発生させる。
Further, charge-up due to the electron shading phenomenon occurs also in the processing of metal wiring, and causes damage to the gate oxide film. Positive charges generated on the bottom surface of the fine pattern by electron shading are collected in the floating gate connected to the metal wiring,
Damage such as dielectric breakdown occurs in the gate insulating film between the floating gate and the substrate silicon.

【0007】この他にも、電子シェーディング現象によ
るチャージアップはトレンチやコンタクトホール等の微
細孔エッチングにおいても問題となっており、サブトレ
ンチやボーイング等の異状形状を発生される原因になっ
ている。ポリシリコンのエッチング場合と同様、孔の側
面が負に、孔の底面が正に、それぞれチャージアップす
る。このチャージアップによってエッチング種であるイ
オンの軌道が曲げられ、イオンは孔の側面や孔底の端部
に入射するようになる。このため、孔側面や孔底面端部
がエッチングされ、ボーイングやサブトレンチ等の異状
形状が発生する。
In addition to this, the charge-up due to the electron shading phenomenon is a problem in etching fine holes such as trenches and contact holes, which causes irregular shapes such as sub-trench and bowing. As in the case of etching polysilicon, the side surface of the hole is negatively charged and the bottom surface of the hole is positively charged. Due to this charge-up, the orbits of ions, which are etching species, are bent, and the ions are incident on the side surface of the hole and the end of the hole bottom. For this reason, the side surface of the hole and the end of the bottom surface of the hole are etched, and irregular shapes such as bowing and sub-trench are generated.

【0008】本発明は、電子シェーディング現象を解消
し、電子シェーディング現象に起因するノッチ、チャー
ジアップダメージ、ボーイング、サブトレンチ、マイク
ロローディング、穴深さ方向のエッチングレート低下等
の諸問題を解決する。
The present invention eliminates the electron shading phenomenon and solves various problems caused by the electron shading phenomenon such as notch, charge-up damage, bowing, sub-trench, microloading, and reduction of etching rate in the hole depth direction.

【0009】本発明の目的は、微細パターンの形状制御
性の改善や深溝/深穴におけるエッチレート低下の改善
を行い、所望のエッチング形状を得ることのできるプラ
ズマ処理方法および装置を提供することにある。
It is an object of the present invention to provide a plasma processing method and apparatus capable of obtaining a desired etching shape by improving the shape controllability of a fine pattern and improving the etching rate reduction in deep grooves / deep holes. is there.

【0010】[0010]

【課題を解決するための手段】本発明は、試料の載置手
段と、試料の載置手段を内蔵する処理室と、処理室内に
ガスを供給する手段と、処理室内を排気する手段と、処
理室内にプラズマを発生する手段とを具備したプラズマ
処理装置において、試料に加わる電圧もしくはこれとほ
ぼ等価な電圧の直流分を検知する手段と、検知手段で検
知された信号と設定信号との差信号により、パルス幅、
パルス周期もしくはパルス振幅のうちの少なくとも1つ
を変化させる電子加速機能を有するパルス性電源と、パ
ルス性電源の出力を容量素子を経由して試料に印加する
手段とを設けた装置とし、減圧下でガスをプラズマ化
し、該プラズマを用いて処理室内に配置した試料を処理
するプラズマ処理方法において、試料に加わる電圧の直
流分を検知し、該検知された信号と設定信号との差信号
により、電子加速のためのパルス電源のパルス幅、パル
ス周期もしくはパルス振幅のうちの少なくとも1つを変
化させ、該パルス電源の出力を容量素子を経由して試料
に印加し、プラズマ処理中の前記試料に加わる電圧の直
流分を所定値に制御する方法とすることにより、達成さ
れる。
According to the present invention, there is provided a sample placing means, a processing chamber containing the sample placing means, a means for supplying gas into the processing chamber, and a means for exhausting the processing chamber. In a plasma processing apparatus equipped with a means for generating plasma in a processing chamber, a means for detecting a DC component of a voltage applied to a sample or a voltage substantially equivalent thereto, and a difference between a signal detected by the detecting means and a setting signal. Depending on the signal, pulse width,
An apparatus provided with a pulsed power source having an electron acceleration function for changing at least one of a pulse period or a pulse amplitude, and means for applying the output of the pulsed power source to a sample via a capacitive element, under reduced pressure. In the plasma processing method of plasmaizing the gas with, and processing the sample placed in the processing chamber using the plasma, the direct current component of the voltage applied to the sample is detected, and by the difference signal between the detected signal and the setting signal, At least one of the pulse width, pulse period, or pulse amplitude of the pulse power supply for electron acceleration is changed, and the output of the pulse power supply is applied to the sample via the capacitive element, and the sample is being plasma-processed. This is achieved by adopting a method of controlling the direct current component of the applied voltage to a predetermined value.

【0011】[0011]

【作用】本発明において、試料にパルスバイアス電圧が
印加されるので電子が加速されて、微細パターンの底面
まで到達でき、底面における正電荷のチャージアップを
防止してノッチ等の発生を防止すると共に、試料に加わ
る電圧の直流分を検知しながら設定値との差がなくなる
様、パルス周期、パルス幅、パルス振幅等を制御するた
め、電子加速電圧やイオン加速電圧が所定値に制御さ
れ、常に安定した特性を得ることができる。
In the present invention, since the pulse bias voltage is applied to the sample, the electrons are accelerated and can reach the bottom surface of the fine pattern, and the positive charge on the bottom surface is prevented from being charged up to prevent the occurrence of notches and the like. , To control the pulse period, pulse width, pulse amplitude, etc. so that the difference from the set value disappears while detecting the DC component of the voltage applied to the sample, the electron accelerating voltage and ion accelerating voltage are controlled to a predetermined value, and always Stable characteristics can be obtained.

【0012】[0012]

【実施例】以下、本発明の一実施例を図1及び図2によ
り説明する。図1は、本発明のプラズマ処理装置のバイ
アス電圧が印加される電極部分を示す構成図である。本
図の電極部分は、例えば、図5に示した従来装置の試料
台部分に代えて設けられる。本図において図5と同符号
は同一部材を示す。本実施例は、図5の従来構成のプラ
ズマ処理装置のバイアス用高周波電源3に代えて、図1
に示すバイアス用パルス電源3′を用いる。バイアス用
パルス電源3′は図2(a)に示す様に正方向のパルスを
周期的に出力する。このパルスを容量成分4を経由して
試料1に加えた場合、試料1には図2(b)に示す様な試
料バイアス電圧が印加される。ここでτwはパルス幅、
Tはパルス周期、Vplsはパルス振幅、Vpはプラズマポ
テンシャル、VDCは試料1に加わる電圧の直流成分であ
る。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS One embodiment of the present invention will be described below with reference to FIGS. FIG. 1 is a configuration diagram showing an electrode portion to which a bias voltage is applied in the plasma processing apparatus of the present invention. The electrode part in this figure is provided, for example, in place of the sample stage part of the conventional apparatus shown in FIG. In this figure, the same symbols as those in FIG. 5 indicate the same members. In this embodiment, instead of the bias high frequency power source 3 of the plasma processing apparatus having the conventional configuration shown in FIG.
The bias pulse power source 3'shown in FIG. The bias pulse power supply 3'periodically outputs a pulse in the positive direction as shown in FIG. When this pulse is applied to the sample 1 via the capacitance component 4, a sample bias voltage as shown in FIG. 2B is applied to the sample 1. Where τw is the pulse width,
T is the pulse period, Vpls is the pulse amplitude, Vp is the plasma potential, and V DC is the DC component of the voltage applied to the sample 1.

【0013】τw及びVplsを一定の下、パルス周期Tを
変化した時のVDCの変化を図2(c)に示す。(τw/
T)≪1の時、VDC≒0volt。VDC>−10voltの領域
はイオンの加速が不足するため、垂直形状が得られにく
く、またエッチングレートも低いため実用には好ましく
ない領域である。
FIG. 2 (c) shows the change in V DC when the pulse period T is changed while keeping τw and Vpls constant. (Τw /
When T) << 1, V DC ≈0 volt. In the region of VDC > -10 volt, the acceleration of ions is insufficient, so that it is difficult to obtain a vertical shape, and the etching rate is low, which is not preferable for practical use.

【0014】また、VDC<Vp−Vpls+5なる領域は電
子の加速が5V以下のため、深溝や深穴の底面迄電子が
届きにくい。このため、電子加速による形状の改善や深
溝/穴でのエッチングレート低下を改善しにくい領域で
ある。
Further, in the region of VDC <Vp-Vpls + 5, since the acceleration of electrons is 5 V or less, it is difficult for the electrons to reach the bottom surface of the deep groove or deep hole. Therefore, it is a region in which it is difficult to improve the shape due to electron acceleration and the reduction in the etching rate in the deep groove / hole.

【0015】好ましい特性が得られる部分は、 −10volt>VDC>Vp−Vpls+5volt の部分であるが、図2(c)に示す通りこの部分ではパル
ス周期T等の少ない変化に対してもVDCは大きく変化す
る。また、プラズマの性質が少し変化してもVDCが大き
く変化する欠点がある。VDCの絶対値はイオン加速の平
均エネルギーに比例しており、試料1に電子電流が流入
する期間の平均電子加速エネルギーはVpls−Vp+VDC
にほぼ比例しており、この値が5volt以上で深穴や溝底
面での電荷中和効果がでてきて、この値が10volt以上
で上記効果が顕著になる。
The portion where preferred properties are obtained, -10volt> V DC> Vp- Vpls + 5volt is a part of, V DC against small changes in such as a pulse period T are as this portion shown in FIG. 2 (c) Changes greatly. Further, there is a drawback that V DC changes greatly even if the plasma properties change a little. The absolute value of VDC is proportional to the average energy of ion acceleration, and the average electron acceleration energy during the period when the electron current flows into the sample 1 is Vpls−Vp + VDC.
Is almost proportional to the above, and when this value is 5 volt or more, the charge neutralizing effect at the bottom of the deep hole or groove appears, and when this value is 10 volt or more, the above effect becomes remarkable.

【0016】尚、Vpls−Vp+VDCの値が200Volt程
度以上では、レジスト膜の削れが大きくなる等の逆効果
が顕著になる。Vpls−Vp+VDCの値は前途の値の範囲
内で試料の材質、パターン、穴のアスペクト比等に合わ
せて最適化する。
When the value of Vpls-Vp + VDC is about 200 Volt or more, the adverse effect such as large abrasion of the resist film becomes remarkable. The value of Vpls−Vp + VDC is optimized within the range of the above values according to the material of the sample, the pattern, the aspect ratio of the hole, and the like.

【0017】このため、VDCが変動するとイオンや電子
の加速エネルギーが変化するため、エッチングレートや
溝/穴底での電子の中和効果が大幅に変化する。
Therefore, when V DC changes, the acceleration energy of ions and electrons changes, so that the etching rate and the effect of neutralizing electrons at the groove / hole bottom change significantly.

【0018】これを改善するには、図1(処理室の他の
部分は図5と同じ)に示す様に試料台2に加わる電圧を
低域通過フィルタ10を介し、VDC信号としてバイアス
用パルス電源3′にフィードバックし、試料1に加わる
電圧の直流成分であるVDCが常に一定になる様制御す
る。このようにすることにより、プラズマ等が変動して
も常に一定のVDCが試料に加わるため、電子加速電圧や
イオン加速電圧が所定値に制御され、安定した処理が可
能となる。
In order to improve this, as shown in FIG. 1 (the other parts of the processing chamber are the same as those in FIG. 5), the voltage applied to the sample stage 2 is biased as a V DC signal through the low pass filter 10. The voltage is fed back to the pulse power supply 3 ', and control is performed so that the DC component of the voltage applied to the sample 1, VDC, is always constant. By doing so, a constant VDC is always applied to the sample even if the plasma or the like fluctuates, so that the electron accelerating voltage and the ion accelerating voltage are controlled to predetermined values, and stable processing becomes possible.

【0019】試料1と試料台2間が導通している場合に
は、図1に示す方法にて試料1に加わるVDCの検知が可
能であるが、図3(処理室の他の部分は図5と同じ)に
示す様に試料1と試料台2間に絶縁物11が存在する場
合(例えば静電吸着機能付試料台等)には、試料1と直
接接触する電極12を設置し、この信号を低域通過フィ
ルタを介してVDC信号を得ることにより、図1と同様に
フィードバック制御が出来る。図3で11は静電吸着用
絶縁物、13は静電吸着用直流電源である。
When the sample 1 and the sample table 2 are electrically connected to each other, the VDC applied to the sample 1 can be detected by the method shown in FIG. When an insulator 11 exists between the sample 1 and the sample table 2 (same as in FIG. 5) (for example, a sample table with an electrostatic adsorption function), an electrode 12 that directly contacts the sample 1 is installed, By obtaining a V DC signal from this signal through a low pass filter, feedback control can be performed as in FIG. In FIG. 3, 11 is an electrostatic attraction insulator, and 13 is an electrostatic attraction DC power supply.

【0020】尚、試料の裏面が絶縁物で覆われている場
合には、図6の方法でもVDCを測定できない。この場合
には、プラズマと接する部分に導体を設置し、この導体
と試料台とを容量的に結合させると共に、この導体を低
域通過フィルタに接続すれば、試料とほぼ等しいVDC
得ることができる。
If the back surface of the sample is covered with an insulator, V DC cannot be measured even by the method shown in FIG. In this case, if a conductor is installed at the portion in contact with the plasma, the conductor and the sample stand are capacitively coupled, and this conductor is connected to a low-pass filter, then V DC that is approximately equal to that of the sample can be obtained. You can

【0021】フィードバック制御が可能なバイアス用パ
ルス電源3′の一例を図4に示す。
FIG. 4 shows an example of the bias pulse power source 3'that can be feedback controlled.

【0022】VDCの設定値(設定信号1)とVDCのモニ
タ値(モニタ信号)との差を差動増幅器3−1で増幅
し、電圧制御発振器3−2に入力し、パルス周期Tを設
定する。その後、設定信号2の指示によりパルス幅発生
器3−3により所定のパルス幅τwを生成し、設定信号
3の指示により増幅器3−4により所定のパルス振幅V
plsに増幅して出力する。このバイアス用パルス電源
3′を用いることにより、試料1に加わる電圧の直流成
分VDCが常に設定に等しくなる様、パルスの周期Tが自
動的に制御される。尚、VDC等を常に一定にさせる為に
制御するパラメータとしては図4の例の外に、パルス幅
τwを制御したり、パルス振幅Vplsを制御してもよい。
但し、イオン加速エネルギーや電子加速エネルギーを一
定に保つ上では、パルス周期やパルス幅を制御する方が
好ましい。
The difference between the set value of VDC (setting signal 1) and the monitor value of VDC (monitor signal) is amplified by the differential amplifier 3-1 and input to the voltage controlled oscillator 3-2, and the pulse period T To set. Then, a predetermined pulse width τw is generated by the pulse width generator 3-3 according to the instruction of the setting signal 2, and a predetermined pulse amplitude V is generated by the amplifier 3-4 according to the instruction of the setting signal 3.
Amplify to pls and output. By using this bias pulse power supply 3 ', the pulse period T is automatically controlled so that the DC component V DC of the voltage applied to the sample 1 is always equal to the setting. As a parameter for controlling V DC or the like to be always constant, the pulse width τw or the pulse amplitude Vpls may be controlled in addition to the example of FIG.
However, in order to keep the ion acceleration energy and the electron acceleration energy constant, it is preferable to control the pulse period and pulse width.

【0023】尚、通常のプラズマでは、 0.01μs<τw<10μs 好ましくは、0.03μs<τw<0.5μs 1/103<(τw/T)<1/10 好ましくは3/103<(τw/T)<5/102 Vp+15volt<Vpls −10volt<VDC<Vp−Vpls+5volt Vp≒10〜20volt 尚、本実施例では図1に示しす誘導結合した高周波によ
りプラズマを発生させる場合について述べたが、本発明
はプラズマの発生方法によらず、例えば、マイクロ波プ
ラズマ、高周波+直流磁場によるプラズマ、ECRプラ
ズマ等にも共通に適用できる。
For ordinary plasma, 0.01 μs <τw <10 μs, preferably 0.03 μs <τw <0.5 μs 1/10 3 <(τw / T) <1/10, preferably 3/10 3 < (Τw / T) <5/10 2 Vp + 15 volt <Vpls −10 volt <V DC <Vp−Vpls + 5 volt Vp≈10 to 20 volt In this embodiment, the case where plasma is generated by the inductively coupled high frequency shown in FIG. 1 will be described. However, the present invention can be commonly applied to, for example, microwave plasma, plasma by a high frequency + DC magnetic field, ECR plasma, etc., regardless of the plasma generation method.

【0024】尚、バイアス用パルス電源3′の出力波形
は図2(a)に示した波形に限定するものではない。交
流を重畳したもの、交流の振幅を変化させたもの、複数
パルスを用いたもの等においても、試料の表面電位がプ
ラズマポテンシャル(Vp)より5V以上高い電位にな
る電子加速期間の一周期中の総和(τw)及びその周期
(T)等が前途の条件を満たせば同様に本発明を適用で
きる。
The output waveform of the bias pulse power supply 3'is not limited to the waveform shown in FIG. 2 (a). Even in the case where the alternating current is superposed, the amplitude of the alternating current is changed, the case where a plurality of pulses are used, etc., the surface potential of the sample becomes higher than the plasma potential (Vp) by 5 V or more during one cycle of the electron acceleration period. The present invention can be similarly applied as long as the total sum (τw) and the period (T) thereof satisfy the above conditions.

【0025】以上本実施例によれば、試料に5V以上の
電子加速を行うパルスバイアス電源を設け、かつ試料に
加わる電圧の直流分VDCが、 −10volt<VDC<Vp−Vpls+5volt の範囲でかつ一定になる様にフィードバック制御するの
で、微細パターン底面における正電荷のチャージアップ
を中和し、ノッチの発生、マイクロローディング、深溝
/穴でのエッチレートの低下等を防止でき、かつ電子加
速電圧やイオン加速電圧が所定値に制御され、プラズマ
特性が少々変動しても常に安定した特性が得られる。こ
れにより、電子やイオンを加速する電圧が一定するの
で、加速電子による溝底/穴底での電荷の中和や、加速
イオンによるエッチングレートが常に安定して得られ
る。
As described above, according to this embodiment, the sample is provided with the pulse bias power source for accelerating the electron of 5 V or more, and the DC component VDC of the voltage applied to the sample is within the range of −10 volt <V DC <Vp−Vpls + 5volt. In addition, since feedback control is performed so as to be constant, it is possible to neutralize the charge-up of positive charges on the bottom surface of the fine pattern, prevent the occurrence of notches, microloading, and lowering of the etching rate in deep grooves / holes, and the electron acceleration voltage. The ion accelerating voltage is controlled to a predetermined value, and stable characteristics can always be obtained even if the plasma characteristics fluctuate slightly. As a result, the voltage for accelerating electrons and ions is constant, so that the neutralization of charges at the groove bottom / hole bottom by accelerated electrons and the etching rate by accelerated ions can always be obtained stably.

【0026】[0026]

【発明の効果】本発明によれば、試料に加わる電圧の直
流分VDCを一定にし、微細パターン底面における正電
荷のチャージアップを中和できるので、微細パターンの
形状制御性の改善や深溝/深穴におけるエッチレート低
下の改善が行え、所望のエッチング形状を得ることがで
きるという効果がある。
According to the present invention, since the direct current component VDC of the voltage applied to the sample can be made constant and the charge-up of positive charges on the bottom surface of the fine pattern can be neutralized, the shape controllability of the fine pattern and the deep groove / There is an effect that the reduction of the etching rate in the deep hole can be improved and a desired etching shape can be obtained.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のプラズマ処理装置に用いられる電極部
の一実施例を示す構成図である。
FIG. 1 is a configuration diagram showing an embodiment of an electrode unit used in a plasma processing apparatus of the present invention.

【図2】図1の装置のバイアス用パルス電源の出力波
形,試料に加わるバイアス電圧波形およびその特性を示
す図である。
FIG. 2 is a diagram showing an output waveform of a bias pulse power source of the apparatus of FIG. 1, a bias voltage waveform applied to a sample, and its characteristics.

【図3】本発明のプラズマ処理装置に用いられる電極部
の他の実施例を示す構成図である。
FIG. 3 is a configuration diagram showing another embodiment of an electrode unit used in the plasma processing apparatus of the present invention.

【図4】図1の装置のバイアス用パルス電源の一例を示
す構成図である。
4 is a configuration diagram showing an example of a bias pulse power source of the apparatus of FIG.

【図5】従来のプラズマ処理装置を示す図である。FIG. 5 is a diagram showing a conventional plasma processing apparatus.

【図6】図6におけるバイアス用高周波電源の出力波形
を示す図である。
FIG. 6 is a diagram showing an output waveform of the bias high frequency power source in FIG.

【図7】図6における試料に加わるバイアス電圧波形を
示す図である。
7 is a diagram showing a bias voltage waveform applied to the sample in FIG.

【符号の説明】[Explanation of symbols]

1…試料、2…試料台、3…バイアス用高周波電源、
3′…バイアス用パルス電源、4…容量素子、5…処理
室、6…プラズマ発生用高周波電源、7…コイル、8…
絶縁体、10…低域通過フィルタ、11…絶縁物、12
…電極、13…静電吸着用直流電源。
1 ... sample, 2 ... sample stage, 3 ... high frequency power supply for bias,
3 '... Bias pulse power supply, 4 ... Capacitance element, 5 ... Processing chamber, 6 ... Plasma generating high frequency power supply, 7 ... Coil, 8 ...
Insulator, 10 ... Low-pass filter, 11 ... Insulator, 12
... electrode, 13 ... DC power supply for electrostatic attraction.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】減圧下でガスをプラズマ化し、該プラズマ
を用いて処理室内に配置した試料を処理するプラズマ処
理方法において、 前記試料に加わる電圧の直流分を検知し、該検知された
信号と設定信号との差信号により、電子加速のためのパ
ルス電源のパルス幅、パルス周期もしくはパルス振幅の
うちの少なくとも1つを変化させ、該パルス電源の出力
を容量素子を経由して試料に印加し、プラズマ処理中の
前記試料に加わる電圧の直流分を所定値に制御すること
を特徴とするプラズマ処理方法。
1. A plasma processing method for converting a gas into plasma under a reduced pressure and processing a sample placed in a processing chamber using the plasma, wherein a direct current component of a voltage applied to the sample is detected, and the detected signal and At least one of the pulse width, pulse period, or pulse amplitude of the pulse power supply for electron acceleration is changed according to the difference signal from the setting signal, and the output of the pulse power supply is applied to the sample via the capacitive element. A plasma processing method, wherein the direct current component of the voltage applied to the sample during plasma processing is controlled to a predetermined value.
【請求項2】請求項1記載において、(パルス幅/パル
ス周期)の値を0.1以下とするプラズマ処理方法。
2. The plasma processing method according to claim 1, wherein the value of (pulse width / pulse period) is 0.1 or less.
【請求項3】請求項1記載において、前記試料に加わる
電圧の直流分を検知し、該検知された信号と設定信号と
の差信号により、電子加速のためのパルス電源のパルス
幅、もしくはパルス周期を変化させるプラズマ処理方
法。
3. The pulse width or pulse of a pulse power source for electron acceleration according to claim 1, wherein the direct current component of the voltage applied to the sample is detected, and the difference signal between the detected signal and the setting signal is used. A plasma processing method in which the cycle is changed.
【請求項4】試料の載置手段と、前記試料の載置手段を
内蔵する処理室と、前記処理室内にガスを供給する手段
と、前記処理室内を排気する手段と、前記処理室内にプ
ラズマを発生する手段とを具備したプラズマ処理装置に
おいて、 前記試料に加わる電圧もしくはこれとほぼ等価な電圧の
直流分を検知する手段と、該検知手段で検知された信号
と設定信号との差信号により、パルス幅、パルス周期も
しくはパルス振幅のうちの少なくとも1つを変化させる
電子加速機能を有するパルス性電源と、該パルス性電源
の出力を容量素子を経由して試料に印加する手段とを設
けたことを特徴とするプラズマ処理装置。
4. A sample placing means, a processing chamber containing the sample placing means, a means for supplying a gas into the processing chamber, a means for exhausting the processing chamber, and a plasma in the processing chamber. In the plasma processing apparatus including means for generating, a means for detecting a DC component of the voltage applied to the sample or a voltage substantially equivalent thereto, and a difference signal between the signal detected by the detecting means and the setting signal. A pulsed power supply having an electron acceleration function for changing at least one of pulse width, pulse period or pulse amplitude, and means for applying the output of the pulsed power supply to a sample via a capacitive element. A plasma processing apparatus characterized by the above.
JP07177086A 1995-07-13 1995-07-13 Plasma processing method and apparatus Expired - Fee Related JP3085151B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP07177086A JP3085151B2 (en) 1995-07-13 1995-07-13 Plasma processing method and apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP07177086A JP3085151B2 (en) 1995-07-13 1995-07-13 Plasma processing method and apparatus

Publications (2)

Publication Number Publication Date
JPH0927399A true JPH0927399A (en) 1997-01-28
JP3085151B2 JP3085151B2 (en) 2000-09-04

Family

ID=16024889

Family Applications (1)

Application Number Title Priority Date Filing Date
JP07177086A Expired - Fee Related JP3085151B2 (en) 1995-07-13 1995-07-13 Plasma processing method and apparatus

Country Status (1)

Country Link
JP (1) JP3085151B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09199475A (en) * 1996-01-16 1997-07-31 Gijutsu Kenkyu Kumiai Shinjoho Shiyori Kaihatsu Kiko Ion beam etching device
US6790766B2 (en) 2002-03-20 2004-09-14 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device having low dielectric constant insulator film
JP2010504614A (en) * 2006-09-20 2010-02-12 ラム リサーチ コーポレーション Method and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
JP2019197890A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage
WO2024062804A1 (en) * 2022-09-21 2024-03-28 東京エレクトロン株式会社 Plasma processing device and plasma processing method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09199475A (en) * 1996-01-16 1997-07-31 Gijutsu Kenkyu Kumiai Shinjoho Shiyori Kaihatsu Kiko Ion beam etching device
US6790766B2 (en) 2002-03-20 2004-09-14 Sanyo Electric Co., Ltd. Method of fabricating semiconductor device having low dielectric constant insulator film
JP2010504614A (en) * 2006-09-20 2010-02-12 ラム リサーチ コーポレーション Method and apparatus for measuring and controlling wafer potential in pulsed RF bias processing
JP2019197890A (en) * 2018-05-10 2019-11-14 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Method of controlling ion energy distribution using pulse generator with current-return output stage
WO2024062804A1 (en) * 2022-09-21 2024-03-28 東京エレクトロン株式会社 Plasma processing device and plasma processing method

Also Published As

Publication number Publication date
JP3085151B2 (en) 2000-09-04

Similar Documents

Publication Publication Date Title
KR102167957B1 (en) Material modification and selective etching using RF pulsing
KR100389642B1 (en) Surface Treatment Method and Surface Treatment Equipment
US6201208B1 (en) Method and apparatus for plasma processing with control of ion energy distribution at the substrates
US5779925A (en) Plasma processing with less damage
KR890004882B1 (en) Dry etching method and device thereof
US5607542A (en) Inductively enhanced reactive ion etching
US7713430B2 (en) Using positive DC offset of bias RF to neutralize charge build-up of etch features
KR101860182B1 (en) A method of controlling the switched mode ion energy distribution system
US8545670B2 (en) Plasma processing apparatus and plasma processing method
KR101982364B1 (en) Negative ion control for dielectric etch
CN111819664A (en) Control method and plasma processing apparatus
KR19980024265A (en) Plasma treatment method and plasma treatment apparatus
JP2000311890A (en) Plasma etching method and device
US20230143453A1 (en) Dc plasma control for electron enhanced material processing
US7507672B1 (en) Plasma etching system and method
JP3085151B2 (en) Plasma processing method and apparatus
JPH08255782A (en) Plasma surface treating apparatus
JP3278732B2 (en) Etching apparatus and etching method
JPH08241885A (en) Method and apparatus for surface treating
KR100234902B1 (en) Plasma treatment apparatus in a cvd
JP6019203B2 (en) Plasma processing equipment
JP3201223B2 (en) Plasma processing method and apparatus
JP5846851B2 (en) Plasma processing method
JP2985230B2 (en) Plasma equipment
KR20240090877A (en) Ion energy control for electrodes in a plasma reactor

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees