JPH09148304A - Dry etching process - Google Patents

Dry etching process

Info

Publication number
JPH09148304A
JPH09148304A JP29995795A JP29995795A JPH09148304A JP H09148304 A JPH09148304 A JP H09148304A JP 29995795 A JP29995795 A JP 29995795A JP 29995795 A JP29995795 A JP 29995795A JP H09148304 A JPH09148304 A JP H09148304A
Authority
JP
Japan
Prior art keywords
etching
film
silicon substrate
trench
dry etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP29995795A
Other languages
Japanese (ja)
Other versions
JP3444058B2 (en
Inventor
Shingo Kadomura
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP29995795A priority Critical patent/JP3444058B2/en
Publication of JPH09148304A publication Critical patent/JPH09148304A/en
Application granted granted Critical
Publication of JP3444058B2 publication Critical patent/JP3444058B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To attain a high dry etching efficiency of a polishing stop layer and trench in a trench etching process of shallow trench isolation which is intended to flatten an insulating film based on chemical-mechanical polishing(CMP). SOLUTION: A polishing stop layer 4 is made up of a polysilicon film 3 as its upper film and a silicon nitride (Si-N) film 2 as its lower film, the latter film is etched usually with use of a fluorine etchant. When a chlorine or bromine etchant, however, is generated in such a high-concentration plasma system that produces an ion current density as high as 5mA/cm<2> or, more the SiN can be etched, whereby a series of etching operations starting with the etching of the polishing stop layer 4 and ending in the formation of trenches 8 in a silicon substrate 1 can be continuously carried out within an identical chamber, thus leading to an improved throughput in fabrication of a semiconductor device and also to low costs thereof.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は半導体デバイス製造
等の微細加工分野に適用されるドライエッチング方法に
関し、特に化学機械研磨(CMP)法による絶縁膜埋込
みを前提とするシャロー・トレンチ・アイソレーション
において、研磨停止層とトレンチを形成するためのエッ
チングを効率良く行う方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied to the field of fine processing such as semiconductor device manufacturing, and particularly in shallow trench isolation which is premised on filling an insulating film by a chemical mechanical polishing (CMP) method. , A method for efficiently performing etching for forming a polishing stopper layer and a trench.

【0002】[0002]

【従来の技術】シリコン(Si)基板上において多数の
素子形成領域を互いに電気的に分離するための素子分離
領域を形成する素子分離技術は、半導体デバイス製造の
基礎となるプロセス技術のひとつである。たとえば、大
容量メモリ・デバイスにおいては、素子分離領域の幅
(すなわち素子分離幅)が実質的にメモリ・セルの寸
法、ひいては集積度を決定する要因となっている。ま
た、素子分離領域は、その形状に応じた電界集中、ある
いはその形成時に生じた基板残留ストレスに起因する接
合リーク電流等、回路特性にも大きな影響を与える。し
たがって素子分離技術は、寸法、形状、回路特性等のあ
らゆる観点から検討される必要がある。
2. Description of the Related Art An element isolation technique for forming an element isolation region for electrically isolating a large number of element formation regions from each other on a silicon (Si) substrate is one of the process techniques that are the basis of semiconductor device manufacturing. . For example, in a large-capacity memory device, the width of the element isolation region (that is, the element isolation width) is a factor that substantially determines the size of the memory cell and thus the degree of integration. In addition, the element isolation region has a great influence on the circuit characteristics such as electric field concentration according to its shape, or junction leakage current caused by substrate residual stress generated at the time of its formation. Therefore, the element isolation technique needs to be studied from all aspects such as size, shape, and circuit characteristics.

【0003】素子分離方法としては、従来よりLOCO
S(LOCal Oxidation of Silicon)法が広く行われてき
たが、近年これに代わり、シャロー・トレンチ・アイソ
レーションが関心を集めている。これは、シリコン基板
にトレンチ(溝)を掘り、このトレンチを絶縁膜(典型
的にはSiOx膜)で埋め込み、さらに基体の表面を平
坦化する方法である。この方法によれば、LOCOS法
の場合のように素子分離領域にバーズビークが生じない
ので、基板水平方向の高集積化に有利であり、トレンチ
の深さで素子分離領域の厚さを任意に設定できるために
フィールド反転電圧が確保し易く、また拡散層間の実質
距離を大きく確保できることからパンチスルー耐性が向
上するといったメリットが得られる。また、斜め回転イ
オン注入技術を用いてトレンチの側壁面と底面への不純
物を打ち分ければ、サブスレッショルド特性の向上、あ
るいは接合リークや接合容量の低減を図ることもでき
る。
A conventional element isolation method is LOCO.
The S (LOCal Oxidation of Silicon) method has been widely used, but in recent years, the shallow trench isolation has been attracting attention as an alternative. This is a method in which a trench is formed in a silicon substrate, the trench is filled with an insulating film (typically a SiOx film), and the surface of the substrate is flattened. According to this method, unlike the LOCOS method, bird's beaks do not occur in the element isolation region, which is advantageous for higher integration in the horizontal direction of the substrate, and the thickness of the element isolation region can be arbitrarily set by the depth of the trench. Therefore, it is easy to secure the field inversion voltage, and the substantial distance between the diffusion layers can be secured, so that the punch-through resistance is improved. Further, if the impurities are selectively implanted into the side wall surface and the bottom surface of the trench by using the oblique rotation ion implantation technique, the subthreshold characteristic can be improved or the junction leakage and the junction capacitance can be reduced.

【0004】このように多くのメリットを有するシャロ
ー・トレンチ・アイソレーションであるが、その工程の
複雑さ等、解決すべき問題も多く、なかなか実用化には
至らなかった。ところが最近、この問題のひとつである
絶縁膜による平坦な溝の埋込みが、化学機械研磨(CM
P)法により解決される見通しが出てきている。CMP
法とは、研磨布を張着した定盤と研磨ヘッドに保持され
た基板とを当接させ、両者の接触界面に研磨剤を含有す
るスラリーを供給しながら定盤と研磨ヘッドの双方を回
転させることにより、基板の表面を平坦化する技術であ
る。
Although the shallow trench isolation has many merits as described above, it has not been put to practical use since there are many problems to be solved such as the complexity of the process. However, recently, the filling of a flat groove with an insulating film, which is one of the problems, is one of chemical mechanical polishing (CM
P) method is expected to be resolved. CMP
In this method, the surface plate on which the polishing cloth is attached is brought into contact with the substrate held by the polishing head, and both the surface plate and the polishing head are rotated while supplying the slurry containing the abrasive to the contact interface between the two. This is a technique for flattening the surface of the substrate.

【0005】CMP法では、研磨をどの地点で停止させ
るか、また研磨の終点をどのように判定するかが、達成
される平坦化度の決め手となる。この研磨停止と終点判
定を容易に行う手法として、図5に示されるような研磨
停止層4を用いる技術が知られている。この図は、シリ
コン基板1(Si)にトレンチ8が形成され、該トレン
チ8以外の基板表面が研磨停止層4で被覆された状態を
示している。この研磨停止層4は、窒化シリコン膜2
(SiN)とポリシリコン膜3(polySi)とがこ
の順に積層されたものである。
In the CMP method, the point at which polishing is stopped and how to determine the end point of polishing are decisive factors for the degree of flatness to be achieved. A technique using a polishing stopper layer 4 as shown in FIG. 5 is known as a method for easily performing the polishing stop and the end point determination. This figure shows a state in which a trench 8 is formed in a silicon substrate 1 (Si) and the substrate surface other than the trench 8 is covered with a polishing stopper layer 4. The polishing stopper layer 4 is formed of the silicon nitride film 2
(SiN) and the polysilicon film 3 (polySi) are laminated in this order.

【0006】図6は、上記のトレンチ8に酸化シリコン
膜9(SiOx)が埋め込まれた状態を示している。こ
の酸化シリコン膜9は、狭いトレンチの奥深くまで均一
に埋め込まれる必要があることから、近年ではECR
(電子サイクロトロン共鳴)プラズマやICP(誘導結
合)プラズマ等の高密度プラズマを用いたCVDによ
り、堆積過程とスパッタエッチング過程とを競合的に進
行させながら成膜される。このときの酸化シリコン膜9
は、トレンチ8のエッジを覆う部分が削り落とされなが
ら成長するため、最終的にトレンチ以外の平坦部に図示
されるような突起が発生したものとなる。
FIG. 6 shows a state in which the trench 8 is filled with a silicon oxide film 9 (SiOx). Since the silicon oxide film 9 needs to be uniformly buried deep in a narrow trench, in recent years, ECR has been performed.
By CVD using high-density plasma such as (electron cyclotron resonance) plasma and ICP (inductive coupling) plasma, a film is formed while competitively advancing the deposition process and the sputter etching process. Silicon oxide film 9 at this time
In the above, the portion covering the edge of the trench 8 grows while being scraped off, so that a protrusion as shown in the figure finally occurs in the flat portion other than the trench.

【0007】かかる突起の除去も含めて酸化シリコン膜
9を平坦化するためにCMPを行うと、図7に示される
ようにポリシリコン膜3が露出した時点で、研磨の終点
が近づいたことを基体表面の色変化から判断することが
できる。これは、酸化シリコン膜9や窒化シリコン膜2
が透明であるのに対し、ポリシリコン膜3が褐色を帯び
ているからである。さらに研磨を続けると、図8に示さ
れるように、ポリシリコン膜3よりも研磨レートの低い
窒化シリコン膜2が露出するので、この時点で制御性良
くCMPを終了させることができる。
When CMP is performed to flatten the silicon oxide film 9 including removal of the protrusions, the polishing end point is approached when the polysilicon film 3 is exposed as shown in FIG. It can be judged from the color change of the substrate surface. This is the silicon oxide film 9 or the silicon nitride film 2.
Is transparent, whereas the polysilicon film 3 has a brown color. When the polishing is further continued, as shown in FIG. 8, the silicon nitride film 2 having a lower polishing rate than the polysilicon film 3 is exposed, so that the CMP can be finished at this point with good controllability.

【0008】[0008]

【発明が解決しようとする課題】ところで、上述のよう
な研磨停止層4を利用してCMPを行うためには、その
前段階であるトレンチ加工において、前出の図5からも
明らかなように、ポリシリコン/窒化シリコン/(単結
晶)シリコンというエッチング特性の異なる3種類の材
料を共通パターンにてエッチングする必要がある。
By the way, in order to carry out CMP using the polishing stopper layer 4 as described above, as is clear from FIG. , Polysilicon / silicon nitride / (single crystal) silicon, which have different etching characteristics, must be etched in a common pattern.

【0009】従来は、図1に示されるように、まず研磨
停止層4の上に所定の開口6を有するレジスト・パター
ン5(PR)を形成し、以降、上記3種類の材料を複数
のプラズマ装置のチャンバ間で基板を搬送しながら順次
ドライエッチングしている。すなわち、まず基板をゲー
ト電極加工用のエッチング装置に搬入し、図2に示され
るように塩素系ガスを用いてポリシリコン膜3をドライ
エッチングし、次に基板を絶縁膜加工用のエッチング装
置に搬入し、図3に示されるようにフルオロカーボン系
ガスを用いて窒化シリコン膜2をドライエッチングし、
さらに再び基板をゲート電極加工用のエッチング装置に
戻し、図4に示されるように塩素系ガスを用いてシリコ
ン基板1をドライエッチングする、といった手順を踏
む。
Conventionally, as shown in FIG. 1, first, a resist pattern 5 (PR) having a predetermined opening 6 is formed on the polishing stopper layer 4, and thereafter, the above three types of materials are used for a plurality of plasmas. Dry etching is sequentially performed while transferring the substrate between the chambers of the apparatus. That is, first, the substrate is carried into an etching apparatus for processing a gate electrode, the polysilicon film 3 is dry-etched using a chlorine-based gas as shown in FIG. 2, and then the substrate is changed to an etching apparatus for processing an insulating film. After carrying in, the silicon nitride film 2 is dry-etched using a fluorocarbon-based gas as shown in FIG.
Further, the substrate is returned to the etching device for processing the gate electrode again, and the silicon substrate 1 is dry-etched using a chlorine-based gas as shown in FIG.

【0010】しかし、このように各材料ごとにエッチン
グ装置を変更する方法では、スループットやパーティク
ル・レベルの悪化を招く他、複数のエッチング装置を要
することやクリーンルーム内の装置占有面積が増大する
ことによるコスト効率の低下を招く虞れが大きい。複数
のチャンバが真空搬送路で相互に接続されたマルチチャ
ンバ装置を用いれば、パーティクルの問題はある程度解
決されるが、装置全体の大型化はやはり免れない。そこ
で本発明は、かかる問題を解決し、シャロー・トレンチ
・アイソレーションにおいて、研磨停止層とトレンチを
形成するためのエッチングを効率良く行う方法を提供す
ることを目的とする。
However, in such a method of changing the etching apparatus for each material, the throughput and the particle level are deteriorated, a plurality of etching apparatuses are required, and the area occupied by the apparatus in the clean room increases. There is a great risk of cost efficiency being reduced. If a multi-chamber device in which a plurality of chambers are connected to each other by a vacuum transfer path is used, the problem of particles can be solved to some extent, but the size of the entire device is still inevitable. It is therefore an object of the present invention to solve such a problem and to provide a method for efficiently performing etching for forming a polishing stopper layer and a trench in shallow trench isolation.

【0011】[0011]

【課題を解決するための手段】本発明は、CMPによる
絶縁膜埋め込みを前提とするシャロー・トレンチ・アイ
ソレーション用のトレンチ・エッチングを行うに際し、
ポリシリコン膜や単結晶シリコン基板といったシリコン
系材料膜(あるいは基板)と、これらとはドライエッチ
ング特性の異なる窒化シリコン膜とを、塩素系化学種ま
たは臭素系化学種を共通エッチャントとしてドライエッ
チングすることで、上述の目的を達成しようとするもの
である。すなわち、エッチャントの共通化を図ることに
より、エッチング装置さらにはエッチング・チャンバの
共通化も図り、プロセスの効率化を実現する。本発明で
用いるエッチング装置としては、少なくとも5mA/c
2 のイオン電流密度を達成可能な、いわゆる高密度プ
ラズマ・エッチング装置が特に適している。また、上記
エッチングに用いるエッチング・ガスは塩素系ガスまた
は臭素系ガスの少なくともいずれかを主体とするもので
あるが、前記ポリシリコン膜と前記シリコン基板のエッ
チング時のみO2 ガスを含有させると良い。
The present invention is based on CMP.
Shallow trench eye based on embedded insulating film
When performing trench etching for solation,
Silicon such as polysilicon film and single crystal silicon substrate
Dry etching between the system material film (or substrate) and these
And silicon nitride films with different
Or bromine-based species as a common etchant
By trying to achieve the above objectives
It is. In other words, to make the etchants common
Of the etching equipment and the etching chamber
Achieve common processes to improve process efficiency. In the present invention
The etching device used should be at least 5 mA / c
m Two The so-called high-density
Plasma etching equipment is particularly suitable. Also,
The etching gas used for etching is chlorine-based gas or
Is based on at least one of brominated gases
However, there is an etch between the polysilicon film and the silicon substrate.
O only when chingTwo It is good to contain gas.

【0012】[0012]

【発明の実施の形態】本発明では、これまで一般にフッ
素系エッチャントを用いてエッチングされてきた窒化シ
リコン膜も、塩素系エッチャントまたは臭素系エッチャ
ントを用いてエッチングすることになる。このように、
シリコン原子との間の原子間結合エネルギーの観点から
は必ずしも最適と考えられないエッチャントを用いてド
ライエッチングを行う際には、高いイオン電流密度を得
ることが可能な、いわゆる高密度プラズマ装置を用いる
ことが有利である。
BEST MODE FOR CARRYING OUT THE INVENTION In the present invention, a silicon nitride film, which has been generally etched by using a fluorine-based etchant, is also etched by using a chlorine-based etchant or a bromine-based etchant. in this way,
When performing dry etching using an etchant that is not necessarily considered optimal from the viewpoint of interatomic bond energy with silicon atoms, a so-called high-density plasma device that can obtain high ion current density is used. Is advantageous.

【0013】上記高密度プラズマ装置とは、マイクロ波
入力による電子サイクロトロン共鳴(ECR)放電を利
用したECRプラズマ・エッチング装置、高周波入力に
よる誘導結合放電を利用した誘導結合プラズマ・エッチ
ング装置、同じく高周波入力を用い、磁場中を伝搬する
ヘリコン波のランダウ減衰過程を利用したヘリコン波プ
ラズマ・エッチング装置が代表例として挙げられる。こ
れらの装置は、いずれも数mTorr(10-1Paのオ
ーダー)の低ガス圧下で高い確率で生成しかつ平均自由
工程も長いイオンを利用して、被エッチング面へのイオ
ン衝撃を比較的低く抑えながら高異方性加工が実現でき
るものである。
The high-density plasma device is an ECR plasma etching device that uses electron cyclotron resonance (ECR) discharge by microwave input, an inductively coupled plasma etching device that uses inductively coupled discharge by high frequency input, and also high frequency input. A typical example is a helicon wave plasma etching apparatus that utilizes the Landau damping process of a helicon wave propagating in a magnetic field. All of these devices use ions generated with a high probability under a low gas pressure of several mTorr (on the order of 10 -1 Pa) and having a long mean free path, so that the ion bombardment on the surface to be etched is relatively low. Highly anisotropic processing can be realized while suppressing.

【0014】ここで、かかる高密度プラズマ・エッチン
グ装置の一例として、本発明者が先に提案したヘリコン
波プラズマ・エッチング装置の一構成例を、図9を参照
しながら説明する。この装置のプラズマ生成部は、内部
にヘリコン波プラズマPH を生成させるための誘電体材
料からなるプラズマ生成チャンバ11、このプラズマ生
成チャンバ11の天板12に対して平行に設けられるm
=0モード励起用のシングルループ・アンテナ20、同
じプラズマ生成チャンバ11の側壁面を部分的に周回す
るm=1モード励起用のハーフターン・アンテナ25、
上記ハーフターン・アンテナ25のさらに外側において
プラズマ生成チャンバ11を周回し、その軸方向に沿っ
た磁界を生成させるソレノイド・コイル18を主な構成
要素とする。上記プラズマ生成チャンバ1の構成材料
は、たとえば石英とし、その直径はたとえば35cmと
した。
Here, as an example of such a high-density plasma etching apparatus, a configuration example of a helicon wave plasma etching apparatus previously proposed by the present inventor will be described with reference to FIG. The plasma generation unit of this apparatus is provided in parallel with a plasma generation chamber 11 made of a dielectric material for generating a helicon wave plasma P H therein and a top plate 12 of the plasma generation chamber 11.
= 0 mode single-loop antenna 20 for excitation, half-turn antenna 25 for m = 1 mode excitation partially circling the side wall surface of the same plasma generation chamber 11,
The solenoid coil 18 that circulates in the plasma generation chamber 11 further outside the half-turn antenna 25 and generates a magnetic field along the axial direction is a main constituent element. The constituent material of the plasma generation chamber 1 is, for example, quartz, and its diameter is, for example, 35 cm.

【0015】上述の2系統の高周波アンテナの搭載は、
本装置の最大の特色であるが、これら両アンテナへは、
共通のRF電源24から分岐する各給電系統を通じてR
F電力が供給される。すなわち、シングルループ・アン
テナ20へは、位相調整手段としてのリレー回路(R/
C)23、出力調整手段としての駆動アンプ22、イン
ピーダンス調整用のマッチング・ネットワーク(M/
N)21をこの順に介してRF電力が供給され、ハーフ
ターン・アンテナ25へは駆動アンプ27とマッチング
・ネットワーク(M/N)26をこの順に介して供給さ
れる。なお、上記リレー回路23は、シングルループ・
アンテナ20とハーフターン・アンテナ25に供給され
る高周波の位相を互いにずらすことを目的としているの
で、図示した例とは逆にハーフターン・アンテナ25側
に接続されていても良い。上記RF電源24の周波数
は、たとえば13.56MHzである。また、リレー回
路23による位相のずれは、たとえばπ/2に設定され
る。
The mounting of the above two systems of high frequency antennas is
The biggest feature of this device is that both antennas are
R through each power feeding system branched from the common RF power source 24
F power is supplied. That is, the single loop antenna 20 is connected to the relay circuit (R / R) as phase adjusting means.
C) 23, drive amplifier 22 as output adjusting means, impedance matching matching network (M /
N) 21 is supplied with RF power in this order, and the half-turn antenna 25 is supplied with a drive amplifier 27 and a matching network (M / N) 26 in this order. The relay circuit 23 is a single loop
Since the purpose is to shift the phases of the high frequencies supplied to the antenna 20 and the half-turn antenna 25 from each other, they may be connected to the half-turn antenna 25 side contrary to the illustrated example. The frequency of the RF power supply 24 is 13.56 MHz, for example. The phase shift caused by the relay circuit 23 is set to, for example, π / 2.

【0016】上記ソレノイド・コイル18は2重構造と
されており、主としてヘリコン波の伝搬に寄与する内周
側ソレノイド・コイル18aと、主としてヘリコン波プ
ラズマPH の輸送に寄与する外周側ソレノイド・コイル
18bとからなる。このソレノイド・コイルは、直流電
源(D/C)19に接続されている。
The solenoid coil 18 has a double structure. The inner solenoid coil 18a mainly contributes to the propagation of the helicon wave and the outer solenoid coil mainly contributes to the transportation of the helicon wave plasma P H. 18b. This solenoid coil is connected to a DC power supply (D / C) 19.

【0017】上記プラズマ生成チャンバ11には拡散チ
ャンバ13が接続され、上記ソレノイド・コイル18が
形成する発散磁界に沿って該拡散チャンバ13の内部へ
ヘリコン波プラズマPH を引き出すようになされてい
る。拡散チャンバ13の側壁面および底面は、ステンレ
ス鋼等の導電性材料を用いて構成されている。上記拡散
チャンバ13は、上部排気孔14を通じて矢印A方向に
高真空排気される一方で、ガス供給管15を通じて矢印
B方向から所定のエッチング・ガスの供給を受け、これ
らのバランスによりその内部が所定の圧力に維持されて
いる。さらに、拡散チャンバ13は、その側壁面からゲ
ート・バルブ33を介し、たとえば図示されないロード
・ロック室に接続されている。上記ガス供給管15に
は、Cl2 またはHBrを収容する第1ボンベ16と、
2 ガスを収容する第2ボンベ17とが接続されてお
り、各々のバルブの開閉により独立にガス流量を制御可
能となされている。
A diffusion chamber 13 is connected to the plasma generation chamber 11, and the helicon wave plasma P H is drawn into the diffusion chamber 13 along the divergent magnetic field formed by the solenoid coil 18. The side wall surface and the bottom surface of the diffusion chamber 13 are made of a conductive material such as stainless steel. The diffusion chamber 13 is evacuated to a high vacuum through the upper exhaust hole 14 in the direction of arrow A, and is supplied with a predetermined etching gas through the gas supply pipe 15 in the direction of arrow B. The pressure is maintained. Further, the diffusion chamber 13 is connected to the load lock chamber (not shown) from the side wall surface thereof via the gate valve 33. The gas supply pipe 15 includes a first cylinder 16 containing Cl 2 or HBr,
A second cylinder 17 containing O 2 gas is connected, and the gas flow rate can be controlled independently by opening / closing each valve.

【0018】さらに、上記拡散チャンバ13の外部に
は、上記ウェハ・ステージ29近傍における発散磁界を
収束させ、またチャンバ壁によるプラズマ中の電子や活
性種の消滅を抑制するために、補助磁界生成手段として
マルチポール磁石28が配設されている。このマルチポ
ール磁石28は、拡散チャンバ13内にマルチカスプ磁
場を生成させてプラズマ閉じ込めを行うものである。な
お、このマルチポール磁石28の配設位置は、図示され
る例に限られず、たとえばウェハ・ステージ29の支柱
の周囲等の他の場所であっても良い。さらにあるいは、
これをソレノイド・コイルに置き換え、ミラー磁場の形
成によってプラズマ閉じ込めを行うようにしても良い。
Further, in order to converge the divergent magnetic field in the vicinity of the wafer stage 29 to the outside of the diffusion chamber 13 and to suppress the disappearance of electrons and active species in plasma by the chamber wall, auxiliary magnetic field generating means. A multi-pole magnet 28 is provided as. The multi-pole magnet 28 generates a multi-cusp magnetic field in the diffusion chamber 13 to confine plasma. The arrangement position of the multi-pole magnet 28 is not limited to the example shown in the figure, and may be another place such as around the support of the wafer stage 29. In addition, or
This may be replaced with a solenoid coil, and the plasma may be confined by forming a mirror magnetic field.

【0019】さらに、拡散チャンバ13の内部には、そ
の壁面から電気的に絶縁された導電性のウェハ・ステー
ジ29が収容され、この上に被処理基板としてのウェハ
Wを保持してドライエッチングを行うようになされてい
る。上記ウェハ・ステージ29には、プロセス中のウェ
ハWを所望の低温に維持するために、図示されないチラ
ーから冷媒の供給を受け、これを矢印C1 ,C2 方向に
循環させるための冷却配管30が挿通されている。な
お、上述のようなウェハ温度の制御を行う場合には、ウ
ェハWとウェハ・ステージ29との間の熱伝導を向上さ
せることが有効であり、このためには静電チャックを使
用すると良い。
Further, inside the diffusion chamber 13, a conductive wafer stage 29 electrically insulated from the wall surface is accommodated, on which a wafer W as a substrate to be processed is held and dry-etched. Is supposed to do. The wafer stage 29 is supplied with a coolant from a chiller (not shown) in order to maintain the wafer W in process at a desired low temperature, and a cooling pipe 30 for circulating the coolant in the directions of arrows C 1 and C 2. Has been inserted. In the case of controlling the wafer temperature as described above, it is effective to improve the heat conduction between the wafer W and the wafer stage 29. For this purpose, an electrostatic chuck may be used.

【0020】さらに上記ウェハ・ステージ29には、プ
ラズマ中から入射するイオンのエネルギーを制御するた
めにウェハWに基板バイアスを印加するバイアス印加用
高周波電源32が、第2のマッチング・ネットワーク
(M/N)31を介して接続されている。ここでは、バ
イアス印加用高周波電源31の周波数を13.56MH
zとした。
Further, on the wafer stage 29, a bias applying high frequency power source 32 for applying a substrate bias to the wafer W in order to control the energy of ions entering from the plasma, is provided with a second matching network (M / M). N) 31 is connected. Here, the frequency of the high frequency power source 31 for bias application is 13.56 MH.
z.

【0021】上述のヘリコン波プラズマ・エッチング装
置は、m=0モードとm=1モードの同時励起を可能と
することで、両モードに本来備わるチャンバ径方向の電
子密度分布の偏りを補い合い、均一なプラズマ密度が得
られるように本発明者が独自に提案したものである。し
かし、本発明で用いる高密度プラズマ・エッチング装置
はもちろん、従来公知の構成を有するものであっても構
わない。いずれにしても、本発明で用いる高密度プラズ
マ・エッチング装置は、少なくとも5mA/cm2 のイ
オン電流密度を達成できる装置とする。この値は、プラ
ズマ密度に換算するとおおよそ1011/cm3 のオーダ
ーである。イオン電流密度が上記の値より低い場合に
は、実用的な速度でエッチング反応を進行させることが
できない。本発明ではイオン電流密度の上限は特に規定
していないが、余り高すぎるイオン電流密度は、電荷蓄
積に起因する形状異常、あるいは反応生成物の再解離や
チャンバ壁への堆積によるエッチングの不安定化を招く
原因となるため、実用性能を考慮して決定するのが良
い。
The above-mentioned helicon wave plasma etching apparatus enables simultaneous excitation of m = 0 mode and m = 1 mode, thereby compensating for the bias of the electron density distribution in the radial direction of the chamber, which is inherent in both modes, and makes it uniform. The present inventor has independently proposed that a high plasma density be obtained. However, the high-density plasma etching apparatus used in the present invention may of course have a conventionally known configuration. In any case, the high-density plasma etching apparatus used in the present invention should be an apparatus capable of achieving an ion current density of at least 5 mA / cm 2 . This value is on the order of 10 11 / cm 3 when converted to plasma density. If the ion current density is lower than the above value, the etching reaction cannot proceed at a practical rate. In the present invention, the upper limit of the ion current density is not particularly specified, but too high ion current density causes shape anomalies due to charge accumulation, or unstable etching due to re-dissociation of reaction products or deposition on chamber walls. Therefore, it is better to decide in consideration of practical performance.

【0022】ところで、前記窒化シリコン膜は上述のよ
うな高密度プラズマ・エッチング装置を用いれば、実質
的に塩素系ガスまたは臭素系ガスのみでエッチングする
ことができるが、前記ポリシリコン膜と前記シリコン基
板のエッチング時にはO2 ガスを添加することが好適で
ある。O2 を添加すると、エッチング反応生成物である
塩化シリコンまたは臭化シリコンをその場で酸化シリコ
ンに変化させることができ、その強固な側壁保護効果を
利用して異方性加工を行うことができる。本発明では、
ポリシリコン膜のエッチング開始からシリコン基板のエ
ッチング終了までを同一チャンバ内で行うが、被エッチ
ング材料が切り替わる時点ではO2 ガスの供給/停止の
みを行えば良く、制御は容易である。
By the way, the silicon nitride film can be etched substantially only with a chlorine-based gas or a bromine-based gas by using the above high-density plasma etching apparatus. It is preferable to add O 2 gas at the time of etching the substrate. When O 2 is added, silicon chloride or silicon bromide, which is an etching reaction product, can be changed in situ to silicon oxide, and anisotropic processing can be performed by utilizing its strong side wall protection effect. . In the present invention,
The process from the etching start of the polysilicon film to the etching end of the silicon substrate is performed in the same chamber, but at the time when the material to be etched is switched, it is sufficient to supply / stop the O 2 gas only and the control is easy.

【0023】[0023]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0024】実施例1 本実施例では、2系統のアンテナを持つ上述のヘリコン
波プラズマ装置を用い、Cl2 /O2 混合ガスによるポ
リシリコン膜のエッチング、Cl2 ガスによる窒化シリ
コン膜のエッチング、Cl2 /O2 混合ガスによるシリ
コン基板のエッチングを連続的に行った。本実施例のプ
ロセスを、図1ないし図5を参照しながら説明する。
Example 1 In this example, the above-mentioned helicon wave plasma device having two antennas was used to etch a polysilicon film with a Cl 2 / O 2 mixed gas, etch a silicon nitride film with a Cl 2 gas, The silicon substrate was continuously etched with a Cl 2 / O 2 mixed gas. The process of this embodiment will be described with reference to FIGS.

【0025】まず、本実施例で用いたサンプル・ウェハ
を図1に示す。この図は、シリコン基板1(Si)上に
厚さ約100nmの窒化シリコン膜2(SiN)と、厚
さ約100nmのポリシリコン膜3との2層膜である研
磨停止層4が形成され、この上にレジスト・パターン5
(PR)が形成された状態を示している。上記レジスト
・パターン5には、トレンチ・パターンにしたがった開
口6が設けられている。
First, FIG. 1 shows a sample wafer used in this embodiment. In this figure, a polishing stopper layer 4 which is a two-layer film of a silicon nitride film 2 (SiN) having a thickness of about 100 nm and a polysilicon film 3 having a thickness of about 100 nm is formed on a silicon substrate 1 (Si), Resist pattern 5 on this
The state where (PR) is formed is shown. The resist pattern 5 is provided with openings 6 according to the trench pattern.

【0026】次に、上記開口6の内部に表出する材料膜
を、順次エッチングする。すなわち、ポリシリコン膜3
のエッチング、窒化シリコン膜2のエッチング、および
シリコン基板1のトレンチ・エッチングである。
Next, the material film exposed inside the opening 6 is sequentially etched. That is, the polysilicon film 3
, Etching of the silicon nitride film 2, and trench etching of the silicon substrate 1.

【0027】まず、ポリシリコン膜3のエッチングを、
たとえば Cl2 流量 100 SCCM O2 流量 5 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 100 W(13.56 MHz) ウェハ温度 20 ℃ の条件で行った。なお、上記ソース・パワーは、シング
ルループ・アンテナ20とハーフターン・アンテナ25
の両方に同様に印加されている。このエッチングの結
果、図2に示されるように、ポリシリコン膜3が異方性
加工され、開口7が形成された。
First, etching of the polysilicon film 3 is performed.
For example, Cl 2 flow rate 100 SCCM O 2 flow rate 5 SCCM pressure 1 Pa source power 2.5 kW (13.56 MHz) RF bias power 100 W (13.56 MHz) wafer temperature 20 ° C. The source power is the single loop antenna 20 and the half turn antenna 25.
Are similarly applied to both. As a result of this etching, as shown in FIG. 2, the polysilicon film 3 was anisotropically processed and the opening 7 was formed.

【0028】次に、窒化シリコン膜2のエッチングを、
たとえば Cl2 流量 100 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 300 W(13.56 MHz) ウェハ温度 20 ℃ の条件で行った。ここでは、エッチャントが塩素系化学
種であるにもかかわらず、30mA/cm2 以上の高い
イオン電流密度と増大させた基板バイアスの寄与で、約
200nm/分もの高速で異方性エッチングが進行し、
図3に示されるように、開口7がさらに深く形成され
た。
Next, the silicon nitride film 2 is etched.
For example, Cl 2 flow rate 100 SCCM pressure 1 Pa source power 2.5 kW (13.56 MHz) RF bias power 300 W (13.56 MHz) wafer temperature 20 ° C. Here, although the etchant is a chlorine-based species, anisotropic etching proceeds at a high speed of about 200 nm / min due to the high ion current density of 30 mA / cm 2 or more and the contribution of the increased substrate bias. ,
As shown in FIG. 3, the opening 7 was formed deeper.

【0029】次に、シリコン基板1のエッチングを、た
とえば Cl2 流量 100 SCCM O2 流量 5 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 100 W(13.56 MHz) ウェハ温度 20 ℃ の条件で行った。このエッチングの結果、図4に示され
るように、異方性形状を有する深さ約800nmのトレ
ンチ8が形成された。
Next, the silicon substrate 1 is etched by, for example, Cl 2 flow rate 100 SCCM O 2 flow rate 5 SCCM pressure 1 Pa source power 2.5 kW (13.56 MHz) RF bias power 100 W (13.56). MHz) Wafer temperature was 20 ° C. As a result of this etching, as shown in FIG. 4, a trench 8 having a depth of about 800 nm having an anisotropic shape was formed.

【0030】本実施例では上述したように、ポリシリコ
ン膜3とシリコン基板1のエッチングに同一条件を採用
し、窒化シリコン膜2のエッチングにはO2 を省き、か
つ基板バイアスを高めた条件を採用した。しかも、O2
を併用する場合でもその添加量はCl2 に比べてわずか
であり、チャンバ内の圧力に与える影響が小さい。した
がって、これら一連のエッチング条件の切り替えは、O
2 を収容する第2ボンベ17のバルブの開閉制御のみで
実質的に行うことができ、基板を同一のチャンバ内に置
いたままで3種類の材料膜または基板を連続的かつ効率
的にエッチングすることができた。
In the present embodiment, as described above, the same conditions are adopted for etching the polysilicon film 3 and the silicon substrate 1, and O 2 is omitted for etching the silicon nitride film 2 while the substrate bias is increased. Adopted. Moreover, O 2
Even when used together, the addition amount thereof is smaller than that of Cl 2 , and the influence on the pressure in the chamber is small. Therefore, the switching of these series of etching conditions is
This can be performed substantially only by controlling the opening / closing of the valve of the second cylinder 17 that houses 2 , and the three types of material films or substrates can be continuously and efficiently etched while the substrates are kept in the same chamber. I was able to.

【0031】この後、図5に示されるように、通常のO
2 プラズマ・アッシングを行い、レジスト・パターン5
を除去した。以降は、従来技術の欄で述べたように、酸
化シリコン膜9の堆積、CMPによる平坦化の各工程へ
入ることができた。
After this, as shown in FIG.
2 Plasma ashing, resist pattern 5
Was removed. After that, as described in the section of the prior art, it was possible to proceed to the steps of depositing the silicon oxide film 9 and planarizing by CMP.

【0032】実施例2 本実施例では、ポリシリコン膜3からシリコン基板1に
至る一連のエッチングを、臭素系ガスを主体とするエッ
チング・ガスを用いて行った。使用したサンプル・ウェ
ハは、実施例1と同じである。
Example 2 In this example, a series of etchings from the polysilicon film 3 to the silicon substrate 1 were carried out using an etching gas mainly composed of bromine gas. The sample wafer used is the same as in Example 1.

【0033】エッチング条件は、たとえば、 [ポリシリコン膜3のエッチング条件] HBr流量 100 SCCM O2 流量 5 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 100 W(13.56 MHz) ウェハ温度 20 ℃ [窒化シリコン膜2のエッチング条件] HBr流量 100 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 300 W(13.56 MHz) ウェハ温度 20 ℃ [シリコン基板1のエッチング条件] HBr流量 100 SCCM O2 流量 5 SCCM 圧力 1 Pa ソース・パワー 2.5 kW(13.56 MHz) RFバイアス・パワー 100 W(13.56 MHz) ウェハ温度 20 ℃ の通りとした。The etching conditions are, for example, [etching conditions for the polysilicon film 3] HBr flow rate 100 SCCM O 2 flow rate 5 SCCM pressure 1 Pa source power 2.5 kW (13.56 MHz) RF bias power 100 W ( Wafer temperature 20 ° C. [Etching conditions for silicon nitride film 2] HBr flow rate 100 SCCM pressure 1 Pa Source power 2.5 kW (13.56 MHz) RF bias power 300 W (13.56 MHz) Wafer temperature 20 ° C. [Etching conditions for silicon substrate 1] HBr flow rate 100 SCCM O 2 flow rate 5 SCCM pressure 1 Pa Source power 2.5 kW (13.56 MHz) RF bias power 100 W (13.56 MHz) Wafer The temperature was set to 20 ° C.

【0034】本実施例では、臭素系エッチャントを用い
ているために、窒化シリコン膜2のエッチング速度が実
施例1に比べて低下したが、それでもなお実用上十分な
100nm/分もの速度でエッチングを行うことができ
た。
In this example, since the etching rate of the silicon nitride film 2 was lower than that of the example 1 because the bromine-based etchant was used, the etching rate was 100 nm / min, which is still practically sufficient. I was able to do it.

【0035】以上、2例の実施例について説明したが、
本発明はこれらの実施例に何ら限定されるものではな
く、サンプル・ウェハの構成、使用するエッチング装置
の構成の細部、エッチング条件の詳細については、適宜
変更または選択が可能である。
The two embodiments have been described above.
The present invention is not limited to these embodiments, and the configuration of the sample wafer, the details of the configuration of the etching apparatus used, and the details of the etching conditions can be appropriately changed or selected.

【0036】[0036]

【発明の効果】以上の説明からも明らかなように、本発
明によれば、ドライエッチング特性の異なるポリシリコ
ン膜/窒化シリコン膜/シリコン基板の積層系を、単一
のエッチング装置の同一チャンバ内で連続的にエッチン
グすることが可能となるので、CMPによる絶縁膜の平
坦化を前提としたシャロー・トレンチ・アイソレーショ
ンのためのトレンチ・エッチングを、極めて効率良く、
しかも精密に行うことが可能となる。本発明は、素子分
離工程の効率化を通じて、半導体デバイス製造のスルー
プットの向上やコストの低減に大きく貢献するものであ
る。
As is apparent from the above description, according to the present invention, a laminated system of a polysilicon film / silicon nitride film / silicon substrate having different dry etching characteristics can be installed in the same chamber of a single etching apparatus. Since it is possible to continuously etch with, the trench etching for shallow trench isolation, which is premised on the flattening of the insulating film by CMP, can be performed very efficiently.
Moreover, it becomes possible to perform it precisely. The present invention greatly contributes to improvement in throughput of semiconductor device manufacturing and cost reduction through improvement in efficiency of element isolation process.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明をシャロー・トレンチ・アイソレーショ
ンのためのトレンチ加工に適用したプロセス例におい
て、研磨停止層の上にレジスト・パターンを形成した状
態を示す模式的断面図である。
FIG. 1 is a schematic cross-sectional view showing a state where a resist pattern is formed on a polishing stopper layer in a process example in which the present invention is applied to trench processing for shallow trench isolation.

【図2】図1のレジスト・パターンをマスクとしてポリ
シリコン膜をドライエッチングした状態を示す模式的断
面図である。
FIG. 2 is a schematic cross-sectional view showing a state where a polysilicon film is dry-etched using the resist pattern of FIG. 1 as a mask.

【図3】図2の窒化シリコン膜をドライエッチングした
状態を示す模式的断面図である。
FIG. 3 is a schematic cross-sectional view showing a state where the silicon nitride film of FIG. 2 is dry-etched.

【図4】図3のシリコン基板をドライエッチングしてト
レンチを形成した状態を示す模式的断面図である。
FIG. 4 is a schematic cross-sectional view showing a state in which a trench has been formed by dry etching the silicon substrate of FIG.

【図5】図4のレジスト・パターンをアッシングした状
態を示す模式的断面図である。
5 is a schematic cross-sectional view showing a state where the resist pattern of FIG. 4 is ashed.

【図6】図5のトレンチを埋め込むごとく、基体の全面
に酸化シリコン膜を堆積させた状態を示す模式的断面図
である。
FIG. 6 is a schematic cross-sectional view showing a state in which a silicon oxide film is deposited on the entire surface of the base body so as to fill the trench in FIG.

【図7】図6の酸化シリコン膜を、ポリシリコン膜が露
出するまで化学機械研磨により除去した状態を示す模式
的断面図である。
7 is a schematic cross-sectional view showing a state in which the silicon oxide film of FIG. 6 is removed by chemical mechanical polishing until the polysilicon film is exposed.

【図8】図7の窒化シリコン膜が露出するまで、さらに
化学機械研磨を続けた状態を示す模式的断面図である。
8 is a schematic cross-sectional view showing a state in which chemical mechanical polishing is further continued until the silicon nitride film of FIG. 7 is exposed.

【図9】本発明で用いるヘリコン波プラズマ・エッチン
グ装置の一構成例を示す模式的断面図である。
FIG. 9 is a schematic cross-sectional view showing a configuration example of a helicon wave plasma etching apparatus used in the present invention.

【符号の説明】[Explanation of symbols]

1 シリコン基板 2 窒化シリコン膜 3 ポリシリコン膜 4 研磨停止層 5 レジスト・パターン 8 トレンチ 1 Silicon Substrate 2 Silicon Nitride Film 3 Polysilicon Film 4 Polishing Stop Layer 5 Resist Pattern 8 Trench

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 シリコン基板と、この上に成膜され下層
側から順に窒化シリコン膜とポリシリコン膜とが積層さ
れてなる研磨停止層とを、該研磨停止層上に形成された
エッチング・マスクを介して順次ドライエッチングする
ことにより該シリコン基板にトレンチを形成するドライ
エッチング方法であって、 前記ポリシリコン膜のエッチング開始から前記シリコン
基板のエッチング終了に至るまで該シリコン基板を単一
のエッチング装置の同一チャンバ内に保持しながら、塩
素系ガスもしくは臭素系ガスの少なくともいずれかを主
体とするエッチング・ガスを用いて前記ドライエッチン
グを行うドライエッチング方法。
1. An etching mask formed on a polishing stopper layer comprising a silicon substrate and a polishing stopper layer formed on the silicon substrate and a silicon nitride film and a polysilicon film stacked in this order from the lower layer side. A dry etching method of forming a trench in the silicon substrate by sequentially performing dry etching through the silicon substrate, wherein a single etching apparatus is used to etch the silicon substrate from the start of etching the polysilicon film to the end of etching the silicon substrate. The dry etching method in which the dry etching is carried out by using an etching gas mainly containing at least one of chlorine-based gas and bromine-based gas while keeping the same in the same chamber.
【請求項2】 前記エッチング装置として、少なくとも
5mA/cm2 のイオン電流密度を達成可能な装置を用
いる請求項1記載のドライエッチング方法。
2. The dry etching method according to claim 1, wherein an apparatus capable of achieving an ion current density of at least 5 mA / cm 2 is used as the etching apparatus.
【請求項3】 前記エッチング・ガスには、前記ポリシ
リコン膜と前記シリコン基板のエッチング時のみO2
スを含有させる請求項1記載のドライエッチング方法。
3. The dry etching method according to claim 1, wherein the etching gas contains O 2 gas only when the polysilicon film and the silicon substrate are etched.
【請求項4】 前記トレンチは、絶縁膜で埋め込まれて
素子分離領域とされる請求項1記載のドライエッチング
方法。
4. The dry etching method according to claim 1, wherein the trench is filled with an insulating film to form an element isolation region.
JP29995795A 1995-11-17 1995-11-17 Dry etching method Expired - Fee Related JP3444058B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP29995795A JP3444058B2 (en) 1995-11-17 1995-11-17 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29995795A JP3444058B2 (en) 1995-11-17 1995-11-17 Dry etching method

Publications (2)

Publication Number Publication Date
JPH09148304A true JPH09148304A (en) 1997-06-06
JP3444058B2 JP3444058B2 (en) 2003-09-08

Family

ID=17879014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29995795A Expired - Fee Related JP3444058B2 (en) 1995-11-17 1995-11-17 Dry etching method

Country Status (1)

Country Link
JP (1) JP3444058B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6798038B2 (en) * 2001-09-20 2004-09-28 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6798038B2 (en) * 2001-09-20 2004-09-28 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench
US7371654B2 (en) 2001-09-20 2008-05-13 Kabushiki Kaisha Toshiba Manufacturing method of semiconductor device with filling insulating film into trench

Also Published As

Publication number Publication date
JP3444058B2 (en) 2003-09-08

Similar Documents

Publication Publication Date Title
US10204796B2 (en) Methods for selective etching of a silicon material using HF gas without nitrogen etchants
US6867141B2 (en) Method for fabricating semiconductor device and forming interlayer dielectric film using high-density plasma
KR101188553B1 (en) Plasma oxidation method and plasma processing apparatus
JPH1056058A (en) Forming method of isolation trench of integrated circuit
KR20030053314A (en) Method of manufacturing a flash memory cell
KR102514465B1 (en) Methods for Depositing Dielectric Materials
KR100762524B1 (en) Process for fabricating semiconductor device
US10249507B2 (en) Methods for selective etching of a silicon material
KR102460795B1 (en) Methods and systems for patterning low aspect ratio stacks
JP3054127B2 (en) Method for performing planarization etching and notch etching
US20100248487A1 (en) Method and apparatus for elimination of micro-trenching during etching of a hardmask layer
JP2001160551A (en) Method for etching polysilicon having a smooth surface
JP3444058B2 (en) Dry etching method
JP4577328B2 (en) Manufacturing method of semiconductor device
KR100373356B1 (en) Method of fabricating semiconductor device
JP2002033381A (en) Formation method of element isolation insulating film and manufacturing method of semiconductor device
JPH07326581A (en) Plasma device and plasma cvd method using the same
US11655537B2 (en) HDP sacrificial carbon gapfill
JP3500178B2 (en) Dry etching method
JPH07221068A (en) Plasma device and dry etching method using it
JP2000021827A (en) Manufacture of semiconductor device
JP2607276B2 (en) Dry etching method
JPH07142463A (en) Method and equipment for fabricating semiconductor device
JPH06132253A (en) Reactive ion etching for silicon nitride film
JPH0927479A (en) Dry etching method

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20030527

LAPS Cancellation because of no payment of annual fees