JPH08129568A - 統計法 - Google Patents

統計法

Info

Publication number
JPH08129568A
JPH08129568A JP7252296A JP25229695A JPH08129568A JP H08129568 A JPH08129568 A JP H08129568A JP 7252296 A JP7252296 A JP 7252296A JP 25229695 A JP25229695 A JP 25229695A JP H08129568 A JPH08129568 A JP H08129568A
Authority
JP
Japan
Prior art keywords
clock
data
arrival time
slack
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP7252296A
Other languages
English (en)
Inventor
David J Hathaway
デビッド・ジェイ・ハサウェイ
Janet P Alvarez
ジャネット・ピィ・アルバレズ
Krishna P Belkhale
クリシナ・ピィ・ベルクハル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH08129568A publication Critical patent/JPH08129568A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/318357Simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31727Clock circuits aspects, e.g. test clock circuit details, timing aspects for signal generation, circuits for testing clocks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318328Generation of test inputs, e.g. test vectors, patterns or sequences for delay tests
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

(57)【要約】 【課題】 複数の導通パスにより相互接続される複数の
回路素子を含む電子回路を伝播する個別の信号の、到達
時刻間のタイミング差を分析する統計法を提供する。 【解決の手段】 網は複数の導通パスにより相互接続さ
れる複数の回路素子を含む。本方法は、最初にクリティ
カルなデータ及びクロック回路パスが発散する一連の共
通ポイント(以降では"CCPPSポイント"として参照
される)を識別するステップを含む。次にデータ到達時
刻が網内の少なくとも1つのデータ・エンドポイントに
伝播され、それらが通過する共通ポイントが存在する場
合には、それらのデータ到達時刻に共通ポイントがタグ
付けされる。伝播の間、同一の共通ポイントがタグ付け
されるデータ到達時刻が併合され、特定のエンドポイン
トに達する各データ到達時刻には、異なる共通ポイント
がタグ付けされる。伝播される到達時刻は1つの数では
なく、到達時刻の統計的分散または範囲である。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は一般に論理回路の統
計的タイミング分析の分野に関し、特に、回路をハード
ウェアにより物理的に実現する以前に、こうした回路に
おける論理網のタイミング特性を分析する効率的な方法
に関する。
【0002】
【従来の技術】コンピュータ・システムにおいて、様々
な機能を達成するために論理網が使用される。これらの
論理網は、通常、例えばVLSI(超大規模集積回路)
などのハードウェア形態により実現される。VLSI技
術は、単一の集積回路チップ内に数十万個ものトランジ
スタを提供する電子回路の製造を可能にした。論理素子
は1個または複数のこれらのトランジスタを用いて構成
され、集積回路上の論理網がこうした論理素子により構
成される。各集積回路チップは数千個の論理網を含みう
る。
【0003】論理網内の論理素子(またはゲート)は、
導通パスにより相互接続される。信号がこれらの導通パ
スに沿って関連論理ゲートを通過し、論理網を伝播す
る。所与の信号が特定の論理網を伝播するために要する
時間は、測定可能なパラメータであり、これは信号が通
過する論理ゲート及び導通パスの両方に関連付けられる
固有の遅延により部分的に決定される。導通パスの長さ
もまた、この遅延を決定する要因である。
【0004】信号が非常に多数の集積回路チップにより
実現される同一タイプの論理網を通過する総遅延時間
は、ガウス分布または正規分布として近似されうる。図
1はこうした分布を示す。横軸は、多数の集積回路によ
り実現される特定のタイプの論理網に対応して測定され
る総遅延を示し、縦軸は集積回路の数を示す。
【0005】総遅延時間は、論理網が実現される集積回
路チップにおける物理的な相違に部分的に依存して集積
回路間で異なる。これらの相違は、チップが晒される環
境条件の変動(例えば温度、電源電圧など)の他に、例
えばチップを構成するために使用される生の材料の物理
的な変動や、生産プロセスにおける僅かな変化により生
じる。これら両者は、多くの生産バッチ(production b
atch)に渡って変化しうる。図1の曲線に従う速度変化
の全範囲は、何日にも及ぶ多くのバッチ及び生の材料に
よる生産ラインの累積生産に当てはまるので、共通のシ
リコン基板上に生成される単一のダイ上の2つの論理網
の実現が、曲線の極限における遅延を示すことは極めて
稀である。最速の応答時間を示すチップでは、比較的低
速な網であっても、曲線の左半分近辺(t1の左側)の
速度を示す。最遅振舞いを示すチップでは、こうしたチ
ップ上の網における遭遇時間の大部分は、曲線の右半分
近辺(t2の右側)に配置される。
【0006】論理網を伝播する信号のタイプには、クロ
ック信号とデータ信号が含まれる。クロックとデータの
両方の信号を使用する論理網は、適正に動作するため
に、しばしばこれらの信号の密接な同期を必要とする。
データまたはクロック信号は、特定の論理ゲートに相手
よりも先または後の正確な時刻に到達するように設計さ
れる。例えばクロック信号またはデータ信号が網内の特
定の論理ゲートにおいて期待されるときに、そのクロッ
ク信号またはデータ信号が相手よりも早過ぎたり遅過ぎ
たりして到達すると網はハング・アップしたり、不正な
出力結果を提供したりする。
【0007】従って集積回路メーカは、特定の集積回路
が検査に合格し、その集積回路内の論理網が適正に動作
することを保証するために、適合しなければならない遅
延許容を開発した。タイミング分析は、好適には論理網
の実際の物理レイアウト以前に、提案される網設計にお
いて実施される。提案される網設計は網の正しいタイミ
ング動作を阻止しうる未知のパラメータを決定するため
に分析される。
【0008】コンピュータにおける論理網の設計がより
複雑化し、これらの網の動作速度が向上するにつれ、こ
れらの網内の論理素子のタイミング・シーケンスの同期
が必然的に厳しくなる。従ってこれらの論理網の製造前
のタイミング分析が、益々厳密に実施されなければなら
ず、続く回路の再設計がより効率的にならなければなら
ない。所定の性能目標が設計により満足されているかを
判断し、こうした性能目標を満足しない網の再設計にお
いて、有用な情報を提供するために、複雑且つ高速デジ
タル網設計のタイミング分析が必要とされる。
【0009】製造要因及び環境要因は、異なる集積回路
チップにおいて実現される論理網の遅延に影響し、これ
らの要因はある程度の相関を示すので、統計的タイミン
グ分析がこれらの網における潜在的なタイミング問題を
識別するために有用となる。特定の設計の性能を検証す
る確実な方法は、最初にテスト・ポイントに到達しなけ
ればならない特定の信号の可能な最遅到達時刻を最後に
到達しなければならない特定の信号の可能な最早到達時
刻と比較することである。例えば図2、すなわち論理網
を伝播する多数のデータ及びクロック信号の到達時刻の
統計分布に示されるように、性能パラメータはデータ信
号がクロック信号よりも先に到達することを要求する。
しかしながら、時間間隔intpの間に分布上、幾つか
のクロック信号が幾つかのデータ信号よりも先に到達
し、確立された性能パラメータを侵すことになる。従っ
て、時間間隔intpにおけるタイミング特性を示す網
を排除することにより、分析対象の回路設計の性能を保
証することができる。
【0010】しかしながら、論理網設計の性能を分析す
るこうした方法は、余りにも悲観的である。なぜなら上
述のように、一般に同一の集積回路内の網は類似の条件
下で製造され、類似の環境変数に従うので、それらの遅
延は、通常、相関付けられるからである。しかしなが
ら、この相関の度合いが全てではない。従って、単にデ
ータ信号の可能な最早到達時刻を、クロック信号の可能
な最早到達時刻と比較したり(int01)、データ信号
及びクロック信号の可能な最遅到達時刻を比較すること
により(int02)、特定の設計の性能を検証すること
は余りにも楽観的である。
【0011】論理網のタイミング情報を分析し、このタ
イミング情報の相関を認識する統計的方法は既知であ
る。こうした方法の例が、いわゆるモンテカルロ法(Mo
nte Carlo method:米国特許第4924430号参
照)、またはETE(early timingestimator)法であ
る。モンテカルロ法では、非常に多くの別々のタイミン
グ分析が実施され、そこでは対応するクロック信号及び
データ信号が、特定の論理網に沿って伝播される。各分
析において全ての網に対応する遅延が、網の期待遅延分
布及びこれらの遅延の間の期待相関にもとづきランダム
に選択される。クロック信号とデータ信号の到達時刻の
差(スラック(slack))が計算され、最悪の場合の差
が決定される。問題を示す分析が存在しないと網設計は
モンテカルロ・テストを合格する。問題が存在しない確
信レベルに達するまでに、数百回または数千回の別々の
分析が必要となるので、モンテカルロ法はコンピュータ
時間及び記憶の両面において高価である。
【0012】米国特許第4924430号で開示される
方法は、全てのチップに渡り遭遇する絶対最小遅延及び
最大遅延と、別のチップが絶対最大遅延を提供すること
を保証するあるチップの相対最小遅延と、別のチップが
絶対最小遅延を提供することを保証するあるチップの相
対最大遅延の値を決定することにより、全ての集積回路
に対応して、クロックとデータ間のスラックを計算す
る。これらの4つの値は複数のテスト・ポイントにおい
て、4つの到達時刻を生成するために伝播され、絶対最
大遅延と相対最小遅延との比較、及び絶対最小遅延と相
対最大遅延との比較が行われる。
【0013】ETE法は、クロックとデータ信号間の個
々のスラック時間を計算する代わりに、非常に多数のデ
ータ信号及びクロック信号の到達時刻の統計分布を用い
て、単一の遅延値ではなく、遅延時刻及び到達時刻の分
布を計算し、伝播する。分布は公称到達時刻、到達時刻
の標準偏差(σ)の合計、及び到達時刻の分散(σ2
の合計を識別するために使用される。分散は度数分布平
均からの偏差の平方の平均であり、標準偏差は分散の平
方根である。これらの統計分布要素の値が網を通じて伝
播される。正規分布(有用な近似である)を想定する
と、これらの値は個々の遅延に対応して抽出される相関
係数と結合され、テスト・ポイントにおいて、テスト・
ポイント・スラックの分布を生成する。スラック分布が
余りに高いスラック確率を生じると、テストは失敗に終
わる。
【0014】しかしながら、それぞれの非モンテカルロ
統計法は余りに悲観的である。なぜなら、これらはクロ
ック及びデータ信号が伝播される網パス(network pat
h)の共通部分を無視するからである。信号到達時刻
は、通常、ラッチにおいて比較され、データ信号及びク
ロック信号は、しばしば、ラッチへの共通のパス・セグ
メントを共有する。集積回路が大規模化し、クロック分
布系が長くなると共通部分が増大する。モンテカルロ分
析以外では信号が共通パスを共有する場合、上述の統計
的タイミング分析法は余りに悲観的である。なぜなら、
これらの方法は、パス全体が同一の許容相関関係におい
て独立に変化することを想定するが、実際には信号がオ
ーバラップするこれらのセグメントの遅延は、事実上同
一の遅延であるために、完全に相関するからである。
【0015】
【発明が解決しようとする課題】従って、本発明の目的
は、論理網におけるタイミング同期の偏差を分析するよ
り正確な方法を提供することである。更に本発明の別の
目的は、分析信号が通過する共通パスを認識しない非モ
ンテカルロ統計分析法の欠点を除去すると同時に、コン
ピュータ記憶及びラン・タイムを節約するこうした分析
方法を提供することである。
【0016】
【課題を解決するための手段】論理網を伝播する個別の
信号の到達時刻間のタイミング差を分析する方法が提供
される。網は複数の導通パスにより相互接続される複数
の回路素子を含む。本方法は、最初にクリティカルなデ
ータ及びクロック回路パスが発散する一連の共通ポイン
ト(以降では"CCPPSポイント"として参照される)
を識別するステップを含む。次にデータ到達時刻が網内
の少なくとも1つのデータ・エンドポイントに伝播さ
れ、それらが通過する共通ポイントが存在する場合に
は、それらのデータ到達時刻に共通ポイントがタグ付け
される。伝播の間、同一の共通ポイントがタグ付けされ
るデータ到達時刻が併合され、特定のエンドポイントに
達する各データ到達時刻には、異なる共通ポイントがタ
グ付けされる。伝播される到達時刻は1つの数ではな
く、到達時刻の統計的分散または範囲である。
【0017】同様にクロック到達時刻が、次に網内の少
なくとも1つのクロック・エンドポイントに伝播され、
それらが通過する共通ポイントが存在すれば、クロック
到達時刻に共通ポイントがタグ付けされる。伝播の間、
同一の共通ポイントがタグ付けされるクロック到達時刻
が併合され、特定のエンドポイントに達する各クロック
到達時刻には、異なる共通ポイントがタグ付けされる。
伝播される到達時刻は1つの数ではなく、到達時刻の統
計的分散または範囲である。
【0018】各クロック・エンドポイントは、対応する
データ・エンドポイントと同一視され、複数のデータ/
クロック・エンドポイント対(テスト)を構成する。各
エンドポイント対における各データ/クロック到達時刻
対によるスラックが計算される。最悪のスラックを有す
るデータ/クロック到達時刻対が識別される。
【0019】クロック及びデータ・パスが最悪のスラッ
クを生成する共通ポイントが、これらの到達時刻に関連
付けられる共通ポイント・タグから決定される。共通ポ
イントからデータ及びクロック・エンドポイントまでの
伝播時間が、最悪スラックを有するデータ/クロック到
達時刻対に対応して再計算され、この再計算値が、この
データ/クロック到達時刻対に対応する修正スラックと
なる。この修正スラックが調査され、これが2番目に最
悪のスラックを有するデータ/クロック到達時刻対のス
ラックよりも良好かどうかが判断される。良好でない場
合、このエンドポイント対(テスト)に対応する最悪ス
ラックが、識別されたことになる。
【0020】しかしながら、修正スラックが2番目に最
悪のスラックを有するデータ/クロック到達時刻対のス
ラックよりも良好な場合には、この2番目に最悪のスラ
ックが新たな最悪スラックとなる。このようにして、上
述されたプロシージャが繰返される。このプロセスは、
共通ポイントからエンドポイントまでの修正スラック
が、次に最悪のスラックを有するデータ/クロック到達
時刻対のスラックよりも悪くなるまで継続される。そし
てこの時点で、エンドポイント対(テスト)に対応する
最悪スラックが識別されたことになる。
【0021】
【発明の実施の形態】本発明は、例えば図3に示される
ような論理網10のタイミング特性を分析する方法を提
供する。論理網10は複数の論理素子12及びラッチ1
4を含み、これらはパス・セグメント16から成る導通
パスにより相互接続される。入力信号、特にクロック信
号及びデータ信号が入力18に供給され、論理素子を通
じて、それぞれラッチ14のクロック入力20及びデー
タ入力22に伝播される。図3に示されるように、論理
素子L1乃至L3を含む網の一部は、クロック信号及び
データ信号の両方に共通である。論理素子L3の出力2
4において、クロック及びデータ・パスが発散し、クロ
ック信号は論理素子L4を通じて、ラッチ14のクロッ
ク入力20に伝播され、データ信号は、論理素子L5及
びL6を通じて、ラッチ14のデータ入力22に伝播さ
れる。
【0022】本発明は論理網分析方法を提供し、それに
より、図3の網を通じて伝播されるクロック信号及びデ
ータ信号の同期が分析される。本方法は、データ信号及
びクロック信号の両方が伝播される網の共通部分により
発生しうるタイミング偏差を、これらの差を排除するこ
とにより考慮する。本方法は、例えばEinsTimerTMなど
の適切なタイミング分析ツールにおいて、アルゴリズム
として実現されうる。この特定のタイミング分析ツール
は、ブロック指向の統計的タイミング分析を実行し、I
BM RX/6000以降の製品設計において使用され
た電子設計自動システムの一部である。
【0023】特に本方法は、論理網を伝播するクロック
信号とデータ信号とのスラックを計算することにより、
タイミング分析を実行する。スラックは一般に、網内の
あるポイントにおける信号の実際の到達時刻と、その信
号が到達しなければならない時刻(要求時刻)との差の
測定である。テスト・ポイントにおけるスラックは、網
を通じて実際の到達時刻を伝播し、次に要求時刻を網を
通じて逆方向に伝播することにより、網内の任意のポイ
ントにおけるスラックに一般化される。テスト・ポイン
トにおけるスラックは、網の設計者にとって使用可能な
時間に関する余裕量である。
【0024】本方法は、論理網の早期(early)または
遅延(late)モード分析のいずれかを実行するために使
用される。早期モード分析では、テスト・ポイントにお
けるデータ信号がクロック信号の後に到達することが要
求される。遅延モード分析では、テスト・ポイントにお
けるデータ信号がクロック信号より先に到達することが
要求される(図2参照)。従って遅延モード分析では、
データ・パスに沿って伝播される要求時刻は、データ信
号の実際の到達時刻の上限、またはデータ信号が到達し
うる最遅時刻である。クロック・パスに沿って伝播され
る要求時刻は、クロック信号の実際の到達時刻の下限、
またはクロック信号が到達しうる最早時刻である。従っ
て、クロック・パス及びデータ・パスの両方に存在する
網内のポイントは、到達時刻に関するデータの上限(最
遅)とクロックの下限(最早)の2つの要求時刻を伝播
される。これらのデータ上限及びクロック下限と、実際
のデータ到達時刻及びクロック到達時刻との差が、それ
ぞれデータ・スラック及びクロック・スラックとして参
照される。これらのスラックの符号については、負のス
ラックは確立されたタイミング要求を満たさないことを
示す。遅延モード分析においては、クロック信号がクロ
ック下限に先行して早期に到達すると、クロック・スラ
ックは負となり、またデータ信号がデータ上限よりも遅
れて到達すると、データ・スラックは負となる。統計的
タイミング分析では、到達時刻及び要求時刻は1つの数
ではなく、値の分布または範囲である。これらの値が加
算及び比較され、既知の方法によりスラックを計算する
ために使用される。本説明において参照される到達時刻
及び要求時刻は、値のこうした分布または範囲をさすも
のである。
【0025】本方法は、論理網を伝播されるクロック信
号とデータ信号との間の最小スラックを計算する一連の
ステップ(図4及び図5参照)を含む。最初に、クリテ
ィカルなクロック/データ・パス対に対応する発散ポイ
ントである網内のテスト・ポイントが識別される。共通
パスを通過するクロック信号及びデータ信号により生じ
るタイミング偏差は、もし網テストがこれらの共通パス
の偏差によってのみ失敗するならば、設計者にとって問
題となる。従って、問題となる網の共通部分は網内の次
のポイント、すなわち、(i)クロック・スラックとデ
ータ・スラックの両方が負であるポイント、及び(i
i)負のクロック・スラックまたは負のデータ・スラッ
クのいずれか一方だけを有する網内の他のポイントに供
給するポイント、に至る共通部分である。これらのポイ
ントは、クリティカル共通パス・ポイント・セット(C
CPPS)として参照される。負のクロック・スラック
及び負のデータ・スラックの両方を有する共通パス・ポ
イント、並びに負のクロック・スラック及びデータ・ス
ラックを有する他のポイントにだけ供給する共通パス・
ポイントを識別する必要はない。
【0026】以降で詳述されるように、各クロック及び
データ到達時刻は、それらを算出するために通過した網
内のCCPPSポイントを識別するために、タグ付けさ
れる。タグはいずれのCCPPSポイントも通過しない
信号に対しても、"null"タグが提供され、これはCCP
PSポイントのセットの要素と見なされる。
【0027】CCPPSは、上述のように識別される網
の共通クロック/データ部分を定義する全ポイント・セ
ットよりも小さなサブセットであり、網の共通クロック
/データ部分を定義するポイントに対して、初期統計的
タイミング分析を実行して、負のクロック・スラックと
データ・スラックの両方を有するポイントを判断するこ
とにより識別される。場合に応じて、設計者は網トポロ
ジまたは特定の設計スタイルにより、負のクロック・ス
ラック及び負のデータ・スラックが発生しうる箇所を知
ることができる。こうした場合では、これらの識別潜在
ポイントがCCPPS内に配置され、初期統計的タイミ
ング分析の必要を排除する。
【0028】CCPPSが識別されると、クロック及び
データ到達時刻が従来通り、入力からCCPPSを介し
て、網内の様々なテスト・ポイントに伝播される。図6
はノード回路図を示し、ポイントA及びBはテストされ
る網への入力であり、ポイントCはCCPPS内のポイ
ントであり、ポイントE−F及びI−Jはテスト・ポイ
ント対である。複数のパスを有するテスト・ポイント
(例えばテスト・ポイントI)では、クロック及びデー
タ到達時刻がそのポイントに至る各可能なパスに沿って
伝播される。このようにして、クロック信号及びデータ
信号に対応する到達時刻が、各テスト・ポイントにおい
て識別される。
【0029】到達時刻Ai(iは1乃至、到達時刻をテ
スト・ポイントに伝播するCCPPSポイントの数であ
り、適宜ヌル要素も含まれる)がテスト・ポイントにお
いて計算されると、到達時刻が遅延モード分析において
は、Ai+1≦Aiのように、また早期モード分析において
は、Ai+1≧Aiのように整列される。ここでPiをテス
ト・ポイントにおける到達時刻Aiにおける最大可能悲
観度(pessimism)、すなわちPiは、AIとタグ付けさ
れるCCPPS要素における遅延到来時刻と早期到来時
刻との差である。従って、あるポイントにおける最も楽
観的な調整到達時刻は、遅延モード到達時刻に対応して
i−Piであり、早期モード到達時刻に対応してAi
iである。従って任意のAiに対して、その楽観的調整
到達時刻が未調整のAiよりも悪い他のAjが存在すると
iが考慮から除外され、伝播されない。上述のような
到達時刻の整列により、任意の遅延モード到達時刻Ai
に対して、Aiがmax(j<i)(Aj−Pj)以下であるか、
任意の早期モード到達時刻Ai に対して、Aiがmin(j<i)
(Aj+Pj)以上であると、全てのAk(k≧i)が除
外され、伝播されなければならない到達時刻の数が低減
される。
【0030】複数のCCPPS要素からのパスを有する
テスト・ポイントでは、そのポイントへのパスを有する
CCPPS要素の数と同じ数のタグ付きクロック到達時
刻またはデータ到達時刻が存在しうる。従って、テスト
・ポイントにおいて、複数のタグ付きクロック到達時刻
及びデータ到達時刻が、それらの到達時刻順に整列され
る。
【0031】クロック及びデータ対が同一のCCPPS
要素によりタグ付けされる場合、それぞれのパス内の最
右端の共通ポイントが、そのCCPPS要素に相当す
る。場合に応じて、クロック及びデータ到達時刻が識別
CCPPSポイントを通過しないかもしれない。これら
の場合、到達時刻にはCCPPSのヌル要素がタグ付け
され、クロックまたはデータ到達時刻がCCPPS要素
から抽出されないことを示す。ヌルのCCPPS要素を
タグ付けされたクロックまたはデータ到達時刻は、到達
時刻がCCPPSポイントを通過しないパスに沿って伝
播されたことを示す。図6に示されるように、CCPP
SポイントDを通過する到達時刻は、ヌル要素がタグ付
けされる。なぜならデータ信号だけが、ポイントDが存
在するパスに沿って伝播されるからである。
【0032】データ及びクロック到達時刻が伝播される
と、CCPPSタグ及び到達時刻の整列にもとづき、テ
スト・ポイントにおけるデータ/クロック・スラック計
算が実行される。テストにおけるスラックは、網内の特
定のテスト・ポイントにおける、クロック信号の実際の
到達時刻とデータ信号の実際の到達時刻との時間差とし
て定義される。
【0033】これらのテスト・ポイントにおける正規の
スラック計算では、スラック計算に用いる正しい相関係
数を決定するために、データとクロックの到達時刻の統
計的な差を取る。米国特許第4924430号によるス
ラック計算方法では、相対最小到達時刻をスラックと比
較する以前に、相対最小到達時刻に、テスト・ポイント
における絶対最大到達時刻と相対最小到達時刻との差が
最初に加算され、相対最小到達時刻が調整される。同様
に相対最大到達時刻は、テスト・ポイントにおける相対
最大到達時刻と絶対最小到達時刻との差を差し引いて調
整される。ETE法では、スラック計算は正規の到達時
刻、遅延σ(標準偏差)の合計、及び遅延σの平方(分
散)の合計を伝播することにより達成される。共通ポイ
ントからのσ合計及びσ2合計が次にテスト・ポイント
において、スラック計算に先立ち、クロック及びデータ
の両方のσ合計及びσ2合計からそれぞれ差し引かれ
る。
【0034】本発明では、クロック・パスとデータ・パ
スの共通部分間に完全な相関が存在するという認識によ
り、共通パス偏差がテスト・ポイントにおいて計算され
る最悪統計スラックから除去される。テスト・ポイント
における各タグ付きクロック到達時刻及びデータ到達時
刻は、CCPPS要素を通過するパスに沿う信号の到達
時刻を表すので、そのテスト・ポイントにおけるスラッ
クは、最悪クロック/データ到達時刻対のスラックを示
す。クロック到達時刻及びデータ到達時刻の整列分布
が、各テスト・ポイントにおける最悪スラックを決定す
るために使用される。例えば遅延モード分析では、クロ
ック/データ対に対応する最悪スラックは、最早クロッ
ク到達時刻と最遅データ到達時刻との差である。逆に早
期モード分析では、クロック/データ対に対応する最悪
スラックは、最遅クロック到達時刻と最早データ到達時
刻との差である。クロック及びデータ到達時刻の分布の
残りの要素も整列されるので、2番目に最悪のデータ/
クロック・スラック、3番目に最悪のデータ/クロック
・スラックなども、残りのクロック/データ到達時刻対
に対応して決定されうる。
【0035】計算される統計スラックから除去される共
通パス偏差を識別するために、スラックが計算されてい
るクロック/データ到達時刻対に対応して、CCPPS
タグが比較される。対内のクロック及びデータ到達時刻
が同一のCCPPSタグを有すると、これはパスが発散
するポイント(すなわち最右端の共通ポイント)に相当
するので、最初に計算された最悪スラックに寄与する悲
観度が、その共通ポイントの除去されうる全到達時間偏
差である。(回路の共通部分に帰する悲観度は、クロッ
ク/データ信号対に対応して以前に計算されたスラック
に加算される。)クロックまたはデータ到達時刻の一方
がタグ付けされていないか、CCPPSのヌル要素がタ
グ付けされている場合、回路の共通部分は存在せず、最
初に計算された最悪スラックから悲観度は除去されな
い。(タグ無しの到達時刻、またはCCPPSのヌル要
素がタグ付けされた到達時刻の悲観度は0である。)し
かしながら、クロック及びデータが異なるCCPPS要
素を有する場合には、クロック・パス及びデータ・パス
の共通部分、並びにパスが発散するポイントを決定する
ために、クロック到達時刻及びデータ到達時刻の両方が
タグを用いて逆トレースされなければならない。
【0036】CCPPS要素は、失格テスト・ポイント
(すなわち負のクロック・スラック及びデータ・スラッ
クを有する)に至るクロック・パス及びデータ・パスの
両方に存在しうる最右端のポイントであるので、逆トレ
ースにより、到達時間にタグ付けされたCCPPS要素
から、可能な共通パス・ポイントを見い出すことは可能
である。
【0037】多くのパスが同一のCCPPS要素に導か
れうる。しかしながら、ほとんどのCCPPSポイント
は、集積回路上のクロック生成及び配布網内に存在す
る。また集積回路は、通常、網内の各ポイントに至る単
一の所定のパスが、そのポイントにおける信号の到達時
刻を制御するように設計される。従って、パス・ポイン
トは一般にツリー(図6参照)を形成し、そこでは各ポ
イントは一般に、1つの前任CCPPSポイントだけを
有する。逆トレース・プロセスは従って、データ到達時
刻及びクロック到達時刻の両方に対応する最右端の共通
ポイントを見い出すように、ツリー内の単一のパスに沿
って逆トレースすることを可能にする。
【0038】多くの場合、この逆トレース・プロセス
は、比較されるクロック到達時刻及びデータ到達時刻に
対応するパスが共通部分を共有しないと判断する。これ
らの場合をより迅速に識別するために、各CCPPS要
素を悲観度が除去されうるパス内の最左端のポイントに
関連付けることができる。共通パスを共有する任意の2
つのポイントは、同一の最左端ポイントを有する。従っ
て、クロック及びデータ到達時刻にタグ付けされるCC
PPS要素に関連付けられる最左端ポイントが一致しな
い場合、共通パス部分が存在しないことを即時知ること
ができ、逆トレース・プロシージャは必要とされない。
この場合、最初に計算された最悪スラックから悲観度は
除去されない。
【0039】最悪のスラックに対応するデータ/クロッ
ク対の最右端共通ポイントは、上述のように、その対に
付加されるタグを用いて、パスを逆トレースすることに
より見い出される。最悪スラックが次に、その最右端共
通ポイントまたは発散ポイントにおける偏差を除外して
再計算される。この最悪スラックの再計算は、スラック
を改善するために正方向にスラックを調整するので、再
計算される最悪スラックが、最初に計算された2番目に
最悪のスラックよりも良好である(負の程度が小さい)
ことは可能である。そうであるならば、最初に計算され
た2番目に最悪のスラックが、各パスから発散ポイント
における偏差を除外して再計算され、新たな2番目に最
悪のスラック値が生成される。再計算された2番目に最
悪のスラックは、最初に計算された3番目に最悪のスラ
ックと比較される。このプロセスは、次に最悪のスラッ
クが正であるか(この場合テストは合格と見なされ
る)、再計算後のスラックが最初に計算された次に最悪
のスラックよりも良好でなくなるまで繰返される。(再
計算以前に)再計算後のスラックが次に最悪のスラック
よりも良好でなくなると、それ以上のスラックの再計算
は無意味となる。なぜなら、再計算がスラックの改善に
つながらないからである。共通パス偏差の除外はスラッ
ク値を改善するだけであるので、このプロセスは常にテ
スト・ポイントにおける最悪のスラックを提供する。こ
のように、クロック信号及びデータ信号が通過するパス
の共通部分により生じるスラック偏差を除去しながら、
分析対象の回路パスに対応する最悪スラックが識別され
る。この情報にもとづき、回路設計者は、所定の性能目
標が設計により満足されるかどうかを判断する。満足さ
れない場合、回路は再設計され、再度テストされる。こ
のプロセスは、受諾可能な設計が識別されるまで繰返さ
れ、その後、回路が既知の実現方法により、ハードウェ
ア形態として実現される。
【0040】共通パスを除外せずに最悪スラックを計算
する理由は、共通ポイントを見い出すプロセスが幾分高
価なことによる。到達時刻を選択的に順序化することに
より、全てのクロック/データ対に対応するスラックを
計算すること無く、最悪スラックを生じるクロック/デ
ータ対を識別することが可能となる。前記いずれのケー
スにも当てはまらない場合には、単に全ての到達時刻対
に対応する共通ポイントを見い出し、各対に対応するス
ラックを共通パス偏差を除外して計算し、最悪の結果を
使用すればよい。
【0041】本方法の任意選択ステップとして、要求到
達時刻をテスト・ポイントから逆方向に伝播し、テスト
・ポイントに加えて、網内の他のポイントにおけるスラ
ックを見い出す。このステップは、単に網がテスト・ポ
イントにおいて合格するか失格するかを判断するだけの
ためには必要ないが、分析の間に見い出される任意の問
題に寄与する網内の他の内部ポイントを識別するための
支援となる。本方法は、基本的には、要求時刻をテスト
・ポイントから逆方向に伝播し、任意のポイントにおけ
るスラックを、そのポイントにおける要求到達時刻と実
際の到達時刻との差として計算することにより、網内の
内部ポイントにおけるスラックを計算する通常の方法と
同じである。しかしながら、本方法の場合には、複数の
異なるタグ付き到達時刻がテスト・ポイントに向けて順
方向に伝播され、その結果、複数の異なるタグ付き要求
時刻が、網を通じて逆方向に伝播される。データ到達時
刻のタグが、クロック要求時刻に乗せて逆方向に伝播さ
れ、クロック到達時刻のタグが、データ要求時刻に乗せ
て逆方向に伝播される。2つの異なるタグ付き値に対応
する共通パス偏差が次に見い出され、予備の除外ステッ
プを含めて、上述のように除外される。更に、要求時刻
が逆方向に伝播されるとき、あるポイントにおける任意
の到達時刻タグの最左端ポイントと同一でない最左端ポ
イントを有する要求時刻が、タグを解除される。換言す
ると、そのポイントにおいてタグを有さない他の要求時
刻と併合される。
【0042】本発明の方法は、更に、網内の所与のポイ
ントに伝播される到達時刻及びCCPPSを決定する別
の手段を提供する。上述のように、CCPPSポイント
は初期統計分析を実施することにより識別される。この
分析にもとづき、特定のテスト・ポイントにおける最悪
スラックが、そのポイントにおいて最悪スラックを生じ
る到達時刻を逆トレースすることにより決定される。こ
の最悪スラックに寄与するクロック及びデータ到達時刻
は、(i)予め定義されたCCPPSポイントの1つに
達するまで、或いは(ii)CCPPSポイントをタグ
付けされる1つ以上の到達時刻を有する別のポイントに
達するまで逆トレースされる。タグ付き到達時刻は、適
切なCCPPSポイントにより識別され、次にこれらの
ポイントからトレース・パスに沿って、順方向に伝播さ
れる。最遅/最早側のパスからのタグ無し到達時刻につ
いても、順方向に伝播される。
【0043】新たなスラックが、上述のように、これら
のタグ付き時刻を用いて、共通パス悲観度を除去するこ
とにより、再計算される。再計算後の新たなスラック
が、次に最悪の新たな計算スラックよりも依然として悪
い場合、もはや再計算は実行されない。それ以外では、
最初に計算された最悪スラックが、再度、クロック到達
時刻とデータ到達時刻との比較による場合、それらの少
なくとも一方がタグを解除され、このスラックに寄与す
るクロック・パス及びデータ・パスが新たなタグ解除パ
スに沿って逆トレースされ、最悪スラックが実際に、共
にタグ付き到達時刻を有するクロック到達時刻及びデー
タ到達時刻の比較によるまで、これらの2つのステップ
が繰返される。こうした方法は、逆トレース・パスに沿
うタグ付き到達時刻を記憶することにより、同一のサブ
パスを繰返しトレースする問題を回避し、こうしたタグ
付き時刻を有するポイントに遭遇した時点で、トレース
を中止することを可能にする。
【0044】従って、論理網のタイミング特性を分析す
る好適な方法が提供され、スラックが、クロック及びデ
ータ信号により共有される共通網パスの偏差を除外する
ことにより計算される。以上、本発明は特定の実施例に
関連して述べられてきたが、当業者には、本発明の趣旨
から逸脱すること無しに、様々な変更及び他の構成が可
能であることが理解されよう。
【0045】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0046】(1)複数の導通パスにより相互接続され
る複数の回路素子を含む電子回路を通じて伝播される個
別の信号の到達時間のタイミング差を分析する統計法で
あって、 i)データ到達時刻がデータ・エンドポイントに伝播さ
れる第1のデータ・パスにおける少なくとも1つの第1
の開始ポイントを識別するステップと、 ii)前記データ・エンドポイントに至る第2のデータ
・パスと、前記データ・エンドポイントとエンドポイン
ト対を構成するクロック・エンドポイントに至るクロッ
ク・パスとに対応する少なくとも1つの第2の開始ポイ
ントを識別するステップと、 iii)前記第2のデータ・パス及び前記クロック・パ
スが発散する少なくとも1つの共通ポイントを識別する
ステップと、 iv)複数のデータ到達時刻を、前記少なくとも1つの
第1の開始ポイントから前記第1のデータ・パスに沿っ
て、及び前記少なくとも1つの第2の開始ポイントから
前記第2のデータ・パスに沿って、前記データ・エンド
ポイントに伝播し、前記第2のデータ・パスに沿って伝
播される前記データ到達時刻に、前記少なくとも1つの
共通ポイントの1つをタグ付けするステップと、 v)複数のクロック到達時刻を、前記少なくとも1つの
第2の開始ポイントから前記クロック・パスに沿って前
記クロック・エンドポイントに伝播し、前記クロック到
達時刻に前記少なくとも1つの共通ポイントの1つをタ
グ付けするステップであって、前記複数のデータ到達時
刻及びクロック到達時刻が、前記エンドポイント対にお
いて、複数のクロック/データ到達時刻対を構成し、 vi)前記複数の各クロック/データ到達時刻対に対応
する前記エンドポイント対におけるスラックを判断し、
最悪の前記スラックを生成する最悪の前記クロック/デ
ータ到達時刻対を識別するステップと、 vii)前記最悪クロック/データ到達時刻対における
前記データ到達時刻が、同一の前記共通ポイントをタグ
付けされている場合、前記少なくとも1つの第2の開始
ポイントと前記同一の共通ポイントとの間の、前記第2
のデータ・パスと前記クロック・パスとの共有部分にお
ける前記データ到達時刻及び前記クロック到達時刻の伝
播により生じる前記スラックを除去することにより、前
記最悪クロック/データ到達時刻対に対応する前記エン
ドポイント対における前記スラックを再計算するステッ
プと、を含む、方法。 (2)viii)前記再計算結果のスラックが、次に最
悪の前記クロック/データ到達時間対による前記スラッ
クよりも良好かどうかを判断するステップと、 ix)ステップviii)で良好と判断される場合、前
記次に最悪のクロック/データ到達時間対の前記データ
到達時間が、前記同一の共通ポイントをタグ付けされて
いれば、前記少なくとも1つの第2の開始ポイントと前
記同一の共通ポイントとの間の、前記第2のデータ・パ
スと前記クロック・パスとの共有部分における前記デー
タ到達時刻及び前記クロック到達時刻の伝播により生じ
る前記スラックを除去することにより、前記次に最悪の
クロック/データ到達時間対に対応する前記スラックを
再計算するステップと、を含む、前記(1)記載の方
法。 (3)前記再計算結果のスラックが、前記次に最悪のク
ロック/データ到達時間対による前記スラックよりも悪
くなるまで、ステップviii)及びix)を繰返すス
テップを含む、前記(2)記載の方法。 (4)x)最終の前記再計算結果のスラックが所定の性
能目標に適合するかどうかを判断するステップと、 xi(a))前記性能目標が満足されない場合、前記回
路を再設計するステップと、 xi(b))前記性能目標が満足される場合、前記回路
をハードウェア形態より実現するステップと、を含む、
前記(3)記載の方法。 (5)前記スラックが負でない数であれば、前記回路が
前記所定の性能目標に適合すると判断する、前記(4)
記載の方法。 (6)前記複数のデータ到達時刻及びクロック到達時刻
が、それぞれ、前記データ到達時刻及びクロック到達時
刻の統計分布を含む、前記(1)記載の方法。 (7)前記エンドポイント対における前記複数のクロッ
ク/データ到達時刻対が、最初に前記データ到達時刻及
び前記クロック到達時刻を到達時刻順に整列することに
より形成される、前記(1)記載の方法。
【0047】
【発明の効果】以上説明したように、本発明によれば、
論理網におけるタイミング同期の偏差を分析するより正
確な方法を提供することができる。更に本発明によれ
ば、分析信号が通過する共通パスを認識しない非モンテ
カルロ統計分析法の欠点を除去すると同時に、コンピュ
ータ記憶及びラン・タイムを節約するこうした分析方法
を提供することができる。
【図面の簡単な説明】
【図1】信号が非常に多数の集積回路チップにより実現
される論理網を通過するための総遅延時間の正規分布を
示す図である。
【図2】論理網を伝播する非常に多数のデータ及びクロ
ック信号の到達時刻の統計分布を示す図である。
【図3】本発明によりテストされる論理網の回路図であ
る。
【図4】本発明の網分析方法の流れ図である。
【図5】本発明の網分析方法の流れ図である。
【図6】本発明により網が分析されるテスト・ポイント
を示す別の論理網の図である。
【符号の説明】
10 論理網 12 論理素子 16 パス・セグメント 18 入力 20 クロック入力 22 データ入力 24 論理素子出力
フロントページの続き (72)発明者 ジャネット・ピィ・アルバレズ アメリカ合衆国05403、バーモント州サウ ス・バーリントン、セントラル・アベニュ ー 52 (72)発明者 クリシナ・ピィ・ベルクハル アメリカ合衆国12590、ニューヨーク州ワ ッピンガーズ・フォールズ、サレイ・レー ン 23ディ

Claims (7)

    【特許請求の範囲】
  1. 【請求項1】複数の導通パスにより相互接続される複数
    の回路素子を含む電子回路を通じて伝播される個別の信
    号の到達時間のタイミング差を分析する統計法であっ
    て、 i)データ到達時刻がデータ・エンドポイントに伝播さ
    れる第1のデータ・パスにおける少なくとも1つの第1
    の開始ポイントを識別するステップと、 ii)前記データ・エンドポイントに至る第2のデータ
    ・パスと、前記データ・エンドポイントとエンドポイン
    ト対を構成するクロック・エンドポイントに至るクロッ
    ク・パスとに対応する少なくとも1つの第2の開始ポイ
    ントを識別するステップと、 iii)前記第2のデータ・パス及び前記クロック・パ
    スが発散する少なくとも1つの共通ポイントを識別する
    ステップと、 iv)複数のデータ到達時刻を、前記少なくとも1つの
    第1の開始ポイントから前記第1のデータ・パスに沿っ
    て、及び前記少なくとも1つの第2の開始ポイントから
    前記第2のデータ・パスに沿って、前記データ・エンド
    ポイントに伝播し、前記第2のデータ・パスに沿って伝
    播される前記データ到達時刻に、前記少なくとも1つの
    共通ポイントの1つをタグ付けするステップと、 v)複数のクロック到達時刻を、前記少なくとも1つの
    第2の開始ポイントから前記クロック・パスに沿って前
    記クロック・エンドポイントに伝播し、前記クロック到
    達時刻に前記少なくとも1つの共通ポイントの1つをタ
    グ付けするステップであって、前記複数のデータ到達時
    刻及びクロック到達時刻が、前記エンドポイント対にお
    いて、複数のクロック/データ到達時刻対を構成し、 vi)前記複数の各クロック/データ到達時刻対に対応
    する前記エンドポイント対におけるスラックを判断し、
    最悪の前記スラックを生成する最悪の前記クロック/デ
    ータ到達時刻対を識別するステップと、 vii)前記最悪クロック/データ到達時刻対における
    前記データ到達時刻が、同一の前記共通ポイントをタグ
    付けされている場合、前記少なくとも1つの第2の開始
    ポイントと前記同一の共通ポイントとの間の、前記第2
    のデータ・パスと前記クロック・パスとの共有部分にお
    ける前記データ到達時刻及び前記クロック到達時刻の伝
    播により生じる前記スラックを除去することにより、前
    記最悪クロック/データ到達時刻対に対応する前記エン
    ドポイント対における前記スラックを再計算するステッ
    プと、を含む、方法。
  2. 【請求項2】viii)前記再計算結果のスラックが、
    次に最悪の前記クロック/データ到達時間対による前記
    スラックよりも良好かどうかを判断するステップと、 ix)ステップviii)で良好と判断される場合、前
    記次に最悪のクロック/データ到達時間対の前記データ
    到達時間が、前記同一の共通ポイントをタグ付けされて
    いれば、前記少なくとも1つの第2の開始ポイントと前
    記同一の共通ポイントとの間の、前記第2のデータ・パ
    スと前記クロック・パスとの共有部分における前記デー
    タ到達時刻及び前記クロック到達時刻の伝播により生じ
    る前記スラックを除去することにより、前記次に最悪の
    クロック/データ到達時間対に対応する前記スラックを
    再計算するステップと、 を含む、請求項1記載の方法。
  3. 【請求項3】前記再計算結果のスラックが、前記次に最
    悪のクロック/データ到達時間対による前記スラックよ
    りも悪くなるまで、ステップviii)及びix)を繰
    返すステップを含む、請求項2記載の方法。
  4. 【請求項4】x)最終の前記再計算結果のスラックが所
    定の性能目標に適合するかどうかを判断するステップ
    と、 xi(a))前記性能目標が満足されない場合、前記回
    路を再設計するステップと、 xi(b))前記性能目標が満足される場合、前記回路
    をハードウェア形態より実現するステップと、 を含む、請求項3記載の方法。
  5. 【請求項5】前記スラックが負でない数であれば、前記
    回路が前記所定の性能目標に適合すると判断する、請求
    項4記載の方法。
  6. 【請求項6】前記複数のデータ到達時刻及びクロック到
    達時刻が、それぞれ、前記データ到達時刻及びクロック
    到達時刻の統計分布を含む、請求項1記載の方法。
  7. 【請求項7】前記エンドポイント対における前記複数の
    クロック/データ到達時刻対が、最初に前記データ到達
    時刻及び前記クロック到達時刻を到達時刻順に整列する
    ことにより形成される、請求項1記載の方法。
JP7252296A 1994-09-30 1995-09-29 統計法 Pending JPH08129568A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US316519 1994-09-30
US08/316,519 US5636372A (en) 1994-09-30 1994-09-30 Network timing analysis method which eliminates timing variations between signals traversing a common circuit path

Publications (1)

Publication Number Publication Date
JPH08129568A true JPH08129568A (ja) 1996-05-21

Family

ID=23229391

Family Applications (1)

Application Number Title Priority Date Filing Date
JP7252296A Pending JPH08129568A (ja) 1994-09-30 1995-09-29 統計法

Country Status (2)

Country Link
US (1) US5636372A (ja)
JP (1) JPH08129568A (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7131082B2 (en) 2000-11-22 2006-10-31 Matsushita Electric Industrial Co., Ltd. Delay distribution calculation method, circuit evaluation method and false path extraction method
JP2007242015A (ja) * 2006-03-03 2007-09-20 Fujitsu Ltd メッシュ回路のタイミングの不確定性を判定するための方法及び装置
JP2010272060A (ja) * 2009-05-25 2010-12-02 Fujitsu Semiconductor Ltd 設計支援プログラム、設計支援装置、および設計支援方法
US8104005B2 (en) 2008-10-02 2012-01-24 International Business Machines Corporation Method and apparatus for efficient incremental statistical timing analysis and optimization

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2187466A1 (en) * 1995-10-19 1997-04-20 Kwang-Ting Cheng Method for inserting test points for full- and partial-scan built-in self-testing
JP2874628B2 (ja) * 1996-01-30 1999-03-24 日本電気株式会社 論理回路の最適化装置及びその方法
US5963728A (en) * 1996-08-14 1999-10-05 International Business Machines Corporation Method to partition clock sinks into nets
US6014510A (en) * 1996-11-27 2000-01-11 International Business Machines Corporation Method for performing timing analysis of a clock circuit
US6185723B1 (en) * 1996-11-27 2001-02-06 International Business Machines Corporation Method for performing timing analysis of a clock-shaping circuit
US6046984A (en) * 1997-04-11 2000-04-04 Digital Equipment Corp. Pruning of short paths in static timing verifier
US5944834A (en) * 1997-09-26 1999-08-31 International Business Machines Corporation Timing analysis method for PLLS
US6237127B1 (en) * 1998-06-08 2001-05-22 Synopsys, Inc. Static timing analysis of digital electronic circuits using non-default constraints known as exceptions
US6425110B1 (en) * 1998-12-17 2002-07-23 International Business Machines Corporation Incremental design tuning and decision mediator
US6751744B1 (en) 1999-12-30 2004-06-15 International Business Machines Corporation Method of integrated circuit design checking using progressive individual network analysis
JP3988015B2 (ja) * 2000-06-06 2007-10-10 日本電気株式会社 半導体装置の設計方法
US6795951B2 (en) 2001-02-09 2004-09-21 International Business Machines Corporation Method and system for fault-tolerant static timing analysis
US6651229B2 (en) 2001-10-09 2003-11-18 International Business Machines Corporation Generation of refined switching windows in static timing analysis
US6526543B1 (en) * 2001-11-29 2003-02-25 International Business Machines Corporation Method, system, and computer program product for optimizing logic during synthesis of logic designs
US7117466B2 (en) * 2003-09-18 2006-10-03 International Business Machines Corporation System and method for correlated process pessimism removal for static timing analysis
US7194714B2 (en) * 2003-10-17 2007-03-20 International Business Machines Corporation Method of reducing instantaneous current draw and an integrated circuit made thereby
US7089143B2 (en) * 2004-04-29 2006-08-08 International Business Machines Corporation Method and system for evaluating timing in an integrated circuit
JP4340592B2 (ja) * 2004-06-18 2009-10-07 富士通株式会社 スラック値設定方法,スラック値設定装置,スラック値設定プログラムおよび同プログラムを記録したコンピュータ読取可能な記録媒体
US7356793B2 (en) * 2004-07-12 2008-04-08 International Business Machines Corporation Genie: a method for classification and graphical display of negative slack timing test failures
US7290233B2 (en) * 2004-07-12 2007-10-30 International Business Machines Corporation Method for netlist path characteristics extraction
US7494146B2 (en) * 2007-03-30 2009-02-24 Merida Industry Co., Ltd. Bicycle frame
US7174523B2 (en) * 2004-07-30 2007-02-06 International Business Machines Corporation Variable sigma adjust methodology for static timing
US7437696B2 (en) * 2004-09-30 2008-10-14 Infineon Technologies Ag Method and device for determining the time response of a digital circuit
US7485944B2 (en) * 2004-10-21 2009-02-03 International Business Machines Corporation Programmable electronic fuse
JP4082616B2 (ja) * 2005-01-17 2008-04-30 インターナショナル・ビジネス・マシーンズ・コーポレーション 信号伝播経路描画装置、その描画方法及びプログラム
US20070097755A1 (en) * 2005-10-27 2007-05-03 Marndi Raj N Method for comparing a first data set with a second data set
US7350171B2 (en) * 2005-11-17 2008-03-25 Lizheng Zhang Efficient statistical timing analysis of circuits
US7398491B2 (en) * 2006-05-26 2008-07-08 International Business Machines Corporation Method for fast incremental calculation of an impact of coupled noise on timing
US7689957B2 (en) * 2007-09-10 2010-03-30 Synopsys, Inc. Identifying and improving robust designs using statistical timing analysis
US8122409B2 (en) * 2007-10-09 2012-02-21 International Business Machines Corporation Method and device for selectively adding timing margin in an integrated circuit
US7926019B1 (en) * 2008-02-29 2011-04-12 Altera Corporation Common clock path pessimism analysis for circuit designs using clock tree networks
US7765503B2 (en) * 2008-02-29 2010-07-27 Oracle America, Inc. Half cycle common path pessimism removal method
US7873926B2 (en) * 2008-03-31 2011-01-18 International Business Machines Corporation Methods for practical worst test definition and debug during block based statistical static timing analysis
JP5145167B2 (ja) * 2008-08-20 2013-02-13 ルネサスエレクトロニクス株式会社 クロックドメインチェック方法及びクロックドメインチェック用プログラム並びに記録媒体
US8365115B2 (en) * 2009-03-06 2013-01-29 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for performance modeling of integrated circuits
US8079004B2 (en) * 2009-04-30 2011-12-13 Synopsys, Inc. Efficient exhaustive path-based static timing analysis using a fast estimation technique
US8176461B1 (en) * 2010-05-10 2012-05-08 Xilinx, Inc. Design-specific performance specification based on a yield for programmable integrated circuits
US8316333B2 (en) * 2010-07-22 2012-11-20 International Business Machines Corporation Implementing timing pessimism reduction for parallel clock trees
US8271923B2 (en) 2010-07-22 2012-09-18 International Business Machines Corporation Implementing forward tracing to reduce pessimism in static timing of logic blocks laid out in parallel structures on an integrated circuit chip
US8689158B2 (en) * 2010-11-11 2014-04-01 International Business Machines Corporation System and method for performing static timing analysis in the presence of correlations between asserted arrival times
US8381150B2 (en) 2011-06-02 2013-02-19 International Business Machines Corporation Method for performing a parallel static timing analysis using thread-specific sub-graphs
US9607117B2 (en) 2013-01-08 2017-03-28 Nxp Usa, Inc. Method and apparatus for calculating delay timing values for an integrated circuit design
US8977998B1 (en) * 2013-02-21 2015-03-10 Altera Corporation Timing analysis with end-of-life pessimism removal
US9836571B2 (en) 2015-06-23 2017-12-05 International Business Machines Corporation Applying random nets credit in an efficient static timing analysis
US9690899B2 (en) 2015-08-13 2017-06-27 International Business Machines Corporation Prioritized path tracing in statistical timing analysis of integrated circuits
US9418201B1 (en) * 2015-11-19 2016-08-16 International Business Machines Corporation Integration of functional analysis and common path pessimism removal in static timing analysis
US11188696B1 (en) 2019-04-15 2021-11-30 Cadence Design Systems, Inc. Method, system, and product for deferred merge based method for graph based analysis pessimism reduction

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4827428A (en) * 1985-11-15 1989-05-02 American Telephone And Telegraph Company, At&T Bell Laboratories Transistor sizing system for integrated circuits
US4907180A (en) * 1987-05-04 1990-03-06 Hewlett-Packard Company Hardware switch level simulator for MOS circuits
US4924430A (en) * 1988-01-28 1990-05-08 Teradyne, Inc. Static timing analysis of semiconductor digital circuits
US5282148A (en) * 1989-05-23 1994-01-25 Vlsi Technology, Inc. Method and apparatus for the design and fabrication of integrated circuits employing logic decomposition algorithms for the timing optimization of multilevel logic
US5095454A (en) * 1989-05-25 1992-03-10 Gateway Design Automation Corporation Method and apparatus for verifying timing during simulation of digital circuits

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7131082B2 (en) 2000-11-22 2006-10-31 Matsushita Electric Industrial Co., Ltd. Delay distribution calculation method, circuit evaluation method and false path extraction method
JP2007242015A (ja) * 2006-03-03 2007-09-20 Fujitsu Ltd メッシュ回路のタイミングの不確定性を判定するための方法及び装置
US8104005B2 (en) 2008-10-02 2012-01-24 International Business Machines Corporation Method and apparatus for efficient incremental statistical timing analysis and optimization
JP2010272060A (ja) * 2009-05-25 2010-12-02 Fujitsu Semiconductor Ltd 設計支援プログラム、設計支援装置、および設計支援方法
US8423931B2 (en) 2009-05-25 2013-04-16 Fujitsu Semiconductor Limited Support computer product, apparatus, and method

Also Published As

Publication number Publication date
US5636372A (en) 1997-06-03

Similar Documents

Publication Publication Date Title
JPH08129568A (ja) 統計法
US7062737B2 (en) Method of automated repair of crosstalk violations and timing violations in an integrated circuit design
US6615395B1 (en) Method for handling coupling effects in static timing analysis
US7117466B2 (en) System and method for correlated process pessimism removal for static timing analysis
US4924430A (en) Static timing analysis of semiconductor digital circuits
US8887110B1 (en) Methods for designing intergrated circuits with automatically synthesized clock distribution networks
US5365463A (en) Method for evaluating the timing of digital machines with statistical variability in their delays
US7886246B2 (en) Methods for identifying failing timing requirements in a digital design
US10776547B1 (en) Infinite-depth path-based analysis of operational timing for circuit design
US8689158B2 (en) System and method for performing static timing analysis in the presence of correlations between asserted arrival times
US8141025B2 (en) Method of performing timing analysis on integrated circuit chips with consideration of process variations
US6795951B2 (en) Method and system for fault-tolerant static timing analysis
WO2008106347A1 (en) System and method for sign-off timing closure of a vlsi chip
JP5883676B2 (ja) Lsi設計方法
US9864824B2 (en) System and method for efficient statistical timing analysis of cycle time independent tests
WO2008106369A2 (en) Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-conrner static timing analysis
US8707229B1 (en) Static analysis of VLSI reliability
US4594677A (en) System for detecting and diagnosing noise caused by simultaneous current switching
US7849429B2 (en) Methods for conserving memory in statistical static timing analysis
US7694254B2 (en) Method, computer program product, and apparatus for static timing with run-time reduction
US7526743B2 (en) Method for routing data paths in a semiconductor chip with a plurality of layers
US9785737B2 (en) Parallel multi-threaded common path pessimism removal in multiple paths
US9449127B1 (en) System for verifying timing constraints of IC design
Joy et al. Placement for clock period minimization with multiple wave propagation
US11270052B2 (en) System and method of timing characterization for semiconductor circuit

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Effective date: 20040728

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041021

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050309