JPH0766211A - Dry etching - Google Patents

Dry etching

Info

Publication number
JPH0766211A
JPH0766211A JP21320593A JP21320593A JPH0766211A JP H0766211 A JPH0766211 A JP H0766211A JP 21320593 A JP21320593 A JP 21320593A JP 21320593 A JP21320593 A JP 21320593A JP H0766211 A JPH0766211 A JP H0766211A
Authority
JP
Japan
Prior art keywords
insulating film
etching
conductive material
layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP21320593A
Other languages
Japanese (ja)
Inventor
Shingo Kadomura
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP21320593A priority Critical patent/JPH0766211A/en
Publication of JPH0766211A publication Critical patent/JPH0766211A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE:To prevent trenching in a contact hole when an insulating layer and a polysilicon layer are simultaneously exposed at an etching surface. CONSTITUTION:When a side-wall contact hole 10 is opened in a multilayer film composed alternately of insulating layers 2, 5 and 7 and polysilicon layers 3 and 6, the etching of the insulating layer 5 is stopped at a silicon nitride layer 4 (Si3N4) provided directly under the second polysilicon layer 3 having a narrower pattern than the width of an opening 9 in a resist mask 8. After that, the second polysilicon layer 3 is selectively etched, so that the etching surface is corrected to be flat, causing no trenching during the following etching process. The silicon nitride layer 4 can be directly above the second polysilicon layer 3.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造分野等
の微細加工分野において適用されるドライエッチング方
法に関し、特に、エッチング途中で被エッチング面にエ
ッチング特性の異なる材料層が同時に露出しても形状異
常を抑制することにより、たとえば良好な形状の側壁コ
ンタクト用接続孔の形成を可能とする技術に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the field of fine processing such as a semiconductor device manufacturing field, and in particular, even when material layers having different etching characteristics are simultaneously exposed on a surface to be etched during etching. The present invention relates to a technique capable of forming a contact hole for a sidewall contact having a good shape, for example, by suppressing an abnormal shape.

【0002】[0002]

【従来の技術】半導体集積回路の製造分野では、次々世
代のメモリ素子である256MDRAMあるいは64M
SRAMクラスの大容量メモリ素子の開発に向けて、最
小加工寸法0.25μmを実現する微細加工技術に関す
る研究が進められている。一方、このような微細加工技
術の進展と並行して、チップ面積を低減させるためにセ
ル構造も複雑化している。たとえば、TFT(薄膜トラ
ンジスタ)を負荷素子として用いるSRAMでは、ポリ
シリコン配線層が3層、4層、あるいはこれ以上の層数
に積層されるケースも珍しくはない。
2. Description of the Related Art In the field of manufacturing semiconductor integrated circuits, 256M DRAM or 64M which is a next-generation memory device.
To develop a large-capacity memory device of SRAM class, research on a fine processing technology for realizing a minimum processing size of 0.25 μm is underway. On the other hand, in parallel with the progress of such fine processing technology, the cell structure has become complicated in order to reduce the chip area. For example, in SRAMs that use TFTs (thin film transistors) as load elements, it is not uncommon for polysilicon wiring layers to be stacked in three layers, four layers, or more layers.

【0003】かかる多層配線の相互接続を行う場合、各
配線層用に個別の接続孔を開口していたのでは、プロセ
スが煩瑣となるばかりか、セル面積の縮小や集積度の向
上にも限界が生ずる。この対策として近年、いわゆる側
壁コンタクト(プラグ・イン配線)構造が提案されてい
る。これは、配線層と層間絶縁膜を交互に複数回積層し
た積層膜を貫通する接続孔を開口し、この接続孔をプラ
グで埋め込むことにより、接続孔の側壁面または底面に
臨む複数の配線層間を相互接続する構造である。
When interconnecting such multi-layered wiring, opening individual connection holes for each wiring layer not only complicates the process, but also limits the reduction of the cell area and the improvement of the degree of integration. Occurs. As a countermeasure against this, a so-called sidewall contact (plug-in wiring) structure has been proposed in recent years. This is because by opening a connection hole that penetrates a laminated film in which a wiring layer and an interlayer insulating film are alternately laminated a plurality of times and filling the connection hole with a plug, a plurality of wiring layers facing the side wall surface or the bottom surface of the connection hole can be formed. Is a structure for interconnecting.

【0004】たとえば、1991年IEEE Inte
rnational Electron Device
s Meeting(IEDM 91)論文集,p.4
77〜480には、記憶ノードに側壁コンタクトを適用
したSRAMが報告されている。これは、負荷素子とな
るダブルゲート型pMOS−TFTのボトム・ゲート層
(2層めポリシリコン層)と、TFTチャネル兼電源線
層(3層めポリシリコン層)とを貫いてドライバ・トラ
ンジスタのゲート電極(1層めポリシリコン層を含むポ
リサイド膜)に至る接続孔を開口し、その内壁面にpM
OS−TFTのトップ・ゲート層(4層めポリシリコン
層)を被着させることにより、上下ゲート電極の接続、
およびTFTチャネル兼電源線層とドライバ・トランジ
スタのゲート電極の接続を行ったものである。
For example, 1991 IEEE Inte
national Electron Device
s Meeting (IEDM 91) Proceedings, p. Four
77 to 480, an SRAM in which a sidewall contact is applied to a storage node is reported. This is because the driver transistor is penetrated through the bottom gate layer (second polysilicon layer) of the double gate type pMOS-TFT which is a load element and the TFT channel / power line layer (third polysilicon layer). A connection hole reaching the gate electrode (polycide film including the first polysilicon layer) is opened, and pM is formed on the inner wall surface thereof.
By connecting the top gate layer (4th polysilicon layer) of the OS-TFT, the upper and lower gate electrodes are connected,
Also, the TFT channel / power line layer is connected to the gate electrode of the driver transistor.

【0005】上述のような側壁コンタクトをとるための
接続孔を開口するエッチングでは、典型的にはSiOx
で構成される層間絶縁膜とポリシリコンで構成される配
線層といった、エッチング特性の異なる材料層を交互に
エッチングしなければならない。そのための最も単純な
考え方は、SiOx 用エッチング装置とポリシリコン用
エッチング装置との間でウェハを行き来させ、前者では
SiOx /ポリシリコン選択エッチング、後者ではポリ
シリコン/SiOx 選択エッチングを行うことである。
なお、本明細書中では、選択エッチングの対象となる材
料層の表記を、(上層側の層)/(下層側の層)の形式
で行う。この方法では、複数のエッチング装置またはエ
ッチング装置が必要となるものの、各々の薄膜を制御性
良く加工できるというメリットが得られる。
In the etching for opening the contact hole for making the sidewall contact as described above, typically, SiO x is used.
It is necessary to alternately etch material layers having different etching characteristics, such as an inter-layer insulating film composed of and a wiring layer composed of polysilicon. The simplest way to do this is to move the wafer back and forth between an etching device for SiO x and an etching device for polysilicon, and perform SiO x / polysilicon selective etching in the former case and polysilicon / SiO x selective etching in the latter case. Is.
In this specification, the material layer to be the target of selective etching is expressed in the form of (upper layer side layer) / (lower layer side layer). This method requires a plurality of etching apparatuses or etching apparatuses, but has an advantage that each thin film can be processed with good controllability.

【0006】[0006]

【発明が解決しようとする課題】上述の方法によれば、
SiOx 層とポリシリコン層の常にどちらかが被エッチ
ング領域の全面に露出している限り、エッチングは問題
なく進行する。しかし、リソグラフィ工程におけるアラ
イメントずれやレイアウト上の理由等によりポリシリコ
ン層が被エッチング領域の一部にしか露出しない場合に
は、接続孔の形状劣化の問題を生ずる可能性がある。こ
の問題を、図6ないし図8を参照しながら説明する。
According to the above method,
As long as one of the SiO x layer and the polysilicon layer is exposed on the entire surface of the etched region, etching proceeds without any problem. However, when the polysilicon layer is exposed only in a part of the region to be etched due to misalignment in the lithography process or layout reasons, there is a possibility that the shape of the contact hole may deteriorate. This problem will be described with reference to FIGS.

【0007】図6は、ウェハ上における側壁コンタクト
・ホールの開口領域の近傍を示す斜視図、図7(a)は
そのA−A線断面図である。このウェハは、シリコン基
板(Si)21上に2層めポリシリコン層(2nd p
olySi)23を埋設した層間絶縁膜(SiO2 )2
2、3層めポリシリコン層(3rd polySi)2
4、層間絶縁膜(SiO2 )24が順次積層され、この
上にフォトリソグラフィにより所定のホール・パターン
にしたがって開口部27が設けられたレジスト・マスク
(PR)26が形成されたものである。この開口部27
は、2層めポリシリコン層23の垂直投影位置に形成さ
れているが、その開口径は2層めポリシリコン層23の
パターン幅よりも大きい。
FIG. 6 is a perspective view showing the vicinity of the opening region of the sidewall contact hole on the wafer, and FIG. 7A is a sectional view taken along the line AA. This wafer consists of a second polysilicon layer (2nd p) on a silicon substrate (Si) 21.
interlayer insulating film (SiO 2 ) 2 with embedded
Second and third polysilicon layers (3rd polySi) 2
4. An interlayer insulating film (SiO 2 ) 24 is sequentially laminated, and a resist mask (PR) 26 having an opening 27 according to a predetermined hole pattern is formed thereon by photolithography. This opening 27
Is formed at the vertical projection position of the second polysilicon layer 23, and the opening diameter thereof is larger than the pattern width of the second polysilicon layer 23.

【0008】このウェハについて、まずSiOx 用エッ
チング装置で層間絶縁膜(SiO2)25をエッチング
し、次にポリシリコン用エッチング装置で3層めポリシ
リコン層24をエッチングし、さらにSiOx 用エッチ
ング装置で層間絶縁膜22をエッチングして側壁コンタ
クト・ホール28を途中まで形成する。ここで、上記層
間絶縁膜22のエッチングは、本来ならば若干のオーバ
ーエッチングを行った場合にも、2層めポリシリコン層
23の表面が露出した時点で停止しなければならない。
しかし、図7(b)に示されるように2層めポリシリコ
ン層23の両側に同時に層間絶縁膜22が露出している
ため、この部分で層間絶縁膜22のエッチングが進行
し、トレンチ部29が生じてしまう。
With respect to this wafer, first, the interlayer insulating film (SiO 2 ) 25 is etched by an etching device for SiO x , then the third polysilicon layer 24 is etched by an etching device for polysilicon, and further etching for SiO x is performed . The interlayer insulating film 22 is etched by the apparatus to form the sidewall contact hole 28 part way. Here, the etching of the interlayer insulating film 22 must be stopped when the surface of the second polysilicon layer 23 is exposed even if a slight overetching should be performed.
However, as shown in FIG. 7B, since the interlayer insulating film 22 is exposed at the same time on both sides of the second-layer polysilicon layer 23, the etching of the interlayer insulating film 22 proceeds at this portion, and the trench portion 29 is formed. Will occur.

【0009】この状態のウェハをポリシリコン用エッチ
ング装置に搬入し、図7(c)に示されるように2層め
ポリシリコン層23を除去する。さらにSiOx 用エッ
チング装置で層間絶縁膜22の残余部をエッチングする
と、側壁コンタクト・ホール28の完成時には、図7
(d)に示されるように下地のシリコン基板(Si)2
1にトレンチ部29が転写されてしまう。
The wafer in this state is carried into the etching apparatus for polysilicon, and the second polysilicon layer 23 is removed as shown in FIG. 7 (c). Further, by etching the remaining portion of the interlayer insulating film 22 with an etching device for SiO x , when the side wall contact hole 28 is completed, as shown in FIG.
As shown in (d), the underlying silicon substrate (Si) 2
The trench portion 29 is transferred to 1.

【0010】以上、下地がシリコン基板(Si)21で
ある場合の問題点について説明したが、下地がW−ポリ
サイド膜である場合には、図8に示されるように一層深
刻な問題が生ずる。図8は、層間絶縁膜22の下地が別
の層間絶縁膜29の上に形成されたW−ポリサイド膜、
すなわち1層めポリシリコン層(1st polyS
i)30とタングステン・シリサイド層(WSix )3
1の積層膜である場合を示している。
Although the problems when the underlayer is the silicon substrate (Si) 21 have been described above, when the underlayer is the W-polycide film, a more serious problem occurs as shown in FIG. FIG. 8 shows a W-polycide film in which the base of the interlayer insulating film 22 is formed on another interlayer insulating film 29,
That is, the first polysilicon layer (1st polyS)
i) 30 and a tungsten silicide layer (WSi x) 3
The case where it is a laminated film of No. 1 is shown.

【0011】この場合、層間絶縁膜22のオーバーエッ
チングは、側壁コンタクト・ホール28の周辺部にタン
グステン・シリサイド層31が露出し、中心付近に層間
絶縁膜22が残った状態で開始される。しかし、ここで
タングステン・シリサイド層31のエッチング速度が急
激に上昇し、大きな浸触部32を生ずる。これは、Si
x のエッチングに一般に用いられるフッ素系化学種に
層間絶縁膜22から放出される酸素が加わり、タングス
テン・シリサイド層31のW原子がWFx よりも蒸気圧
の高いWOx y (オキシフッ化タングステン)の形で
速やかに除去されてしまうからである。
In this case, overetching of the interlayer insulating film 22 is started in a state where the tungsten silicide layer 31 is exposed in the peripheral portion of the sidewall contact hole 28 and the interlayer insulating film 22 remains near the center. However, here, the etching rate of the tungsten silicide layer 31 rapidly increases, and a large contact portion 32 is formed. This is Si
O x etching generally joined by oxygen released from the interlayer insulating film 22 on the fluorine-based chemical species used in the high vapor pressure than W atoms of the tungsten silicide layer 31 WF x WO x F y (oxyfluorides tungsten This is because it is quickly removed in the form of).

【0012】そこで本発明は、上述のように被エッチン
グ領域にエッチング特性の異なる材料層が同時に露出し
た場合にも、形状異常を抑制することが可能なドライエ
ッチング方法を提供することを目的とする。
Therefore, it is an object of the present invention to provide a dry etching method capable of suppressing a shape abnormality even when material layers having different etching characteristics are simultaneously exposed in a region to be etched as described above. .

【0013】[0013]

【課題を解決するための手段】本発明のドライエッチン
グ方法は、上述の目的を達するために提案されるものの
であり、第1の絶縁膜の膜厚方向の中途部に少なくとも
1層の導電材料層が介在されてなる積層膜を、これを保
持する基板に対して選択性を確保しながらその所定領域
をエッチングする際に、前記導電材料層の少なくとも1
層の直上に前記第1の絶縁膜に対してエッチング選択性
を有する第2の絶縁膜を積層して前記積層膜を構成し、
この積層膜のエッチングを、前記第2の絶縁膜が露出す
るまでエッチングする工程と、前記第2の絶縁膜をエッ
チングする工程と、前記第2の絶縁膜の直下の導電材料
層を前記第1の絶縁膜とエッチング速度が等しくなる条
件でエッチングする工程と、残余部を前記基板が露出す
るまでエッチングを行う工程とに分けて行うものであ
る。
The dry etching method of the present invention is proposed in order to achieve the above-mentioned object. At least one layer of a conductive material is provided in the middle of the first insulating film in the film thickness direction. At least one of the conductive material layers is used when etching a predetermined region of a laminated film having layers interposed therebetween while ensuring selectivity with respect to a substrate holding the laminated film.
A second insulating film having etching selectivity with respect to the first insulating film is stacked directly on the layer to form the stacked film,
This laminated film is etched until the second insulating film is exposed, the second insulating film is etched, and the conductive material layer immediately below the second insulating film is formed into the first insulating film. The step of etching is performed under the condition that the etching rate is equal to that of the insulating film and the step of etching the remaining portion until the substrate is exposed.

【0014】一方、第2の絶縁膜は、導電材料層の直下
に敷設されていても良い。この場合には、積層膜のエッ
チングの手順が上述とは若干異なり、前記第2の絶縁膜
とこの直上の導電材料層とが露出するまでエッチングす
る工程と、前記導電材料材料層をエッチングする工程
と、前記第2の絶縁膜をエッチングする工程と、残余部
を前記基板が露出するまでエッチングを行う工程とに分
けて行う。
On the other hand, the second insulating film may be laid directly under the conductive material layer. In this case, the procedure for etching the laminated film is slightly different from that described above, and the steps of etching until the second insulating film and the conductive material layer immediately above the second insulating film are exposed and the step of etching the conductive material layer. And the step of etching the second insulating film and the step of etching the remaining portion until the substrate is exposed.

【0015】いずれの場合にも、前記第2の絶縁膜は、
前記導電材料層中、少なくとも前記基板に最も近い導電
材料層か、あるいは少なくとも前記所定領域内における
露出面積が該所定領域の面積よりも小さい導電材料層の
直上または直下に形成する。ここで、導電材料層が1層
しかない場合には、もちろんこの層の直上または直下に
第2の絶縁膜を形成する。
In any case, the second insulating film is
In the conductive material layer, the conductive material layer is formed at least closest to the substrate, or at least directly above or directly below the conductive material layer having an exposed area in the predetermined region smaller than the area of the predetermined region. Here, when there is only one conductive material layer, the second insulating film is, of course, formed immediately above or below this layer.

【0016】また、所定領域よりも小さい露出面積を有
する導電材料層が最も基板に近い側にあれば、この層の
直上または直下に第2の絶縁膜を形成する。さらに、所
定領域よりも小さい露出面積を有する導電材料層が複数
ある場合には、各導電材料層ごとに第2の絶縁膜を設け
ても良いが、これでは成膜工程数もエッチング工程数も
増えてしまう。実用的には、かかる導電材料層のうち最
も下層側の層に対して設ければ十分である。
If a conductive material layer having an exposed area smaller than a predetermined area is located closest to the substrate, a second insulating film is formed immediately above or below this layer. Further, when there are a plurality of conductive material layers each having an exposed area smaller than a predetermined area, a second insulating film may be provided for each conductive material layer, but this also increases the number of film forming steps and the number of etching steps. It will increase. Practically, it is sufficient to provide the lowermost layer among the conductive material layers.

【0017】上記所定領域が接続孔であれば、上述のよ
うないわゆる側壁コンタクト・ホール形成の典型的なプ
ロセスとなる。この場合、前記第1の絶縁膜の典型的な
構成材料はSiOx 系材料、前記導電材料層の典型的な
構成材料はポリシリコン,高融点金属ポリサイド等のシ
リコン系材料である。本発明では、第2の絶縁膜の構成
材料としてSiOx 系材料に対してエッチング選択比の
とれる材料が必要であるが、その好適な材料としては窒
化シリコン(SiNx )系材料が挙げられる。
If the predetermined region is a connection hole, it is a typical process for forming the so-called sidewall contact hole as described above. In this case, a typical constituent material of the first insulating film is a SiO x based material, and a typical constituent material of the conductive material layer is a silicon based material such as polysilicon or refractory metal polycide. In the present invention, a material having an etching selection ratio with respect to the SiO x based material is required as a constituent material of the second insulating film, and a suitable material thereof is a silicon nitride (SiN x ) based material.

【0018】[0018]

【作用】本発明では、第1の絶縁膜と第2の絶縁膜との
間のエッチング選択性を利用して、第2の絶縁膜が露出
した時点で被エッチング面を平坦に補正することによ
り、第1の絶縁膜の局部的なエッチングの進行(トレン
チング)を防止することができる。すなわち、第2の絶
縁膜は、エッチング停止層あるいはオーバーエッチング
に対する緩衝層として機能することになる。
In the present invention, the etching selectivity between the first insulating film and the second insulating film is utilized to correct the surface to be etched flat when the second insulating film is exposed. The local progress of etching (trenching) of the first insulating film can be prevented. That is, the second insulating film functions as an etching stop layer or a buffer layer against overetching.

【0019】たとえば、第1の絶縁膜がSiOx 、第2
の絶縁膜がSiNx 、導電材料層がポリシリコンからそ
れぞれ構成され、導電材料層の直上に第2の絶縁膜が積
層されている場合には、第1の絶縁膜のエッチングを第
2の絶縁膜の表面で停止させ、次に第2の絶縁膜のみを
選択的に除去することができる。つまり、たとえ第2の
絶縁膜を除去した後に導電材料層と共に第1の絶縁膜が
露出していたとしても、その被エッチング面は平坦であ
る。この導電材料層を、第1の絶縁膜と等速エッチング
が行える条件で除去すれば、被エッチング面は平坦に維
持される。したがって、積層膜の残余部をエッチングし
た後にも、接続孔の底面にトレンチングが生じない。
For example, the first insulating film is SiO x and the second insulating film is
The insulating film is SiN x, conductive material layer is composed respectively of polysilicon, when the second insulating film directly above the conductive material layer is laminated, the etching of the first insulating film a second insulating It is possible to stop at the surface of the film and then selectively remove only the second insulating film. That is, even if the first insulating film is exposed together with the conductive material layer after removing the second insulating film, the etched surface is flat. If the conductive material layer is removed under the condition that the first insulating film and the first insulating film can be etched at a constant rate, the surface to be etched is kept flat. Therefore, even after etching the remaining portion of the laminated film, trenching does not occur on the bottom surface of the connection hole.

【0020】一方、導電材料層の直下に第2の絶縁膜が
敷設されている場合には、第1の絶縁膜のエッチングを
前記第2の絶縁膜とこの直上の導電材料層の表面で停止
させ、次に前記導電材料層のみを選択的に除去すること
ができる。この時点で、被エッチング面はやはり平坦と
なり、最終的な接続孔の形状に悪影響が及ぶことがな
い。
On the other hand, when the second insulating film is laid directly under the conductive material layer, the etching of the first insulating film is stopped at the surface of the second insulating film and the conductive material layer immediately above the second insulating film. Then, only the conductive material layer can be selectively removed. At this point, the surface to be etched is also flat, and the final shape of the contact hole is not adversely affected.

【0021】この第2の絶縁膜は、取り敢えず一番下層
側の導電材料層に接して形成すれば、たとえエッチング
がこの地点に達する以前に形状異常が発生していたとし
ても、その露出面で被エッチング面を一旦平坦化するこ
とができる。また、どの導電材料層が所定領域よりも狭
い露出面積をもって露出するかが予め判っている場合に
は、その層に接して第2の絶縁膜を形成すれば、形状異
常の発生を未然に防止することができる。
If the second insulating film is first formed in contact with the lowermost conductive material layer, even if the shape abnormality occurs before the etching reaches this point, the exposed surface of the second insulating film is not exposed. The surface to be etched can be once flattened. Further, when it is known in advance which conductive material layer is exposed with an exposure area smaller than a predetermined area, by forming the second insulating film in contact with the layer, the occurrence of shape abnormality can be prevented. can do.

【0022】このドライエッチングを接続孔の形成領域
において行えば、良好な形状を有する側壁コンタクト・
ホールを形成することができる。
If this dry etching is performed in the region where the contact hole is formed, the sidewall contact / contact having a good shape is formed.
Holes can be formed.

【0023】[0023]

【実施例】以下、本発明の具体的な実施例について説明
する。
EXAMPLES Specific examples of the present invention will be described below.

【0024】実施例1 本実施例は、2層のポリシリコン層を挟んだ層間絶縁膜
をエッチングして側壁コンタクト・ホールを形成するプ
ロセスにおいて、下層側のポリシリコン層の直上に窒化
シリコン層を積層して形状異常の発生を抑制した例であ
る。このプロセスを、図1ないし図3を参照しながら説
明する。
Example 1 In this example, in the process of etching a side wall contact hole by etching an interlayer insulating film sandwiching two polysilicon layers, a silicon nitride layer is formed immediately above the lower polysilicon layer. This is an example in which the occurrence of abnormal shape is suppressed by stacking layers. This process will be described with reference to FIGS.

【0025】エッチング前のウェハを図1(a)に示
す。このウェハは、シリコン基板(Si)1上に2層め
ポリシリコン層(2nd polySi)3を埋設した
層間絶縁膜(SiO2 )2、窒化シリコン層(Si3
4 )4、層間絶縁膜(SiO2)5、3層めポリシリコ
ン層(3rd polySi)6、層間絶縁膜(SiO
2 )7からなる積層膜が形成され、さらにこの積層膜の
上にフォトリソグラフィにより所定のホール・パターン
にしたがって開口部9が設けられたレジスト・マスク
(PR)8が形成されたものである。
The wafer before etching is shown in FIG.
You This wafer is a second layer on a silicon substrate (Si) 1.
Polysilicon layer (2nd polySi) 3 was embedded
Interlayer insulation film (SiO2) 2, silicon nitride layer (Si3N
Four) 4, interlayer insulating film (SiO2) 5th and 3rd layer Polysilico
Layer (3rd polySi) 6, interlayer insulating film (SiO
2) 7 is formed, and the laminated film
Predetermined hole pattern by photolithography on top
Resist mask provided with openings 9 according to
(PR) 8 is formed.

【0026】ここで、上記層間絶縁膜2は、たとえばC
VD法によりシリコン基板(Si)1上にSiO2 膜を
平坦に堆積させ、その表面でポリシリコン層のパターニ
ングを行って2層めポリシリコン層3を形成し、さらに
ウェハの全面にSiO2 膜を堆積させた後、これを上記
2層めポリシリコン層3が露出するまでRIEによりエ
ッチバックするか、あるいは化学機械研磨法により膜厚
を減ずる等の方法により平坦化したものである。
Here, the interlayer insulating film 2 is made of, for example, C.
A SiO 2 film is flatly deposited on the silicon substrate (Si) 1 by the VD method, the polysilicon layer is patterned on the surface to form a second polysilicon layer 3, and the SiO 2 film is further formed on the entire surface of the wafer. Is deposited and then flattened by a method such as etching back by RIE until the second polysilicon layer 3 is exposed, or by reducing the film thickness by a chemical mechanical polishing method.

【0027】上記窒化シリコン層4は、プラズマCVD
法により約50nmの厚さに形成した。また、上記開口
部9は、2層めポリシリコン層3の垂直投影位置に形成
されているが、その開口径は2層めポリシリコン層3の
パターン幅よりも大きい。
The silicon nitride layer 4 is formed by plasma CVD.
It was formed to a thickness of about 50 nm by the method. The opening 9 is formed at the vertically projected position of the second-layer polysilicon layer 3, but the opening diameter is larger than the pattern width of the second-layer polysilicon layer 3.

【0028】上記積層膜を、以下のステップにより順次
エッチングし、側壁コンタクト・ホール10を形成し
た。各ステップのエッチングの内容と参照図面を示す。 ステップ1:SiO2 /polySi 選択エッチング
〔図1(b)〕 ステップ2:polySi/SiO2 選択エッチング
〔図1(c)〕 ステップ3:SiO2 /Si3 4 選択エッチング
〔図2(d)〕 ステップ4:Si3 4 /SiO2 選択エッチング
〔図2(e)〕 ステップ5:SiO2 −polySi 等速エッチング
〔図2(f)〕 ステップ6:SiO2 /Si 選択エッチング
〔図3(g)〕 ここで、上記の選択エッチングの材料層は、(上層側の
層)/(下層側の層)の形式で記載してある。
The above laminated film was sequentially etched by the following steps to form the sidewall contact hole 10. The contents of etching in each step and a reference drawing are shown. Step 1: SiO 2 / polySi selective etching [FIG. 1 (b)] Step 2: polySi / SiO 2 selective etching [FIG. 1 (c)] Step 3: SiO 2 / Si 3 N 4 selective etching [FIG. 2 (d)] Step 4: Si 3 N 4 / SiO 2 selective etching [FIG. 2 (e)] Step 5: SiO 2 -polySi constant velocity etching [FIG. 2 (f)] Step 6: SiO 2 / Si selective etching [FIG. 3 ( g)] Here, the material layer for the selective etching is described in the form of (upper layer side layer) / (lower layer side layer).

【0029】次に、各ステップについて説明する。Next, each step will be described.

【0030】〔ステップ1〕まず、図1(a)に示され
るウェハをSiO2 用の有磁場マイクロ波プラズマ・エ
ッチング装置にセットし、一例として下記の条件で層間
絶縁膜7をエッチングした。 c−C4 8 流量 50 SCCM ガス圧 0.26 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 230 W(800 kH
z) ウェハ載置電極温度 −50 ℃
[Step 1] First, the wafer shown in FIG. 1A was set in a magnetic field microwave plasma etching apparatus for SiO 2 , and the interlayer insulating film 7 was etched under the following conditions as an example. c-C 4 F 8 Flow rate 50 SCCM Gas pressure 0.26 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 230 W (800 kHz)
z) Wafer mounting electrode temperature −50 ° C.

【0031】このエッチング条件は、下地の3層めポリ
シリコン層6が露出すると、その露出面に堆積する炭素
系ポリマーにより100以上もの選択比が得られる条件
である。このステップにより、異方性形状を有する側壁
コンタクト・ホール10が途中まで形成された。このと
きの上記3層めポリシリコン層6は、図1(b)に示さ
れるように側壁コンタクト・ホール10の底面の全面に
表出しているため、オーバーエッチングを行っても図示
される状態はほとんど変化しなかった。
The etching conditions are such that when the underlying third polysilicon layer 6 is exposed, a selection ratio of 100 or more can be obtained depending on the carbon-based polymer deposited on the exposed surface. By this step, the sidewall contact hole 10 having an anisotropic shape was formed partway. At this time, since the third polysilicon layer 6 is exposed on the entire bottom surface of the sidewall contact hole 10 as shown in FIG. 1B, the state shown in FIG. It hardly changed.

【0032】〔ステップ2〕次に、ウェハをポリシリコ
ン用の有磁場マイクロ波プラズマ・エッチング装置に移
し、一例として下記の条件で3層めポリシリコン層6を
エッチングした。 HBr流量 30 SCCM O2 流量 5 SCCM ガス圧 0.65 Pa マイクロ波パワー 850 W(2.45 GH
z) RFバイアス・パワー 10 W(2 MHz) ウェハ載置電極温度 0 ℃
[Step 2] Next, the wafer was transferred to a magnetic field microwave plasma etching apparatus for polysilicon, and as an example, the third polysilicon layer 6 was etched under the following conditions. HBr flow rate 30 SCCM O 2 flow rate 5 SCCM Gas pressure 0.65 Pa Microwave power 850 W (2.45 GH
z) RF bias power 10 W (2 MHz) Wafer mounting electrode temperature 0 ° C.

【0033】このエッチング条件は、原子間結合エネル
ギーの高いSi−O結合から自発的にSi原子を引き抜
くことができないBr系エッチャントを用いた、SiO
2 系材料層に対する典型的な高選択エッチング条件であ
る。これにより、図1(c)に示されるように、3層め
ポリシリコン層6が選択的に除去され、下地の層間絶縁
膜5が露出した。
The etching conditions are SiO using a Br type etchant that cannot spontaneously extract Si atoms from Si--O bonds having high interatomic bond energy.
This is a typical high selective etching condition for the 2 type material layer. As a result, as shown in FIG. 1C, the third polysilicon layer 6 was selectively removed, and the underlying interlayer insulating film 5 was exposed.

【0034】〔ステップ3〕次に、ウェハを再びSiO
2 用のエッチング装置に戻し、一例として下記の条件で
層間絶縁膜5をエッチングした。 C6 6 流量 50 SCCM ガス圧 0.65 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 200 W(800 kH
z) ウェハ載置電極温度 −50 ℃
[Step 3] Next, the wafer is again made of SiO 2.
Returning to the etching apparatus for 2 , the interlayer insulating film 5 was etched under the following conditions as an example. C 6 F 6 Flow rate 50 SCCM Gas pressure 0.65 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 200 W (800 kHz)
z) Wafer mounting electrode temperature −50 ° C.

【0035】ここでは、元々C/F比(分子中のC原子
数とF原子数の比)が大きいC6 6 (ヘキサフルオロ
ベンゼン)をECRプラズマ中で効率良く解離させてC
x + を大量に生成させる一方で、Si3 4 系材料層
に対する選択性を低下させる原因となるF* の生成量を
抑えている。したがって、実用的なエッチング速度を維
持しながらも、窒化シリコン層4に対して約30の選択
比を確保することができ、図2(d)に示されるよう
に、窒化シリコン層4の露出面でエッチングを停止させ
ることができた。
Here, originally, the C / F ratio (C atom in the molecule is
C with a large ratio of the number of atoms to the number of F atoms)6F 6(Hexafluoro
(Benzene) is efficiently dissociated in ECR plasma to form C
Fx +While producing a large amount of3NFourSystem material layer
F that causes a decrease in selectivity to*The amount of
Hold down. Therefore, maintain a practical etching rate.
Approximately 30 selections for the silicon nitride layer 4 while holding
The ratio can be secured, as shown in Fig. 2 (d).
Then, stop the etching on the exposed surface of the silicon nitride layer 4.
I was able to

【0036】なお、C6 6 を上述のように徹底的にイ
オンに解離させるためには、1011イオン/cm3 以上
のイオン密度を達成可能な、いわゆる高密度プラズマが
必要である。この高密度プラズマとしては、本実施例で
用いたECRプラズマの他、ヘリコン波プラズマ、IC
P(Inductively Coupled Pla
sma)、TCP(Transformer Coup
led Plasma)、ホロー・アノード型プラズ
マ、ヘリカル共振器プラズマ等を用いることができる。
In order to thoroughly dissociate C 6 F 6 into ions as described above, so-called high-density plasma capable of achieving an ion density of 10 11 ions / cm 3 or more is required. As the high-density plasma, in addition to the ECR plasma used in this embodiment, helicon wave plasma, IC
P (Inductively Coupled Pla)
sma), TCP (Transformer Coup)
red plasma), hollow-anode type plasma, helical resonator plasma, etc. can be used.

【0037】〔ステップ4〕次に、同じSiO2 用のエ
ッチング装置内でエッチング条件を一例として下記のよ
うに変更し、窒化シリコン層4をエッチングした。 CH3 F流量 50 SCCM ガス圧 0.23 Pa マイクロ波パワー 850 W(2.45 GH
z) RFバイアス・パワー 150 W(800 kH
z) ウェハ載置電極温度 −50 ℃
[Step 4] Next, the silicon nitride layer 4 was etched in the same etching apparatus for SiO 2 by changing the etching conditions as described below as an example. CH 3 F flow rate 50 SCCM Gas pressure 0.23 Pa Microwave power 850 W (2.45 GH
z) RF bias power 150 W (800 kHz)
z) Wafer mounting electrode temperature −50 ° C.

【0038】このステップにおけるエッチングの下地
は、側壁コンタクト・ホール10の底面中央を走る2層
めポリシリコン層3と、その両端部に露出する層間絶縁
膜2である。上記CH3 Fは、これら両者に対して高選
択エッチングを可能とするガスである。すなわち、Si
2 のエッチャントであるCFx + をほとんど生成しな
いので層間絶縁膜2に対して高選択比を確保できる。ま
た、堆積性に優れるので、酸素を供給できない2層めポ
リシリコン層3に対しては、その露出面に炭素系ポリマ
ーを堆積させることで高選択比を確保することができ
る。
The base of the etching in this step is the second polysilicon layer 3 running in the center of the bottom surface of the sidewall contact hole 10 and the interlayer insulating film 2 exposed at both ends thereof. The CH 3 F is a gas that enables high selective etching for both of them. That is, Si
Since CF x + which is an etchant of O 2 is hardly generated, a high selection ratio can be secured for the interlayer insulating film 2. Further, since the depositability is excellent, a high selection ratio can be secured for the second polysilicon layer 3 which cannot supply oxygen by depositing a carbon-based polymer on the exposed surface thereof.

【0039】従来は、図2(e)に示される状態がSi
2 /ポリシリコン選択エッチングの途中で発生してい
たために、周辺部の層間絶縁膜のエッチンクが進んでト
レンチングが生じていたが、本発明では窒化シリコン層
4のみを選択的に除去することで被エッチング面を平坦
に保つことができた。
Conventionally, the state shown in FIG. 2 (e) is Si.
Since it occurred during the selective etching of O 2 / polysilicon, the etching of the interlayer insulating film in the peripheral portion proceeded to cause trenching. However, in the present invention, only the silicon nitride layer 4 should be selectively removed. It was possible to keep the etched surface flat.

【0040】〔ステップ5〕次に、同じSiO2 用のエ
ッチング装置内でエッチング条件をさらに一例として下
記のように変更し、層間絶縁膜2と2層めポリシリコン
層3の等速エッチングを行った。 C2 6 流量 20 SCCM S2 2 流量 30 SCCM ガス圧 0.23 Pa マイクロ波パワー 850 W(2.45 GH
z) RFバイアス・パワー 250 W(800 kH
z) ウェハ載置電極温度 −50 ℃
[Step 5] Next, the etching conditions are further changed as follows by way of example in the same etching apparatus for SiO 2 , and the interlayer insulating film 2 and the second polysilicon layer 3 are etched at a constant rate. It was C 2 F 6 flow rate 20 SCCM S 2 F 2 flow rate 30 SCCM Gas pressure 0.23 Pa Microwave power 850 W (2.45 GH
z) RF bias power 250 W (800 kHz)
z) Wafer mounting electrode temperature −50 ° C.

【0041】この条件は、層間絶縁膜2から供給される
酸素が2層めポリシリコン層3のエッチング速度を上昇
させないよう、S2 2 から解離生成するS(イオウ)
で酸素を捕捉して両者のエッチング速度を等しくするこ
とを意図している。このステップにより、図2(f)に
示されるように、層間絶縁膜2の被エッチング面を平坦
に露出させることができた。
This condition is that S (sulfur) dissociated from S 2 F 2 is generated so that oxygen supplied from the interlayer insulating film 2 does not increase the etching rate of the second polysilicon layer 3.
It is intended to capture oxygen in order to make both etching rates equal. By this step, as shown in FIG. 2F, the etched surface of the interlayer insulating film 2 could be exposed flat.

【0042】〔ステップ6〕最後に、前述の〔ステップ
1〕と同じ条件により層間絶縁膜2の残余部をエッチン
グした。この結果、図3(g)に示されるように、下地
のシリコン基板(Si)1に対して高選択比を維持しな
がら、良好な形状を有する側壁コンタクト・ホール10
を完成することができた。
[Step 6] Finally, the remaining portion of the interlayer insulating film 2 was etched under the same conditions as in [Step 1] described above. As a result, as shown in FIG. 3G, the sidewall contact hole 10 having a good shape while maintaining a high selection ratio with respect to the underlying silicon substrate (Si) 1.
Was able to be completed.

【0043】この側壁コンタクト・ホール10は、レジ
スト・マスク8を除去した後、図3(h)に示されるよ
うに、たとえば4層めポリシリコン層11をウェハの全
面に堆積させることにより、良好に埋め込むことができ
た。
This side wall contact hole 10 is formed by removing the resist mask 8 and then depositing, for example, a fourth polysilicon layer 11 on the entire surface of the wafer as shown in FIG. 3 (h). Could be embedded in.

【0044】実施例2 本実施例は、2層のポリシリコン層を挟んだ層間絶縁膜
をエッチングして側壁コンタクト・ホールを形成するプ
ロセスにおいて、下層側のポリシリコン層の直下に窒化
シリコン層を敷設して形状異常の発生を抑制した例であ
る。このプロセスを、図4および図5を参照しながら説
明する。なお、図4および図5の参照符号は図1ないし
図3と共通である。
Embodiment 2 In this embodiment, in the process of etching the interlayer insulating film sandwiching the two polysilicon layers to form the sidewall contact hole, a silicon nitride layer is formed immediately below the lower polysilicon layer. This is an example in which the occurrence of abnormal shape is suppressed by laying. This process will be described with reference to FIGS. 4 and 5. The reference numerals in FIGS. 4 and 5 are common to those in FIGS.

【0045】エッチング前のウェハを図4(a)に示
す。このウェハは、シリコン基板(Si)1上に層間絶
縁膜(SiO2 )2、窒化シリコン層(Si3 4
4、所定の形状にパターニングされた2層めポリシリコ
ン層(2nd polySi)3、層間絶縁膜(SiO
2 )5、3層めポリシリコン層(3rd polyS
i)6、層間絶縁膜(SiO2 )7からなる積層膜が形
成され、さらにこの積層膜の上にフォトリソグラフィに
より所定のホール・パターンにしたがって開口部9が設
けられたレジスト・マスク(PR)8が形成されたもの
である。
The wafer before etching is shown in FIG. This wafer has an interlayer insulating film (SiO 2 ) 2 and a silicon nitride layer (Si 3 N 4 ) on a silicon substrate (Si) 1.
4, a second polysilicon layer (2nd polySi) 3 patterned into a predetermined shape, an interlayer insulating film (SiO 2).
2 ) 5, 3rd polysilicon layer (3rd polyS)
i) 6, a resist mask (PR) in which a laminated film including an interlayer insulating film (SiO 2 ) 7 is formed, and openings 9 are formed on the laminated film according to a predetermined hole pattern by photolithography. 8 is formed.

【0046】ここで、上記2層めポリシリコン層3のパ
ターン幅は、開口部9の開口径よりも小さい。
The pattern width of the second polysilicon layer 3 is smaller than the opening diameter of the opening 9.

【0047】上記積層膜を、以下のステップにより順次
エッチングし、側壁コンタクト・ホール10を形成し
た。各ステップのエッチングの内容と参照図面を示す。 ステップ1:SiO2 /polySi 選択エッチング
〔図4(b)〕 ステップ2:polySi/SiO2 選択エッチング
〔図4(b)〕 ステップ3:SiO2 /Si3 4 選択エッチング
〔図4(c)〕 ステップ4:polySi/Si3 4 選択エッチング
〔図5(d)〕 ステップ5:Si3 4 /SiO2 選択エッチング
〔図5(e)〕 ステップ6:SiO2 /Si 選択エッチング
〔図5(f)〕 次に、各ステップについて説明する。
The laminated film was sequentially etched by the following steps to form the side wall contact hole 10. The contents of etching in each step and a reference drawing are shown. Step 1: SiO 2 / polySi selective etching [FIG. 4 (b)] Step 2: polySi / SiO 2 selective etching [FIG. 4 (b)] Step 3: SiO 2 / Si 3 N 4 selective etching [FIG. 4 (c)] Step 4: polySi / Si 3 N 4 selective etching [FIG. 5 (d)] Step 5: Si 3 N 4 / SiO 2 selective etching [FIG. 5 (e)] Step 6: SiO 2 / Si selective etching [FIG. 5] (F)] Next, each step will be described.

【0048】まず、ステップ1により層間絶縁膜7を、
続いてステップ2により3層めポリシリコン層6を選択
的にエッチングした。これらのステップにおけるエッチ
ング条件は、実施例1で上述したとおりである。
First, in step 1, the interlayer insulating film 7 is formed.
Subsequently, in step 2, the third polysilicon layer 6 was selectively etched. The etching conditions in these steps are as described in Example 1.

【0049】〔ステップ3〕次に、ウェハをSiO2
の有磁場マイクロ波プラズマ・エッチング装置にセット
し、一例として下記の条件で層間絶縁膜5をエッチング
した。 c−C4 8 流量 30 SCCM S2 2 流量 10 SCCM N2 流量 10 SCCM ガス圧 0.26 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 200 W(800 kH
z) ウェハ載置電極温度 −50 ℃
[Step 3] Next, the wafer was set in a magnetic field microwave plasma etching apparatus for SiO 2 , and the interlayer insulating film 5 was etched under the following conditions as an example. c-C 4 F 8 flow rate 30 SCCM S 2 F 2 flow rate 10 SCCM N 2 flow rate 10 SCCM gas pressure 0.26 Pa microwave power 1200 W (2.45 GH
z) RF bias power 200 W (800 kHz)
z) Wafer mounting electrode temperature −50 ° C.

【0050】このステップでは、c−C4 8 によるS
iO2 /polySi選択エッチングに加え、S2 2
とN2 の使用によりSiO2 /Si3 4 選択エッチン
グも可能となる。これは、S2 2 から放出されるSと
2 から放出されるNとが反応して生成するポリチアジ
ル(SN)x 等の窒化イオウ系化合物を、選択性の確保
に利用することができるからである。
In this step, S by c-C 4 F 8
In addition to io 2 / polySi selective etching, S 2 F 2
The use of SiO 2 and N 2 also enables selective etching of SiO 2 / Si 3 N 4 . This is because sulfur nitride compounds such as polythiazyl (SN) x produced by the reaction of S released from S 2 F 2 and N released from N 2 can be used for ensuring selectivity. Because.

【0051】このエッチングは、図4(c)に示される
ように、2層めポリシリコン層3とその両端部の窒化シ
リコン層4が露出したところで停止した。従来は、この
窒化シリコン層4が存在していないために、2層めポリ
シリコン層3の両端部に露出した層間絶縁膜2がエッチ
ングされ、トレンチングが生じていたのである。
This etching was stopped when the second polysilicon layer 3 and the silicon nitride layers 4 at both ends thereof were exposed, as shown in FIG. 4 (c). Conventionally, since the silicon nitride layer 4 does not exist, the interlayer insulating film 2 exposed at both ends of the second polysilicon layer 3 is etched and trenching occurs.

【0052】〔ステップ4〕次に、ウェハをポリシリコ
ン用の有磁場マイクロ波プラズマ・エッチング装置に移
し、一例として下記の条件で2層めポリシリコン層3を
エッチングした。 HBr流量 30 SCCM O2 流量 5 SCCM ガス圧 0.65 Pa マイクロ波パワー 850 W(2.45 GH
z) RFバイアス・パワー 10 W(2 MHz) ウェハ載置電極温度 0 ℃ この条件は実施例1のステップ2と同じであるが、この
条件はSi3 4 に対しても30程度の選択比が確保で
きるものである。このステップにより、図5(d)に示
されるように、2層めポリシリコン層3を選択的に除去
し、被エッチング面を平坦とすることができた。
[Step 4] Next, the wafer was transferred to a magnetic field microwave plasma etching apparatus for polysilicon, and as an example, the second polysilicon layer 3 was etched under the following conditions. HBr flow rate 30 SCCM O 2 flow rate 5 SCCM Gas pressure 0.65 Pa Microwave power 850 W (2.45 GH
z) RF bias power 10 W (2 MHz) Wafer mounting electrode temperature 0 ° C. These conditions are the same as in step 2 of Example 1, but the selection ratio is about 30 for Si 3 N 4 as well. Can be secured. By this step, as shown in FIG. 5D, the second polysilicon layer 3 was selectively removed, and the surface to be etched could be made flat.

【0053】この後、ステップ5において図5(e)に
示されるように窒化シリコン層4を選択的にエッチング
し、続いてステップ6に置いて図5(f)に示されるよ
うに層間絶縁膜2を選択的にエッチングした。これによ
り、良好な形状を有する側壁コンタクト・ホール10を
完成することができた。
Thereafter, in step 5, the silicon nitride layer 4 is selectively etched as shown in FIG. 5E, and subsequently placed in step 6 to form the interlayer insulating film as shown in FIG. 5F. 2 was selectively etched. As a result, the sidewall contact hole 10 having a good shape could be completed.

【0054】以上、本発明を2例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではなく、たとえば積層膜の下地は上述のようなS
i基板ではなくW−ポリサイド膜であっても良い。その
他、ウェハの構成、使用するエッチング装置、エッチン
グ条件の詳細が適宜変更可能であることは、言うまでも
ない。
The present invention has been described above based on the two examples, but the present invention is not limited to these examples. For example, the base of the laminated film is S as described above.
A W-polycide film may be used instead of the i substrate. In addition, it goes without saying that the details of the wafer configuration, the etching apparatus used, and the etching conditions can be changed as appropriate.

【0055】[0055]

【発明の効果】以上の説明からも明らかなように、本発
明を適用すればエッチング途中でエッチング特性の異な
る材料層が同時に露出するような場合でも、被エッチン
グ面を平坦に維持することができる。したがって、たと
えば側壁コンタクトを形成するプロセスのように複雑な
多層構造を有する積層膜をエッチングする際にも、良好
な形状を有する接続孔を形成することが可能となる。こ
れにより、側壁コンタクトの信頼性が向上することはも
ちろん、半導体チップ上における配線パターンのレイア
ウトの自由度を拡大することもできる。本発明は、メモ
リ素子等の半導体装置の微細化、高集積化に大きく貢献
するものである。
As is apparent from the above description, by applying the present invention, the surface to be etched can be kept flat even when material layers having different etching characteristics are exposed at the same time during etching. . Therefore, it is possible to form a connection hole having a good shape even when a laminated film having a complicated multilayer structure is etched as in the process of forming a sidewall contact. As a result, the reliability of the sidewall contact can be improved and the degree of freedom in the layout of the wiring pattern on the semiconductor chip can be increased. The present invention greatly contributes to miniaturization and high integration of semiconductor devices such as memory elements.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明を側壁コンタクト・ホール加工に適用し
たプロセス例をその工程順にしたがって示す模式的断面
図であり、(a)は積層膜の上にレジスト・マスクを形
成したエッチング前のウェハの状態、(b)は層間絶縁
膜を選択的にエッチングした状態、(c)は3層めポリ
シリコン層を選択的にエッチングした状態をそれぞれ表
す。
FIG. 1 is a schematic cross-sectional view showing an example of a process in which the present invention is applied to sidewall contact hole processing in the order of the steps, and FIG. 1A shows a wafer before etching in which a resist mask is formed on a laminated film. A state, (b) shows a state where the interlayer insulating film is selectively etched, and (c) shows a state where the third polysilicon layer is selectively etched.

【図2】図1のプロセスの続きを示す模式的断面図であ
り、(d)は層間絶縁膜を選択的にエッチングした状
態、(e)は窒化シリコン層を選択的にエッチングした
状態、(f)は層間絶縁膜と2層めポリシリコン層とを
等速エッチングした状態をそれぞれ表す。
2A and 2B are schematic cross-sectional views showing the continuation of the process of FIG. 1, in which (d) is a state in which an interlayer insulating film is selectively etched, (e) is a state in which a silicon nitride layer is selectively etched, ( f) shows a state in which the interlayer insulating film and the second polysilicon layer are etched at a constant rate.

【図3】図2のプロセスの続きを示す模式的断面図であ
り、(g)は層間絶縁膜を選択的にエッチングした状
態、(h)は完成した側壁コンタクト・ホールを4層め
ポリシリコン層で埋め込んだ状態をそれぞれ表す。
FIG. 3 is a schematic cross-sectional view showing the continuation of the process of FIG. 2, in which (g) is a state in which the interlayer insulating film is selectively etched, and (h) is a completed fourth-layer sidewall contact hole polysilicon. Represents the state of being embedded in layers.

【図4】本発明を側壁コンタクト・ホール加工に適用し
た他のプロセス例をその工程順にしたがって示す模式的
断面図であり、(a)は積層膜の上にレジスト・マスク
を形成したエッチング前のウェハの状態、(b)は層間
絶縁膜と3層めポリシリコン層とを選択的にエッチング
した状態、(c)は層間絶縁膜を選択的にエッチングし
た状態をそれぞれ表す。
FIG. 4 is a schematic cross-sectional view showing another example of the process in which the present invention is applied to the sidewall contact hole processing in the order of steps, in which (a) shows a resist mask formed on a laminated film before etching. A wafer state, (b) shows a state where the interlayer insulating film and the third polysilicon layer are selectively etched, and (c) shows a state where the interlayer insulating film is selectively etched.

【図5】図4のプロセスの続きを示す模式的断面図であ
り、(d)は2層めポリシリコン層を選択的にエッチン
グした状態、(e)は窒化シリコン層を選択的にエッチ
ングした状態、(f)は層間絶縁膜を選択的にエッチン
グした状態をそれぞれ表す。
5 is a schematic cross-sectional view showing a continuation of the process of FIG. 4, (d) showing a state in which the second polysilicon layer is selectively etched, and (e) showing a silicon nitride layer being selectively etched. The state and (f) show the state in which the interlayer insulating film is selectively etched.

【図6】層間絶縁膜中に2層のポリシリコン層が介在さ
れた従来のウェハの構成例を示す斜視図である。
FIG. 6 is a perspective view showing a configuration example of a conventional wafer in which two polysilicon layers are interposed in an interlayer insulating film.

【図7】従来の側壁コンタクト・ホール加工における問
題点を説明するための模式的断面図であり、(a)は積
層膜の上にレジスト・マスクを形成したエッチング前の
ウェハの状態、(b)は層間絶縁膜のエッチング中に2
層めポリシリコン層の両端部においてトレンチ部が形成
された状態、(c)は2層めポリシリコン層が除去され
た状態、(d)はSi基板にトレンチ部が形成された状
態をそれぞれ表す。
FIG. 7 is a schematic cross-sectional view for explaining problems in the conventional sidewall contact hole processing, FIG. 7A is a state of a wafer before etching in which a resist mask is formed on a laminated film, and FIG. ) Is 2 during the etching of the interlayer insulating film.
A state in which trenches are formed at both ends of the second-layer polysilicon layer, (c) shows a state in which the second-layer polysilicon layer is removed, and (d) shows a state in which trenches are formed in the Si substrate. .

【図8】従来の側壁コンタクト・ホール加工における他
の問題点を説明するための模式的断面図であり、積層膜
の下地のWSix 層に浸触部が生じた状態を表す。
FIG. 8 is a schematic cross-sectional view for explaining another problem in the conventional sidewall contact hole processing, showing a state in which a touched portion is formed in the underlying WSi x layer of the laminated film.

【符号の説明】[Explanation of symbols]

1 ・・・Si基板 2,5,7・・・層間絶縁膜(SiO2 ) 3 ・・・2層めポリシリコン層(2nd po
lySi) 4 ・・・窒化シリコン層(Si3 4 ) 6 ・・・3層めポリシリコン層(3rd po
lySi) 8 ・・・レジスト・マスク(PR) 9 ・・・開口部 10 ・・・側壁コンタクト・ホール 11 ・・・4層めポリシリコン層(4th po
lySi)
1 ... Si substrate 2, 5, 7 ... Interlayer insulating film (SiO 2 ) 3 ... Second polysilicon layer (2nd po)
lySi) 4 ... Silicon nitride layer (Si 3 N 4 ) 6 ... Third polysilicon layer (3rd po)
lySi) 8 ・ ・ ・ Resist mask (PR) 9 ・ ・ ・ Opening 10 ・ ・ ・ Sidewall contact hole 11 ・ ・ ・ 4th polysilicon layer (4th po)
lySi)

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/90 C ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 6 Identification code Office reference number FI technical display location H01L 21/90 C

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 第1の絶縁膜の膜厚方向の中途部に少な
くとも1層の導電材料層が介在されてなる積層膜を、こ
れを保持する基板に対して選択性を確保しながらその所
定領域をエッチングするドライエッチング方法におい
て、 前記導電材料層の少なくとも1層の直上に前記第1の絶
縁膜に対してエッチング選択性を有する第2の絶縁膜を
積層して前記積層膜を構成し、この積層膜のエッチング
を、 前記第2の絶縁膜が露出するまでエッチングする工程
と、 前記第2の絶縁膜をエッチングする工程と、 前記第2の絶縁膜の直下の導電材料層を前記第1の絶縁
膜とエッチング速度が等しくなる条件でエッチングする
工程と、 残余部を前記基板が露出するまでエッチングを行う工程
とに分けて行うことを特徴とするドライエッチング方
法。
1. A laminated film having at least one conductive material layer interposed in the middle of the first insulating film in the film thickness direction, while ensuring selectivity with respect to a substrate holding the laminated film. In a dry etching method of etching a region, a second insulating film having etching selectivity with respect to the first insulating film is stacked immediately above at least one layer of the conductive material layer to form the stacked film, Etching the laminated film until the second insulating film is exposed; etching the second insulating film; and forming a conductive material layer immediately below the second insulating film into the first conductive film. The method of dry etching is characterized in that the step of etching is performed under the condition that the etching rate is equal to that of the insulating film and the step of etching the remaining portion until the substrate is exposed are performed separately.
【請求項2】 前記第2の絶縁膜は、前記導電材料層
中、少なくとも前記基板に最も近い導電材料層の直上に
積層されることを特徴とする請求項1記載のドライエッ
チング方法。
2. The dry etching method according to claim 1, wherein the second insulating film is laminated in the conductive material layer, at least immediately above the conductive material layer closest to the substrate.
【請求項3】 前記第2の絶縁膜は、少なくとも前記所
定領域内における露出面積が該所定領域の面積よりも小
さい導電材料層の直上に積層されることを特徴とする請
求項1記載のドライエッチング方法。
3. The dry film according to claim 1, wherein the second insulating film is laminated immediately above a conductive material layer having an exposed area at least in the predetermined region smaller than the area of the predetermined region. Etching method.
【請求項4】 第1の絶縁膜の膜厚方向の中途部に少な
くとも1層の導電材料層が介在されてなる積層膜を、こ
れを保持する基板に対して選択性を確保しながら所定領
域内でエッチングするドライエッチング方法において、 前記導電材料層の少なくとも1層の直下に前記第1の絶
縁膜に対してエッチング選択性を有する第2の絶縁膜を
敷設して前記積層膜を構成し、この積層膜のエッチング
を、 前記第2の絶縁膜とこの直上の導電材料層とが露出する
までエッチングする工程と、 前記導電材料材料層をエッチングする工程と、 前記第2の絶縁膜をエッチングする工程と、 残余部を前記基板が露出するまでエッチングを行う工程
とに分けて行うことを特徴とするドライエッチング方
法。
4. A laminated film having at least one conductive material layer interposed in the middle of a thickness direction of a first insulating film in a predetermined area while ensuring selectivity with respect to a substrate holding the laminated film. In the dry etching method of etching inside, a second insulating film having etching selectivity with respect to the first insulating film is laid directly under at least one layer of the conductive material layer to form the laminated film, The step of etching the laminated film is performed until the second insulating film and the conductive material layer immediately above are exposed, the step of etching the conductive material layer, and the second insulating film are etched. A dry etching method, characterized in that the step is divided into a step and an etching step of etching the remaining portion until the substrate is exposed.
【請求項5】 前記第2の絶縁膜は、前記導電材料層
中、少なくとも前記基板に最も近い導電材料層の直下に
敷設されることを特徴とする請求項4記載のドライエッ
チング方法。
5. The dry etching method according to claim 4, wherein the second insulating film is laid in the conductive material layer at least immediately below the conductive material layer closest to the substrate.
【請求項6】 前記第2の絶縁膜は、少なくとも前記所
定領域内における露出面積が該所定領域の面積よりも小
さい導電材料層の直下に敷設されることを特徴とする請
求項4記載のドライエッチング方法。
6. The dry film according to claim 4, wherein the second insulating film is laid directly under a conductive material layer whose exposed area in at least the predetermined region is smaller than the area of the predetermined region. Etching method.
【請求項7】 前記所定領域は接続孔の形成領域である
ことを特徴とする請求項1ないし請求項6のいずれか1
項に記載のドライエッチング方法。
7. The method according to claim 1, wherein the predetermined region is a region where a connection hole is formed.
The dry etching method according to item.
【請求項8】 前記第1の絶縁膜が酸化シリコン系材
料、前記導電材料層がシリコン系材料、前記第2の絶縁
膜が窒化シリコン系材料からそれぞれなることを特徴と
する請求項1ないし請求項7のいずれか1項に記載のド
ライエッチング方法。
8. The method according to claim 1, wherein the first insulating film is made of a silicon oxide based material, the conductive material layer is made of a silicon based material, and the second insulating film is made of a silicon nitride based material. Item 8. The dry etching method according to any one of items 7.
JP21320593A 1993-08-27 1993-08-27 Dry etching Withdrawn JPH0766211A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP21320593A JPH0766211A (en) 1993-08-27 1993-08-27 Dry etching

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP21320593A JPH0766211A (en) 1993-08-27 1993-08-27 Dry etching

Publications (1)

Publication Number Publication Date
JPH0766211A true JPH0766211A (en) 1995-03-10

Family

ID=16635283

Family Applications (1)

Application Number Title Priority Date Filing Date
JP21320593A Withdrawn JPH0766211A (en) 1993-08-27 1993-08-27 Dry etching

Country Status (1)

Country Link
JP (1) JPH0766211A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6971156B2 (en) * 2001-04-20 2005-12-06 Sae Magnetics (H.K.) Ltd. Method for manufacturing a thin film magnetic head

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6971156B2 (en) * 2001-04-20 2005-12-06 Sae Magnetics (H.K.) Ltd. Method for manufacturing a thin film magnetic head

Similar Documents

Publication Publication Date Title
US5578524A (en) Fabrication process of a semiconductor device with a wiring structure
US6337275B1 (en) Method for forming a self aligned contact in a semiconductor device
US6383862B2 (en) Method of forming a contact hole in a semiconductor substrate using oxide spacers on the sidewalls of the contact hole
US6808975B2 (en) Method for forming a self-aligned contact hole in a semiconductor device
JP3410063B2 (en) Semiconductor device and manufacturing method thereof
US6245621B1 (en) Semiconductor device manufacturing method
US6465346B2 (en) Conducting line of semiconductor device and manufacturing method thereof using aluminum oxide layer as hard mask
JPH04229625A (en) Manufacture of semiconductor device
US20030003718A1 (en) Methods for fabricating a semiconductor device
KR100252039B1 (en) Method for forming a self-aligned contact hole
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US20060019489A1 (en) Method for forming storage node contact of semiconductor device
JP2000021985A (en) Method for manufacturing contact hole of semiconductor device
JPH04211120A (en) Contact forming method and fabrication of semiconductor device
JP3259529B2 (en) Selective etching method
JP2002231906A (en) Semiconductor device and its manufacturing method
JP3642965B2 (en) Manufacturing method of semiconductor device
JPH0766211A (en) Dry etching
US7164204B2 (en) Integrated circuit devices with an auxiliary pad for contact hole alignment
JPH0964313A (en) Wiring method for semiconductor device
KR100618805B1 (en) Method for forming self aligned contact pad of semiconductor device using selective epitaxial growth method
US11784056B2 (en) Self-aligned double patterning
JPH07235594A (en) Manufacture of semiconductor device
JPH0897383A (en) Manufacture of semiconductor device
JPH1197529A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20001031