JPH07311794A - 半導体集積回路の設計処理方法 - Google Patents

半導体集積回路の設計処理方法

Info

Publication number
JPH07311794A
JPH07311794A JP6104094A JP10409494A JPH07311794A JP H07311794 A JPH07311794 A JP H07311794A JP 6104094 A JP6104094 A JP 6104094A JP 10409494 A JP10409494 A JP 10409494A JP H07311794 A JPH07311794 A JP H07311794A
Authority
JP
Japan
Prior art keywords
cell
driving force
delay
wiring
critical path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6104094A
Other languages
English (en)
Inventor
Takashi Ishioka
岡 尚 石
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP6104094A priority Critical patent/JPH07311794A/ja
Publication of JPH07311794A publication Critical patent/JPH07311794A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 (修正有) 【目的】 遅延最適化の能率向上及びエレクトロマイグ
レーションの防止。 【構成】 ネットリストに従って生成した仮レイアウト
情報からクリティカルパスを抽出しS101、その各セルと
論理等価なセル集合であるライブラリを生成するS102。
次に各セルにつき、その配線負荷容量固定、ファンアウ
ト負荷容量として当該セルと論理等価で入力容量が最大
のものを使用、当該セルを駆動する別のセルの駆動力は
最小のものを使用、という条件下で遅延を最も減少させ
るセルを検出するS103,4。その後、着目セルを変更した
場合に変更可能な駆動力範囲を計算し、その範囲内でラ
イブラリを参照し、当該セルの駆動力を変更するS105。
更に、セル変更で生成されたレイアウト情報のセルサイ
ズ固定状態でエレクトロマイグレーションの制約条件か
ら求まる配線幅を回路の配線幅として決定する。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は半導体集積回路の設計処
理方法に関し、特に、半導体集積回路の遅延減少のため
の論理セルのサイズ最適化処理、及びエレクトロマイグ
レーションを防止するための配線幅の決定処理方法に関
するものである。
【0002】
【従来の技術】半導体集積回路の設計において、ゲート
アレイは各種論理セルと、それら相互間を接続する配線
部とで表現される。その設計段階では、要求される動作
速度を満すように、パス毎に信号伝達時間の調整が行わ
れる。各パスは、通常、一方が入力端、他方が出力端と
なる2個のフリップフロップによって挟まれたセル及び
配線部の連鎖として表現される。
【0003】ところで、信号伝達時間(あるいは遅延時
間)が要求仕様を満さない(要求仕様を越える)パスは
クリティカルパスと呼ばれ、半導体集積回路が正常に動
作して、高い性能を発揮するためのネックパスとしてそ
の遅延時間の調整が入念に行われる。この調整は、換言
すれば、クリティカルパスの遅延を減少させることに相
当する。
【0004】図11は従来の設計手順を示すものであ
る。この図に示すように、従来の設計手法では、まずS
B01の論理設計において、ネットリストを決定するこ
ととなる。この論理設計の段階では、配置配線後の配線
長を予測して遅延計算を行い、この計算結果を用いて、
セルの駆動力の決定や、遅延最適化処理を行っている。
次いで、SB02において、そのネットリストにしたが
ってレイアウトを行う。このレイアウトにおいては、与
えられたネットリストに従ってネットリストを変更する
ことなくセルの配置配線のみを行う。このレイアウトの
結果、要求される条件を満足しなかった場合には、再
度、SB01,SB02の処理を実行し直す。つまり、
ネットリストによる回路変更、そのレイアウトを繰返し
実行することとなる。これにより、要求仕様(スペッ
ク)を満たす回路が完成されることとなる。
【0005】
【発明が解決しようとする課題】しかしながら、論理設
計段階ではセルが未配置状態のため、レイアウト後の配
線長を精度良く見積もることは困難であり遅延計算は誤
差を多く含んだものとなることに加えて、近年の回路の
微細化、大規模化に伴いクリティカルパスにおける遅延
はセル内部の遅延よりも、配線部の遅延が支配的になっ
てきていることから、配線部の遅延の見積りの精度が低
いと、その結果によって行われる遅延の最適化が十分に
行われず、レイアウトの結果によって判明するクリティ
カルパスが、論理設計の段階では全く予想できなかった
パスとなる場合がある。例えば、論理設計段階に戻って
の回路変更処理は、人が経験に基づいて、配線部による
遅延が大きいと予測される部分に対して高駆動力型のセ
ルを使用するなどの予防策をとって対応しているが、実
際の配置後には、予防措置をとった部分の配線部による
遅延が小さく効果が無い場合や、逆に通常の駆動力のセ
ルで良いと予測された部分が、予想以上に遅延が大きく
なり、高駆動型のセルが必要になる場合があるというよ
うに、論理設計とレイアウト設計とで食違う場合が少な
くなかった。このようにネットリストの最適化が不十分
な場合には、論理設計及びレイアウト設計の繰返し回数
が多くなって設計に長時間が消費されたり、最適な回路
を得られない場合があった。
【0006】本発明は上記従来技術の有する問題点に鑑
みてなされたもので、その目的とするところはレイアウ
ト後に得られる配線部の情報まで含まれる詳細な遅延情
報に基づいて遅延時間の最適化を行うことを可能とする
半導体集積回路の設計処理方法を提供することにある。
【0007】具体的には、本発明はセルの駆動力を変更
することにより遅延時間を調整する手法を提供すること
を目的としている。
【0008】さらに、本発明はセルの駆動力変更に併せ
て配線幅の調整も行うことにより配線部の電流増加に伴
うエレクトロマイグレーションの発生防止化を図った半
導体集積回路の設計方法を提供することを目的とする。
【0009】
【課題を解決するための手段】本発明の半導体集積回路
の設計処理方法は、回路の論理設計によってネットリス
トを生成する段階と、前記ネットリストに従ってセルの
配置及び配線に関する仮レイアウト情報を生成する段階
と、前記仮レイアウト情報の示す回路からクリティカル
パスを抽出し、そのクリティカルパスを構成する各セル
と論理的に等価なセルの集合とからなるライブラリ情報
を生成する段階と、前記クリティカルパスを構成する各
パスの構成セルについて、当該セルの配線負荷容量は固
定とし、かつファンアウト負荷容量を、当該セルと論理
的に等価で入力容量が最大のものを使用し、しかも当該
セルを駆動する別のパス構成セルの駆動力は最小のもの
を使用したとの条件下で遅延時間を最も減少させるセル
を検出する段階と、該着目セルを変更した場合に遅延時
間が減少増大する周辺のパスについては新たなクリティ
カルパスを生成しないという制約の下で前記着目セルの
変更可能な駆動力の範囲を計算する段階と、その範囲内
で前記ライブラリ情報を参照することにより当該駆動力
にもっとも近い駆動力を持つように当該セルの駆動力を
変更する段階とを備えていることを特徴とする。
【0010】このような構成において、各パス構成セル
を遅延減少効果の大きい順に着目セルとして駆動力変更
処理の対象とすることにより、必要最小限のセル変更処
理で最適化を達成することができる。
【0011】着目セルの駆動力変更処理は、当該着目セ
ルをこれと論理的に等価で駆動力の異なるセルに置換す
る手法、当該着目セルをこれと同一のセルを複数個並列
接続した回路に置換する手法、当該着目セルと全負荷側
セルとの間にバッファセルを挿入してその出力駆動力を
増大させる手法、当該着目セルと一部の負荷側セルとの
間にバッファセルを挿入し、この着目セルの負荷をバッ
ファセルに置換して、着目セルの負荷側からの見掛け上
の駆動力を増大させる手法等が採用可能である。
【0012】本発明の半導体集積回路の設計処理方法
は、更にセルの駆動力変更の後に、セル変更段階によっ
て生成されたレイアウト情報のセルのサイズを固定した
状態でのエレクトロマイグレーションに関する制約条件
から求まる配線幅を回路の配線幅として決定する段階を
備える構成とすることができる。この場合、上記セルの
駆動力変更と配線幅変更とを交互に繰返すものとして構
成することができる。
【0013】
【作用】本発明によれば、レイアウト後の遅延解析の情
報を用いることにより遅延を精度良く見積もることがで
きるため、効率的に遅延時間の最適化を行うことが可能
となる。
【0014】このとき、パス上の各セルに対して、遅延
が最小となる駆動力を計算し、ライブラリ情報から最適
な駆動力を持つセルを選ぶことで、効率良く遅延を減少
させることができる。実際の変更前に、見積もった遅延
の改善度を用いて処理順序を決めることで、効果の高い
部分を優先して処理することができ、必要のない部分ま
で変更することがない。
【0015】また、本発明によれば、セルの駆動力変更
に併せて配線幅の調整も行うことにより配線部の電流増
加に伴うエレクトロマイグレーションの発生を防止する
こともできる。
【0016】さらに本発明では、論理セルのサイズの最
適化と共に、配線をエレクトロマイグレーションを起こ
さない最小の線幅にすることで、遅延改善と同時に回路
の信頼性をあげることができる。
【0017】また、もっとも配線容量が小さい状態のた
め、遅延を減少させるための論理セルのサイズの変更も
最低限で済むようになる。
【0018】
【実施例】以下に本発明の実施例について図面を参照し
つつ説明する。図10は本発明に係る半導体集積回路の
設計手順の概要を示すものである。この図において、ま
ず、SA01において従来と同様に論理設計処理を実行
し、その後、SA02においてレイアウト処理を実行す
ることとなる。このレイアウト処理は、SA03及びS
A04から大略構成され、まず、SA03ではSA01
の論理設計処理で作成されたネットリストに従いセルの
配置及び配線を実行する。説明の便宜上、このSA03
で生成されたレイアウト情報を仮レイアウト情報とい
う。そして、この仮レイアウト情報を生成した後、SA
04において本発明に係るECO(Engeneering Change
Order)処理を実行することとなる。このECO処理で
は、仮レイアウト情報のクリティカルパスについて、従
来はネットリストの決定を実行し直していたところを、
回路の一部のセルの駆動力を変更するだけで要求仕様を
満たすようにするもので、その概要は、仮レイアウト情
報の中からクリティカルパスを抽出し、全てのクリティ
カルパスの一つずつについて、その構成セル一つずつを
変更処理し、その変更によって確定したデータで影響の
ある部分のネットスラック及び遅延時間の再計算を行
う。このとき、変更箇所を含む周辺パスへの影響を考え
る。この処理を、スペックが満されるか、変更処理が不
可能になるまで繰返す。ただし、既に変更を加えたセル
に対しては、遅延の計算はするが、再処理は行わない。
【0019】このように素子配置後に、回路変更するた
め、精度の高い遅延データを使用することができる。そ
のため、従来のようなレイアウト前の回路変更に比べ効
果的に遅延短縮が可能となる。
【0020】図1はそのECO処理の一実施例を示すも
のである。この図において、まず、S101において、
仮レイアウト情報のパスをその始点から終点までトレー
スすることでクリティカルパスを抽出する。
【0021】その後、S102において、各クリティカ
ルパスの各構成セルに対して、論理的に等価な集合(ラ
イブラリ)をデータベースから取出し、その各セルにつ
いてネットスラック及び遅延時間を計算するとともに、
駆動力の順番でソートして、テーブルに格納する。この
ときのネットスラック及び遅延時間は、セルの駆動力最
適化の見積り値として、配線長は不変、セルの大きさ、
つまり駆動力及び容量を共にn倍とした場合の遅延減少
分を用いる使う。図5は新しい駆動力の現状の駆動力に
対する比を横軸に、遅延改善度(例えば、新遅延時間の
旧遅延時間に対する比)を縦軸にしたときの駆動力変更
比と遅延改善度との関係を示すものである。
【0022】この場合、各セルに対して、遅延が減少す
るためには倍率nの値が、 1<n<(セルの駆動力・下流側の容量)/(前段のセル
の駆動力・セルの容量) となっている必要がある。最悪の場合で見積もるため
に、下流側の容量については、下流側につながるセルが
先の論理的に等価なセル集合のうち、最大の容量を持つ
ものを使用したと仮定して計算する。
【0023】以降、S103〜S109で、抽出したク
リティカルパス一つ一つについてセルの駆動力変更処理
を行うこととなる。
【0024】まず、S103ではS102で整理したテ
ーブルからクリティカルパスの一つについての情報を処
理対象として取出す。
【0025】その後、S104において、その処理対象
クリティカルパス上のセルのうちネットスラックの最大
のものを処理対象となる着目セルとして選択する。次い
で、S105において、この着目セルについての駆動力
変更処理を実行する。ここで、駆動力を増大させる場合
には、上記ライブラリ中にあるセルを使用するだけでは
なく、既存のセルを並列に接続した物を新たに定義する
ことで見掛け上の駆動力を挙げて遅延を減らすことや、
クリティカルパス以外のセルがクリティカルパスに与え
る影響を遮断することで遅延を減少させることとなるも
のであり、その詳細については後述することとする。
【0026】そしてS105における駆動力変更処理の
後、S106において、その駆動力変更処理後のネット
スラック及び遅延時間を再計算する。その後、S107
において、その再計算した結果がスペックを満たしてい
るか否かを判断する。その結果が“YES”の場合に
は、S108に進み、ここで対象クリティカルパスの全
てのセルについての変更処理を終了したか否かを判断す
る。その判断もYESの場合にはS109において、抽
出した全てのクリティカルパスについてセル変更処理が
終了したか否かを判断する。この判断も“YES”にな
ると、ECO処理全体が終了することとなる。
【0027】S107あるいはS108の判断結果が
“NO”になると、S104に戻り、同一クリティカル
パスの未処理セル中でネットスラックが最も大きなセル
について、このS104〜S106の処理を実行するこ
ととなる。
【0028】S109の判断が“NO”となった場合に
は、S103に戻り、ここで別のクリティカルパスの情
報を取出し、前と同じS104〜S109の処理を実行
することとなる。
【0029】このように、クリティカルパスが遅延時間
の仕様を満すまで、パスの遅延改善効果の高い部分を順
に変更することで、無駄な変更をしないで済むこととな
る。
【0030】ところで、変更処理の詳細は次の通りであ
る。
【0031】まず、ある着目したセルに対して変更を行
う場合には以下の手順で処理方法を決定する。
【0032】最初に、最適なセル駆動力を現在との比と
して求め、その比の値に基づいて処理手法をいずれにす
るかを判断する。その結果、上記の比の値が1以下の場
合にはバッファ挿入や、並列接続では対応できないた
め、取得る処理方法は、セル変更のみとなる。そこで、
ライブラリの探索を行い、変更可能な場合はこれを候補
とする。
【0033】また、上記比の値が1以上の場合、駆動力
を増大する方向への処理なので、前記の全ての処理が可
能となる。まずは、面積の増大がもっとも少なく、他へ
の影響が少ないと考えられるセル変更を試みる。このと
き、入力ピン数及び前段の駆動力の限度の制限の範囲内
でライブラリ中のセルを複数使用しての並列接続での最
適な組合わせを求めることとなる。
【0034】また、バッファの挿入は、2種類あるた
め、前記の式でどちらの挿入方法が良いかを選択し、配
線長固定での遅延改善度を見積もる。
【0035】さらに、セル変更>>バッファ挿入>>セル並
列接続の優先順位で評価して、所期の効果が得られれば
それに決定することとなる。
【0036】次に、図2は、この各種駆動力変更処理を
図解するもので、同図(a−1)、(a−2)に示す変
更処理の対象となるセル201を同図(b−1)〜(b
−4)に示す回路に変更するものとして示される。ま
ず、同図(b−1)は(a−1)に示す当該セルを集合
リストの中で駆動力のより大きなセル202へ変換する
場合を示すものである。同図(b−2)は当該セル20
1を駆動力の同じ大きさのセル201を複数個並列接続
した回路203へ変換する場合を示すものである。更
に、同図(b−3)は当該セル201の出力駆動能力を
上げるべくその出力端にバッファ回路204を設ける場
合を示している。また、図2(a−2)は駆動セル20
5にクリティカルパスに属するセル206とクリティカ
ルパスに属さないセル2071,2072,…とが並列
負荷として接続されている場合を示すもので、このよう
な場合、同図(b−4)に示すように、駆動セル205
とその他のセル2071,2072,…との間のパスの
みにバッファセル208を挿入し、駆動セル205の負
荷をクリティカルパスに属さないセル2071,207
2,…からバッファセル208に置換し、駆動セル20
5の駆動能力を負荷との相対的な関係で見掛け上増大さ
せる場合を示すものである。
【0037】以上の4種類のうち、(b−1)に示すセ
ル置換の採用が最も面積の占有度合や配線の簡素化とい
う意味で最も望ましい。このセル置換を採用する場合に
は、上記ライブラリの中でモデルから得られる最適な駆
動力に最も近い駆動力を持ったセルを使用する。この
際、周辺パスの遅延の変化に対しても制約条件がつく。
そこで、着目したパス及び周辺パスによる制約の範囲内
でセルの駆動力がとり得る最適な値を選ぶこととなる。
【0038】次に望ましいのが(b−3)あるいは(b
−4)に示すバッファの挿入であり、これらの手法は後
述するように状況に応じて採択されることとなる。
【0039】それらのバッファの挿入を採用する場合に
は、着目セルを通るパスのうち、クリティカルパスにつ
ながる下流側の容量とその他のパスの容量を比較した際
に、 (セル下流側の全容量/2)<(非クリティカルパス起
因の容量−インバータの容量) なる条件が成立つ場合には、同論理の高駆動力型のセル
がライブラリ中に無く、バッファとして使用するセルの
方が高駆動力である場合はこれを選択することとなる。
遅延改善の見積りはバッファ挿入に伴う配線長の増加は
ないものとして計算する。バッファ内部の配線は遅延が
無視しうる長さと仮定する。実際のレイアウト処理で、
この条件を満すようにするために、バッファとして入れ
るセルについては、配置位置の範囲を指定する。
【0040】図3及び図4は図2(b−3)及び(b−
4)に示す各バッファ挿入態様が採用される場合を図解
するもので、それらの図の(a)は原状、(b)はバッ
ファ挿入状態を示すものである。まず、図3に示すもの
は、駆動セル301の後段にクリティカルパスに属する
セル302及びそれより小さなその他のセル303が接
続され、駆動セル301からそれらのセル302,30
3全てに通じる配線中にバッファセル304を挿入して
いる。この手法は、クリティカルパス所属セル302が
非クリティカルパス所属セル303に比べて大きく、ク
リティカルパス所属セル302に対して使用されるバッ
ファにより非クリティカルパス所属セル303も同時に
駆動するようにしても要求される信号伝達時間が満たさ
れる場合、より多くの部分で高速化が図れた方が望まし
いという観点から採択される。
【0041】図4に示すものは、駆動セル301の後段
にクリティカルパスに属するセル402とそれより大き
なその他のセル403とが接続されたものにおいて、駆
動セル401から非クリティカルパス所属セル403へ
の信号伝達線にのみバッファセル405を挿入してい
る。この手法は、クリティカルパス所属セル402の方
がその他のセル403より小さく、全てのセル402,
403の駆動力を向上させるには、要求仕様を満たすに
あたって重要ではないセル403の影響力が大きくな
り、バッファセル405の駆動力として無駄が大きくな
るという観点から採択される。
【0042】バッファの駆動力でも駆動力不足の場合に
は、(b−2)に示すセル並列化を採用する場合には、
同種のセルを並列に接続することで高駆動力型のセルを
作出す。並列に接続することによる配線長の変化はない
と仮定し、あたかも、新たにマクロを定義したかのよう
に取扱い改善度を見積もる。ただし、無制限の変更を許
すとレイアウトへの負担が大きくなるために並列に接続
するセル数については入力ピンの数で制限を加える。
【0043】ところで、CMOS集積回路では、回路の
大規模化、微細化にともない、回路遅延の多くが配線に
よる遅延、つまり配線容量による遅延となってきてお
り、これを減らすことが回路の高性能化に直結した問題
となっている。
【0044】回路の遅延は図7のモデルで考えると、抵
抗Rを通して容量Cに対し電荷の充放電をする時間と考
えることができる。この遅延を減らすためにはRとCの
積を減少させる必要がある。ここで、抵抗Rを減らすと
いうことは、論理セルのサイズを増大させることに対応
する。
【0045】一方の容量Cを減少させる手法としては、
配線長を短くする、もしくは配線幅を狭くする方法があ
る。前者は配線が短くなるように論理セルを配置するこ
とで達成される。後者は、配線幅が狭い方が容量を減ら
すことができるが配線の抵抗分が無視できなくなるた
め、ある下限値が存在する。また、配線の電流密度が材
料によって決まる値を越えると起こるエレクトロマイグ
レーションの条件からも下限値が存在する。
【0046】前述した実施例では、論理セルのサイズを
変更して遅延を最小化していたが、エレクトロマイグレ
ーションの効果を考慮していないために特に論理セルの
サイズを増大させて電流が増大した時に故障の原因とな
るという問題があった。また、配線幅を固定して最適化
するため、不必要な容量がついて遅延が減らない場合を
生ずることが懸念される。
【0047】図6は以上の問題を解決すべく配線の最適
化を図った実施例を示すもので、ここでは、回路の論理
セルのサイズの調整と同時に遅延制約とエレクトロマイ
グレーションの制約の下で配線幅を最適化することで、
回路の遅延を最適化する手法を与えることを目的として
いる。この図に示すものは図1に示す処理に配線最適化
用の処理を加えたものに大略相当するもので、S601
はS101、S602はS102、S603はS10
3、S604はS104、S605はS105,10
6、S608はS107、S609はS108、S61
0はS109にそれぞれ対応して同等の処理を実行す
る。
【0048】すなわち、まず、S601で遅延解析後ク
リティカルパスを抽出し、S602でセル集合テーブル
を作成し、以降、S603〜S610の処理を全てのク
リティカルパスについての最適化が終了されるまで繰返
される。
【0049】S603では一つのクリティカルパスの情
報を取出し、S604ではそのクリティカルパスを構成
するセルのうちネットスラックの最大のものを選択し、
S605においてそのセルに関し、配線幅は固定した状
態で、選択したセルの駆動力を最適化する。その後、本
実施例特有の配線最適化処理を事項する。まず、S60
6では、着目セルに接続する配線幅についてエレクトロ
マイグレーションを考慮した下限値を求める。その後、
上記の制約条件を満足する最小の配線幅を当該ネットの
配線幅として設定する。続いてS607において、配線
幅の変更による遅延の変化量を計算し、再度論理セルの
サイズを微調整する。このように配線最適化を実行した
後、S608〜S610の判断が“YES”になったと
ころで全ての処理を終了することとなる。
【0050】本実施例によれば、論理セルのサイズを変
更してパス遅延を減少させると同時に、エレクトロマイ
グレーションを考慮して最小の線幅の配線にする。遅延
制約を満すように論理セルのサイズが決定されるので、
回路の動作速度の改善に加え信頼性の向上を実現するこ
とができる。
【0051】また配線幅が必要最低限の大きさとなるた
め配線容量は最小となり、遅延改善のための論理セルの
サイズの変更は最低限ですむ。
【0052】以下に各処理の詳細を図8及び図9をも参
照しつつ説明する。
【0053】(1) パス上の各論理セルのオン抵抗
(Ron)、ネットの配線容量(Cin)ファンアウト容量
(Cf0)から各ネットに遅延(Dnet )は Dnet =Ron*(Cin+Cfo) と表すことができる。目標遅延(Dreq )、実遅延(D
act )に対してパススラック(Spath)及び、各ネット
のスラック(Sneti)は Spath=Dreq −Dact Sneti=Spath*Dneti/Σn j=1 Dnetj と表せる。
【0054】(2) このような式に従って算出したネ
ットスラックの最も大きなネットに着目し、当該ネット
を駆動する論理セルのサイズを配線幅は固定して以下の
ように計算する。
【0055】まず、着目部分の論理セル及び前段の論理
セルの駆動抵抗をそれぞれRon i,Ron i-11とする。
着目部分の論理セル及び前段の論理セルの駆動抵抗をそ
れらがそれぞれRon i及びCpin にのみ影響を与えると
仮定する。問題を簡潔にするために、駆動抵抗を1/a
としたときに前段に対するピン容量はa倍になると考え
て遅延を計算すると以下のようになる。
【0056】 Ron i-1・a・Cpin +(Ron i/a)(Cin+Cfo) 遅延の変化分を計算し、それが最大となるaを求める
と、 a=(Ron i*(Cin+Cfo)/Ron i-1*Cpin )
1/2 論理セルのサイズを変化させることで減少させることの
できる遅延がこのaから求まる。
【0057】(3) エレクトロマイグレーションを考
慮して各ネットの配線幅の下限値を設定する。エレクト
ロマイグレーションとは配線の中を過度の電流が流れる
ことにより配線が切れることを指し、これを防止するた
めには、配線の電流密度を配線材料によって定まる値以
下にしておく必要がある。
【0058】ここで、図8のRC直列回路を電流のモデ
ルとして計算する。
【0059】時間t1 (=1/f)までの電流の平均を
計算すると iavg =V・C・f(1−e-1/RCf) となる。物質には流すことのできる電流密度の上限(J
0 )が決っている。電流密度は電流を断面積で割った値
であるから、配線幅、高さをそれぞれW,Hとして J0 =iavg /W・H C=Cint +Cfo Cint =W・L・εox/tox ただし、Lは配線長、εoxは誘電率、toxは配線導体間
の絶縁膜厚である。これらよりex の部分を一次近似し
てWの下限を求めると次のようになる。
【0060】ここで、 Φ=f・V・Cfo・tox(1−e-1/R・Cfo・f) Ψ=Jo・H・tox−f・L・εox・V(1−(1+(1/R・
Cfo・f))e-1/RCfo ・f) とおくと、 Welec≧Φ/Ψ となる。この配線幅を当該ネットの配線幅として設定す
る。
【0061】(4) ネットの配線幅をΔW変化させた
時の遅延の変化量(ΔDi )は配線幅の変化量をΔWと
すると以下のように表すことができる。
【0062】 ΔDi =Ron・ΔCin=Ron・ΔW・L・εox/tox (5) 遅延の変化に基づきスラックの再計算と再割当
てを行う。
【0063】(6) パス遅延があらかじめ定めた値以
下に減少しているならば終了する。
【0064】(7) パス遅延がこれ以上改善されなく
なるまで(2)〜(6)の処理を繰返す。
【0065】
【発明の効果】本発明によれば、レイアウト後の遅延解
析の情報を用いることにより遅延を精度良く見積もるこ
とができるため、効率的に遅延時間の最適化を行うこと
が可能となる。
【0066】このとき、パス上の各セルに対して、遅延
が最小となる駆動力を計算し、ライブラリ情報から最適
な駆動力を持つセルを選ぶことで、効率良く遅延を減少
させることができる。実際の変更前に、見積もった遅延
の改善度を用いて処理順序を決めることで、効果の高い
部分を優先して処理することができ、必要のない部分ま
で変更することがない。
【0067】また、本発明によれば、セルの駆動力変更
に併せて配線幅の調整も行うことにより配線部の電流増
加に伴うエレクトロマイグレーションの発生を防止する
こともできる。
【0068】さらに本発明では、論理セルのサイズの最
適化と共に、配線をエレクトロマイグレーションを起こ
さない最小の線幅にすることで、遅延改善と同時に回路
の信頼性をあげることができる。
【0069】また、もっとも配線容量が小さい状態のた
め、遅延を減少させるための論理セルのサイズの変更も
最低限で済むようになる。
【図面の簡単な説明】
【図1】本発明の一実施例に係る設計処理方法の要部を
なすECO処理の内容を示すフローチャート。
【図2】図1に示すセルの駆動力変更処理の各種態様を
示す説明図。
【図3】セルの駆動力変更処理のうちバッファ挿入処理
の一具体例を示す説明図。
【図4】セルの駆動力変更処理のうちバッファ挿入処理
の他の具体例を示す説明図。
【図5】セルの駆動力と遅延改善度との関係を示すグラ
フ。
【図6】本発明の他の実施例に係る設計処理方法の要部
をなすECO処理の内容を示すフローチャート。
【図7】CMOSゲートアレイのセルのRC等価回路モ
デルを示す回路図。
【図8】具体的なセルのRC等価回路モデルを示す回路
図。
【図9】RC等価回路の過渡応答特性を示すグラフ。
【図10】図1及び図6に示すECO処理を含む設計処
理全体のフローチャート。
【図11】従来の設計処理全体のフローチャート。
【符号の説明】
S101,S601 クリティカルパス抽出処理 S102,S602 ネットスラック及び遅延時間の計
算を含むライブラリ情報生成処理 S103,S603 処理対象クリティカルパス選定処
理 S104,S604 着目セル選定処理 S105 駆動力変更処理 S106,S607 ネットスラック及び遅延時間の再
計算処理 S107,S608 改善度判定処理 S108,S609 クリティカルパス毎の終了判定処
理 S109,S610 最終終了判定処理 S605 セル最適化処理 S606 配線幅最適化処理 SA01 論理設計処理 SA02 レイアウト処理 SA03 配置配線処理 SA04 ECO処理 201,205,301 着目セル 202 着目セルと論理的に等価でサイズの大きなセル 203 着目セルと同一セルの複数個並列接続回路 204,208,304,405 バッファセル 206,302,402 着目セルの負荷側セルのうち
クリティカルパスに属するセル 2071,2072,303,403 クリティカルパ
スに属さないセル

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】回路の論理設計によってネットリストを生
    成する段階と、 前記ネットリストに従ってセルの配置及び配線に関する
    レイアウト情報を生成する段階と、 前記仮レイアウト情報の示す回路からクリティカルパス
    を抽出し、そのクリティカルパスを構成する各セルと論
    理的に等価なセルの集合とからなるライブラリ情報を生
    成する段階と、 前記クリティカルパスを構成する各パスの構成セルにつ
    いて、当該セルの配線負荷容量は固定とし、かつファン
    アウト負荷容量を、当該セルと論理的に等価で入力容量
    が最大のものを使用し、しかも当該セルを駆動する別の
    パス構成セルの駆動力は最小のものを使用したとの条件
    下で遅延時間を最も減少させる着目セルと論理的に等価
    なセルを検出する段階と、 該着目セルを変更した場合に遅延時間が減少増大する周
    辺のパスについては新たなクリティカルパスを生成しな
    いという制約の下で前記着目セルの変更可能な駆動力の
    範囲を計算する段階と、 その範囲内で前記ライブラリ情報を参照することにより
    当該駆動力にもっとも近い駆動力を持つように当該セル
    の駆動力を変更する段階とを備えたことを特徴とする半
    導体集積回路の設計処理方法。
  2. 【請求項2】前記セルの駆動力変更の後にこのセル変更
    段階によって生成されたレイアウト情報のセルのサイズ
    を固定した状態でのエレクトロマイグレーションに関す
    る制約条件から求まる配線幅を回路の配線幅として決定
    する段階を備えたことを特徴とする請求項1記載の半導
    体集積回路の設計処理方法。
JP6104094A 1994-05-18 1994-05-18 半導体集積回路の設計処理方法 Pending JPH07311794A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6104094A JPH07311794A (ja) 1994-05-18 1994-05-18 半導体集積回路の設計処理方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6104094A JPH07311794A (ja) 1994-05-18 1994-05-18 半導体集積回路の設計処理方法

Publications (1)

Publication Number Publication Date
JPH07311794A true JPH07311794A (ja) 1995-11-28

Family

ID=14371538

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6104094A Pending JPH07311794A (ja) 1994-05-18 1994-05-18 半導体集積回路の設計処理方法

Country Status (1)

Country Link
JP (1) JPH07311794A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007257375A (ja) * 2006-03-23 2007-10-04 Fujitsu Ltd 遅延解析プログラム、記録媒体、遅延解析方法、および遅延解析装置
US20110252392A1 (en) * 2008-12-24 2011-10-13 Panasonic Corporation Standard cell library and semiconductor integrated circuit

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007257375A (ja) * 2006-03-23 2007-10-04 Fujitsu Ltd 遅延解析プログラム、記録媒体、遅延解析方法、および遅延解析装置
US20110252392A1 (en) * 2008-12-24 2011-10-13 Panasonic Corporation Standard cell library and semiconductor integrated circuit
US8302057B2 (en) * 2008-12-24 2012-10-30 Panasonic Corporation Standard cell library and semiconductor integrated circuit

Similar Documents

Publication Publication Date Title
US7555741B1 (en) Computer-aided-design tools for reducing power consumption in programmable logic devices
US7107557B2 (en) Method for calculation of cell delay time and method for layout optimization of semiconductor integrated circuit
US20090072857A1 (en) Integrated circuits with adjustable body bias and power supply circuitry
US8316339B2 (en) Zone-based leakage power optimization
US6385761B1 (en) Flexible width cell layout architecture
US8627252B2 (en) Method for selectively implementing low threshold voltage transistors in digital logic designs
US6543032B1 (en) Method and apparatus for local resynthesis of logic trees with multiple cost functions
JP3245036B2 (ja) 集積回路の能動素子サイジング装置およびサイジング方法
Hashimoto et al. Post-layout transistor sizing for power reduction in cell-based design
US8266561B2 (en) Systems and techniques for developing high-speed standard cell libraries
US6202196B1 (en) Method for optimizing routing mesh segment width
US5995732A (en) Method and apparatus of verifying reliability of an integrated circuit against electromigration
US6532582B1 (en) Method and apparatus for optimal critical netlist area selection
JPH07311794A (ja) 半導体集積回路の設計処理方法
US7320117B2 (en) Design method for semiconductor integrated circuit device using path isolation
US6195787B1 (en) Layout designing method for semiconductor integrated circuits
US6629295B1 (en) Design automation method and device
Neumann et al. Cell replication and redundancy elimination during placement for cycle time optimization
US7552412B2 (en) Integrated circuit (IC) chip design method, program product and system
US20200151299A1 (en) Testing system and testing method
Venkataraman et al. Determination of yield bounds prior to routing
US6109201A (en) Resynthesis method for significant delay reduction
Groeneveld Physical design challenges for billion transistor chips
JPH06310601A (ja) レイアウト設計方法
JPH10321725A (ja) 半導体集積回路の設計方法及び装置

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040511

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040709

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20040914