JPH0590225A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH0590225A
JPH0590225A JP4004197A JP419792A JPH0590225A JP H0590225 A JPH0590225 A JP H0590225A JP 4004197 A JP4004197 A JP 4004197A JP 419792 A JP419792 A JP 419792A JP H0590225 A JPH0590225 A JP H0590225A
Authority
JP
Japan
Prior art keywords
film
substrate
etching
carbon film
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4004197A
Other languages
Japanese (ja)
Inventor
Masaru Hori
勝 堀
Hiroyuki Yano
博之 矢野
Keiji Horioka
啓治 堀岡
Haruo Okano
晴雄 岡野
Hisataka Hayashi
久貴 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to KR1019920000838A priority Critical patent/KR960000375B1/en
Priority to US07/824,095 priority patent/US5240554A/en
Priority to US08/020,193 priority patent/US5302240A/en
Publication of JPH0590225A publication Critical patent/JPH0590225A/en
Priority to US08/202,372 priority patent/US5445710A/en
Pending legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

PURPOSE:To enable a pattern to be formed with high precision by using a carbon film as a mask pattern during the dry-etching step. CONSTITUTION:After the formation of a carbon film 3, 250mum is thickness, by the sputtering step, a novolak base photoresist pattern 4 in specific pattern is formed on the carbon film 3 using the ordinary photolithgraphic technology. Next, the carbon film 3 is vertically processed using a resists pattern 4 (film thickness of 1.5mum) as a mask by the dry-etching technology using H2 gas to form the carbon film 3. Next, any residual resist 4 is removed by the down flow ashing step using CF4/O2 gas. At this time, the carbon film pattern 3 is left intact on a silicon oxide film 2. Through these procedures, the high temperature etching step can be made feasible.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置の製造方法
に係り、特に、ドライエッチング工程の改良をはかった
半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a method of manufacturing a semiconductor device with an improved dry etching process.

【0002】[0002]

【従来の技術】近年、半導体集積回路の進歩に伴い、素
子の微細化は進む一方であり、パターン寸法の高精度化
への要求が高まっている。一般に、半導体集積回路は、
シリコン基板等の半導体基板上に所定のパターンの酸化
シリコン等の絶縁性膜や、多結晶シリコン,アルミニウ
ム,銅,タングステン,シリサイド等の導電性膜等を積
層することによって形成される。
2. Description of the Related Art In recent years, with the progress of semiconductor integrated circuits, the miniaturization of elements has been advancing, and the demand for higher precision of pattern dimensions is increasing. Generally, a semiconductor integrated circuit is
It is formed by laminating an insulating film such as silicon oxide having a predetermined pattern, a conductive film such as polycrystalline silicon, aluminum, copper, tungsten, or silicide on a semiconductor substrate such as a silicon substrate.

【0003】これらの膜を所望のパターンに加工するた
めの技術として、この膜上に感光性のレジストを塗布し
た後、光や紫外線を所定のパターン状に照射することに
よってレジストを露光し、現像工程においてレジストの
露光部又は未露光部を選択的に除去することにより、レ
ジストパターンを形成するリソグラフィ技術、次にこの
レジストパターンをマスクとして用いて下地の膜をエッ
チング加工するドライエッチング技術、更に、このレジ
ストパターンを除去する剥離技術が用いられている。
As a technique for processing these films into a desired pattern, a photosensitive resist is applied on the film, and then the resist is exposed to light and ultraviolet rays in a predetermined pattern to develop the resist. In the process, a lithography technique for forming a resist pattern by selectively removing the exposed or unexposed portion of the resist, a dry etching technique for etching the underlying film using the resist pattern as a mask, and A peeling technique for removing this resist pattern is used.

【0004】しかし、半導体素子の集積度の増大に伴
い、要求されるパターンの最小寸法は小さくなり、かつ
寸法精度は高くなる一方であり、最近では0.5μm以
下の微細パターンの形成が必要となっている。このよう
な微細領域のパターンに対応するためには、上述したパ
ターン形成のための技術に種々な問題が生じ、大幅な技
術の向上が必要とされる。
However, with the increase in the degree of integration of semiconductor elements, the minimum required pattern size is becoming smaller and the dimensional accuracy is becoming higher. Recently, it is necessary to form a fine pattern of 0.5 μm or less. Is becoming In order to deal with such a pattern of a fine area, various problems occur in the technique for forming the pattern described above, and it is necessary to greatly improve the technique.

【0005】以下に、これらの問題について具体的に説
明する。
These problems will be specifically described below.

【0006】現在、微細なレジストパターンを用いて、
下地の膜を加工する一つの方法として、プラズマを用い
るRIE技術が広く用いられている。この方法は、例え
ば、一対の平行平板電極を具備した真空容器内に被加工
膜の堆積された基板を収容し、容器内を真空に引いた
後、ハロゲン原子等を含有する反応性のガスを導入し、
高周波電力の印加による放電によってガスをプラズマ化
し、発生したプラズマを用いて被加工膜をエッチングす
る方法である。
Currently, using a fine resist pattern,
As one method of processing the underlying film, the RIE technique using plasma is widely used. In this method, for example, the substrate on which the film to be processed is deposited is housed in a vacuum container equipped with a pair of parallel plate electrodes, and the interior of the container is evacuated, and then a reactive gas containing a halogen atom or the like is added. Introduced,
This is a method in which a gas is made into plasma by discharge by applying high-frequency power, and a film to be processed is etched using the generated plasma.

【0007】このエッチング方法によれば、プラズマ中
の各種の粒子のうち、イオンが電極表面のイオンシース
に発生する直流電界によって加速され、大きなエネルギ
ーを持って被加工膜を衝撃し、イオン促進化学反応を起
こす。このため、エッチングはイオンの入射方向に進
み、アンダーカットのない方向性エッチングが可能とな
る。
According to this etching method, among various particles in plasma, ions are accelerated by the DC electric field generated in the ion sheath on the electrode surface, impact the film to be processed with a large amount of energy, and ion-enhancing chemical Cause a reaction. Therefore, the etching proceeds in the ion incident direction, and directional etching without undercut is possible.

【0008】しかし、このイオン衝撃によってあらゆる
材料が励起又は活性化されるため、ラジカルだけを利用
するエッチングに比べると、物質固有の反応性の差がで
にくく、一般に材料の違いによるエッチング速度の比、
即ち選択比が小さくなってしまう。例えば、Alのエッ
チングでは、レジストのエッチング速度が大きいため、
パターン変換差が大きく、高精度にパターンを形成する
ことが困難である。更に、段差形状部ではレジストの膜
厚が薄くなるために、配線部分がエッチングされて配線
切れが生じる等の問題がある。
However, since all the materials are excited or activated by this ion bombardment, the difference in reactivity peculiar to the substance is less likely to occur as compared with the etching using only radicals, and the etching rate ratio is generally different depending on the material. ,
That is, the selection ratio becomes small. For example, in etching Al, since the etching rate of the resist is high,
The pattern conversion difference is large, and it is difficult to form a pattern with high accuracy. Further, in the step-shaped portion, the film thickness of the resist becomes thin, so that there is a problem that the wiring portion is etched and the wiring is broken.

【0009】更に、シリコン酸化膜のエッチングにおい
ては、シリコン酸化膜は下地材料との選択比が小さく、
即ち、下地であるシリコン(Si)やアルミニウム(A
l)のエッチング速度が大きいため、下地材料表面が露
出した時点でエッチングを高精度に停止することができ
ない。このため、孔の深さの異なるコンタクトホールを
エッチングにより形成する際には、浅い孔の下地である
シリコンやアルミニウムが相当量エッチングされてしま
い、デバイスとしての特性が劣化する等の問題がある。
Further, in the etching of the silicon oxide film, the selection ratio of the silicon oxide film to the base material is small,
That is, silicon (Si) or aluminum (A
Since the etching rate of l) is high, the etching cannot be stopped with high accuracy when the surface of the base material is exposed. Therefore, when the contact holes having different depths are formed by etching, a considerable amount of silicon or aluminum, which is the base of the shallow holes, is etched, and there is a problem that the device characteristics are deteriorated.

【0010】また、このようなドライエッチングにおい
ては、ラジカルの運動方向が揃っていないため、適度な
圧力範囲において、被エッチング膜のエッチング速度を
所望の値に保持しつつ、マスクに対する被エッチング膜
のエッチング速度比(選択性)を大きくしようとする
と、得られたパターンの側面で不所望なエッチング又は
堆積が生じ、高精度なパターン形成は不可能であった。
Further, in such dry etching, since the moving directions of radicals are not aligned, the etching rate of the film to be etched is kept at a desired value in a moderate pressure range, and the film to be etched with respect to the mask is kept. If an attempt is made to increase the etching rate ratio (selectivity), undesired etching or deposition occurs on the side surface of the obtained pattern, making it impossible to form a highly accurate pattern.

【0011】従って、根本的に、サイドエッチングのな
い異方性加工を実現することと、マスクに対する被エッ
チング膜のエッチング速度比(選択性)を大きくするこ
とと、被エッチング膜の高いエッチング速度を達成する
こととは、トレードオフの関係にあり、すべてを同時に
実現することは困難であった。
Therefore, fundamentally, it is possible to realize anisotropic processing without side etching, increase the etching rate ratio (selectivity) of the film to be etched with respect to the mask, and increase the etching rate of the film to be etched. There was a trade-off with achieving it, and it was difficult to achieve all at the same time.

【0012】しかし、近年、エッチング時のウエハ温度
を0℃以下の低温に保持し、制御する機構を加えること
により、深さ方向ではイオンアシスト反応により高エッ
チング速度でエッチングし、横方向には低温化により反
応を凍結して高異方性加工することが可能となった。ま
た、低温でのウエハ温度制御により、パターン側壁での
反応が制御できるため、パターン形状の制御が可能とな
った。例えば、シリコン酸化膜(SiO2 )のエッチン
グでは、大岩(Dry Process Sympos
ium p105,1990)により、適度な圧力と基
板温度の範囲では、シリコン酸化膜(SiO2 )をテー
パー状にエッチングできることが提案されている。
However, in recent years, by adding a mechanism for holding and controlling the wafer temperature at the time of etching at a low temperature of 0 ° C. or lower, the etching is performed at a high etching rate by the ion assist reaction in the depth direction, and the temperature is lowered in the lateral direction. The reaction made it possible to freeze the reaction and process it with high anisotropy. Further, since the reaction on the side wall of the pattern can be controlled by controlling the wafer temperature at a low temperature, it becomes possible to control the pattern shape. For example, in the etching of a silicon oxide film (SiO 2 ), the dry process symposium (Dry Process Sympos)
ium p105, 1990), it is proposed that the silicon oxide film (SiO 2 ) can be etched in a tapered shape within a range of appropriate pressure and substrate temperature.

【0013】しかし、半導体素子の集積度の増大にとも
ない、コンタクトホールに要求されるスペックは、孔の
直径の縮小化、および孔の深さの増大である。孔の径が
小さくなるに従い、また、孔の深さが深くなるに従い、
コンタクトホール側壁にテーパーが付いているため、孔
底部の直径はデバイススペックに比べて小さくなる。コ
ンタクトホールは、下地シリコンとシリコン酸化膜上に
ある配線とを電気的に結ぶ接続口であり、そのためアル
ミニウムやタングステンなどの金属あるいはポリシリコ
ンがコンタクトホール中に埋め込まれる。従って、埋め
込まれる金属あるいはポリシリコンと下地シリコンとの
接触面積が大きいほど良好な電気的接触を示すことが知
られている。そのため、電気的特性あるいは集積化の点
から、コンタクトホールのエッチング形状は垂直にしな
ければならない。すなわち、高集積度デバイスに用いら
れるコンタクトホールの加工に求められるスペックは、
シリコンに対する高選択比(少なくても20以上)を有
することと、パターン形状の垂直化が必要となる。
However, with the increase in the degree of integration of semiconductor devices, the specifications required for contact holes are the reduction of the diameter of the holes and the increase of the depth of the holes. As the diameter of the hole becomes smaller and as the depth of the hole becomes deeper,
Since the side wall of the contact hole is tapered, the diameter of the bottom of the hole is smaller than the device specifications. The contact hole is a connection port that electrically connects the underlying silicon and the wiring on the silicon oxide film, and therefore metal such as aluminum or tungsten or polysilicon is embedded in the contact hole. Therefore, it is known that the larger the contact area between the buried metal or polysilicon and the underlying silicon, the better the electrical contact. Therefore, the etching shape of the contact hole must be vertical from the viewpoint of electrical characteristics or integration. In other words, the specifications required for processing contact holes used in high integration devices are:
It is necessary to have a high selection ratio to silicon (at least 20 or more) and to make the pattern shape vertical.

【0014】しかしながら、シリコン酸化膜において
は、基板温度を上昇させることによりシリコンに対する
高選択比を保持しながらパターンを垂直形状に近くする
ことが可能であるが、基板温度が160℃以上になる
と、レジストパターンが熱により変形するため、パター
ン側壁のテーパー角は83度が限界である。従って、所
望のパターンを高精度に加工することは不可能であっ
た。従って、イオンミリング法などを用いたAl,A
u,Ptの加工では、高エネルギー粒子が基板に衝突す
るため、エッチング中に基板の温度が上昇し、それによ
るレジストパターンの熱変形によって、高精度のエッチ
ングが困難となる。
However, in the silicon oxide film, it is possible to make the pattern close to a vertical shape while maintaining a high selection ratio for silicon by raising the substrate temperature. However, when the substrate temperature becomes 160 ° C. or higher, Since the resist pattern is deformed by heat, the taper angle of the pattern side wall is limited to 83 degrees. Therefore, it is impossible to process a desired pattern with high accuracy. Therefore, Al, A using the ion milling method, etc.
In the processing of u and Pt, since high-energy particles collide with the substrate, the temperature of the substrate rises during etching, and the thermal deformation of the resist pattern due to it makes it difficult to perform highly accurate etching.

【0015】耐熱性のマスクとして、シリコン酸化膜や
シリコン窒化膜などを用い、銅などを高温でエッチング
した例が報告されている。この場合、銅は高温で極めて
酸化されやすいために、残渣の発生や形状の劣化あるい
は銅のマスク材料中への拡散が生じ、電気特性を劣化さ
せるため、良好な配線を形成することは不可能であっ
た。
It has been reported that a silicon oxide film, a silicon nitride film or the like is used as a heat resistant mask and copper or the like is etched at a high temperature. In this case, copper is extremely likely to be oxidized at high temperature, so that a residue is generated, the shape is deteriorated, or copper is diffused into the mask material, and the electrical characteristics are deteriorated. Therefore, it is impossible to form a good wiring. Met.

【0016】更に、タングステンなどのエッチングにお
いては、エッチング速度が周辺部と中央部で異なるた
め、エッチング速度が小さい領域が完全にエッチングさ
れたときに、エッチング速度が大きい領域では、オーバ
ーエッチングが進み、下地材料が相当量エッチングされ
たり、パターン形状が変化するなどの問題が生じる。従
って、ウエハの大口径化にともない、所望のパターンを
ウエハ全面にわたって高精度に加工することは不可能で
あった。
Further, in etching tungsten or the like, since the etching rate is different between the peripheral portion and the central portion, when a region having a low etching rate is completely etched, overetching proceeds in a region having a high etching rate, There is a problem that the underlying material is etched in a considerable amount and the pattern shape is changed. Therefore, it has been impossible to process a desired pattern with high accuracy over the entire surface of the wafer as the diameter of the wafer increases.

【0017】さらに、マスク材料としてシリコン酸化膜
等の絶縁性膜を用いた場合、プラズマを用いたエッチン
グ方法においては、プラズマ中のイオンと電子が被エッ
チング膜中に入射する。これらの膜中に入射するイオン
や電子により、膜には電荷が蓄積される(チャージアッ
プ)。例えば、マスクパターンに対して電子が斜めから
入射すると、片方の壁にだけ当たるため、左右のマスク
パターンの壁に蓄積される電荷が相異なってくる。この
電荷の非対称の結果、壁の左右方向に新たに生じた電界
がイオンに作用して運動方向を曲げ、パターン形状の異
方性を劣化させてしまうという問題があり、微細なパタ
ーンを高精度にエッチングすることが困難であった。
Further, when an insulating film such as a silicon oxide film is used as a mask material, in the etching method using plasma, ions and electrons in the plasma are incident on the film to be etched. Charges are accumulated in the film (charge-up) by the ions and electrons incident on these films. For example, when electrons are obliquely incident on the mask pattern, they hit only one wall, so that the charges accumulated on the walls of the left and right mask patterns are different. As a result of this charge asymmetry, a new electric field generated in the lateral direction of the wall acts on the ions to bend the direction of motion and deteriorate the anisotropy of the pattern shape. Was difficult to etch.

【0018】また、金属材料、特にAlSiCu等をエ
ッチングする場合、エッチングマスクであるレジスト膜
を剥離した後、放置するとAlSiCuの腐食(コロー
ジョン)が生じ、デバイス特性を劣化させるという問題
が生じ、高信頼性を有したデバイス作成が困難であっ
た。
In the case of etching a metal material, particularly AlSiCu, etc., if a resist film as an etching mask is peeled and then left to stand, corrosion of AlSiCu (corrosion) occurs, which causes a problem that device characteristics are deteriorated, resulting in high reliability. It was difficult to create a device with good properties.

【0019】[0019]

【発明が解決しようとする課題】このように従来、反応
性イオンエッチング技術により、被処理基体を異方的に
加工する際には、以下のような問題があった。
As described above, there have been the following problems when anisotropically processing a substrate to be processed by the reactive ion etching technique.

【0020】(1)シリコン酸化膜をシリコンに対して
高選択比を保持しながら、垂直形状で加工することは不
可能であった。
(1) It was impossible to process a silicon oxide film in a vertical shape while maintaining a high selection ratio with respect to silicon.

【0021】(2)タングステンなどの高融点金属膜あ
るいは高融点金属硅化膜あるいは金属酸化物は、ウエハ
の大口径化にともない、ウエハの中央部と周辺部とのエ
ッチング速度の差が大きく、高均一性を実現することが
不可能であった。
(2) The refractory metal film such as tungsten, the refractory metal silicide film, or the metal oxide has a large difference in etching rate between the central portion and the peripheral portion of the wafer as the diameter of the wafer becomes larger, and thus the high It was impossible to achieve uniformity.

【0022】(3)反応性イオンエッチングでは、エッ
チングマスクの被エッチング材料に対するドライエッチ
ング選択比が小さいため、加工中のエッチングマスク材
料の膜減りが激しい。更に、被処理基体の温度が上昇す
ると、マスク材料の耐熱性が低いためにマスクパターン
に劣化を生じ、高精度の加工ができない。
(3) In reactive ion etching, since the dry etching selectivity of the etching mask to the material to be etched is small, the film thickness of the etching mask material during processing is severely reduced. Further, when the temperature of the substrate to be processed rises, the mask material deteriorates in heat resistance and the mask pattern is deteriorated, so that highly accurate processing cannot be performed.

【0023】(4)銅などを高温でエッチングした場
合、銅は高温で極めて酸化されやすいために、残渣の発
生や形状の劣化あるいは銅のマスク材料中への拡散が生
じ、電気特性が劣化し良好な配線を形成することは不可
能であった。
(4) When copper or the like is etched at a high temperature, the copper is very likely to be oxidized at a high temperature, so that a residue is generated, the shape is deteriorated, or copper is diffused into the mask material, and the electrical characteristics are deteriorated. It was impossible to form good wiring.

【0024】(5)有機質膜をマスク材料として用いた
場合には、膜中にフッ素(F)などの不純物が含有され
ているために、反応性イオンエッチング中にこれらの不
純物がプラズマ中に混入し、被処理体が汚染される。特
に、被処理体が金属材料である場合は、汚染に誘起され
た腐食(コロージョン)が生じるという問題があり、高
信頼性を有するデバイスを得ることは不可能である。
(5) When an organic film is used as a mask material, since impurities such as fluorine (F) are contained in the film, these impurities are mixed in the plasma during the reactive ion etching. However, the object to be processed is contaminated. In particular, when the object to be processed is a metal material, there is a problem that corrosion (corrosion) induced by contamination occurs, and it is impossible to obtain a highly reliable device.

【0025】(6)マスク材料が有機質であったり、シ
リコン酸化膜のような絶縁性の膜の場合においては、プ
ラズマ中でこれらの膜中に入射するイオンと電子のバラ
ンスによりマスク中に蓄積される電荷量によって、マス
クパターンがチャージアップし、これにより、イオンの
入射方向が曲げられるために、微細なパターンを高精度
に加工できない。
(6) When the mask material is organic or is an insulating film such as a silicon oxide film, it is accumulated in the mask due to the balance of ions and electrons incident on these films in plasma. The mask pattern is charged up depending on the amount of electric charge generated, and the incident direction of ions is bent by this, so that a fine pattern cannot be processed with high precision.

【0026】(7)マスク材料と被エッチング材料、さ
らには周辺に隣接する材料との組み合わせによっては、
被エッチング材料や周辺に隣接する材料に全く損傷を与
えずにマスク材料を選択的に除去することは不可能であ
る場合があった。
(7) Depending on the combination of the mask material, the material to be etched, and the material adjacent to the periphery,
It may be impossible to selectively remove the mask material without damaging the material to be etched or the material adjacent to the periphery.

【0027】本発明は、上記事情を考慮してなされたも
ので、ドライエッチング技術において被処理基体を異方
性エッチングする際に、マスク材料あるいはドライエッ
チングに起因する各種の問題(被加工膜とのエッチング
選択比、下地材料との選択比、チャージアップ、マスク
剥離時における損傷、耐熱性、被処理体の汚染、テーパ
ー形状、など)を無くすことができ、高精度のパターン
形成が可能で且つ高信頼性を有する半導体装置の製造方
法を提供することにある。
The present invention has been made in consideration of the above circumstances, and when anisotropically etching a substrate to be processed in the dry etching technique, various problems (masking film and processed film) caused by the mask material or dry etching are caused. Etching selectivity ratio, selection ratio with the underlying material, charge-up, damage during mask peeling, heat resistance, contamination of the object to be processed, taper shape, etc.), and highly accurate pattern formation is possible. It is to provide a method for manufacturing a semiconductor device having high reliability.

【0028】[0028]

【課題を解決するための手段】本発明の骨子は、被加工
膜上にドライエッチングのエッチングマスクとして、炭
素膜を形成し、この炭素膜をマスクとして被加工膜を加
熱しながら高温でドライエッチングすることにある。
The essence of the present invention is that a carbon film is formed on a film to be processed as an etching mask for dry etching, and the film to be processed is dry-etched at a high temperature while heating the film to be processed. To do.

【0029】即ち、本発明(請求項1)は、被処理基板
上に炭素膜を被着する工程、該炭素膜上に有機膜パター
ンを形成する工程、該有機膜パターンをマスクとして用
いて該炭素膜をエッチングして炭素膜パターンを形成す
る工程、該有機膜パターンを除去する工程、エッチング
ガスを該基板を収容する反応領域に導入し、基板の支持
台に設けられた加熱手段で被処理基板を加熱しながら反
応領域に電界を印加して放電を生ぜしめ、形成されたプ
ラズマを用いて、該炭素膜パターンをマスクとして被処
理基板を異方的に加工する工程を具備することを特徴と
する半導体装置の製造方法を提供する。
That is, according to the present invention (claim 1), a step of depositing a carbon film on a substrate to be processed, a step of forming an organic film pattern on the carbon film, and a step of using the organic film pattern as a mask A step of etching a carbon film to form a carbon film pattern, a step of removing the organic film pattern, an etching gas is introduced into a reaction region for accommodating the substrate, and a heating means provided on a support base of the substrate is used for treatment. An electric field is applied to the reaction region while heating the substrate to generate an electric discharge, and the formed plasma is used to anisotropically process the substrate to be processed using the carbon film pattern as a mask. A method of manufacturing a semiconductor device is provided.

【0030】また、本発明(請求項2)は、基板上に形
成されたシリコン酸化膜上に炭素膜を被着する工程、該
炭素膜上に有機膜パターンを形成する工程、該有機膜パ
ターンをマスクとして用いて該炭素膜をエッチングして
炭素膜パターンを形成する工程、該有機膜パターンを除
去する工程、及び該基板を160℃以上に加熱し、フッ
素原子と炭素原子を含むガスを該基板を収容する反応領
域に導入し、該反応領域に電界を印加して放電を生ぜし
め、形成されたプラズマを用いて、該炭素膜パターンを
マスクとして該シリコン酸化膜を異方的に加工する工程
を具備することを特徴とする半導体装置の製造方法を提
供する。
Further, according to the present invention (claim 2), a step of depositing a carbon film on a silicon oxide film formed on a substrate, a step of forming an organic film pattern on the carbon film, and the organic film pattern. Using the as a mask to form a carbon film pattern by etching the carbon film, removing the organic film pattern, heating the substrate to 160 ° C. or higher, and applying a gas containing a fluorine atom and a carbon atom. The silicon oxide film is introduced anisotropically into the reaction region containing the substrate, an electric field is applied to the reaction region to generate a discharge, and the formed plasma is used to anisotropically process the silicon oxide film using the carbon film pattern as a mask. Provided is a method for manufacturing a semiconductor device, which comprises steps.

【0031】また、本発明(請求項3)は、フッ素原子
と炭素原子を含むガス、又はフッ素原子と炭素原子を含
むガスと、一酸化炭素ガス若しくは水素ガスとの混合ガ
スを含むエッチングガスを、基板を収容する反応領域に
導入することを特徴とする請求項2に記載の半導体装置
の製造方法。
The present invention (claim 3) provides an etching gas containing a gas containing fluorine atoms and carbon atoms, or a mixed gas of a gas containing fluorine atoms and carbon atoms and carbon monoxide gas or hydrogen gas. 3. The method of manufacturing a semiconductor device according to claim 2, wherein the substrate is introduced into a reaction region that accommodates the substrate.

【0032】また、本発明(請求項4)は、基板上に形
成された銅膜上に炭素膜を被着する工程、該炭素膜上に
有機膜パターンを形成する工程、該有機膜パターンをマ
スクとして用いて該炭素膜をエッチングして炭素膜パタ
ーンを形成する工程、該有機膜パターンを除去する工
程、及び該基板を約150℃以上に加熱し、エッチング
ガスを該基板を収容する反応領域に導入し、該反応領域
に電界を印加して放電を生ぜしめ、形成されたプラズマ
を用いて、該炭素膜パターンをマスクとして該銅膜を異
方的に加工する工程を具備することを特徴とする半導体
装置の製造方法を提供する。
Further, according to the present invention (claim 4), a step of depositing a carbon film on a copper film formed on a substrate, a step of forming an organic film pattern on the carbon film, and a step of forming the organic film pattern A step of etching the carbon film using a mask to form a carbon film pattern, a step of removing the organic film pattern, and a reaction region in which the substrate is heated to about 150 ° C. or higher and an etching gas is contained in the substrate. And applying an electric field to the reaction region to generate an electric discharge, and using the formed plasma to anisotropically process the copper film using the carbon film pattern as a mask. A method of manufacturing a semiconductor device is provided.

【0033】この場合、基板を250℃以上に加熱し、
塩素原子及び/又は臭素原子を含むエッチングガスを、
基板を収容する反応領域に導入することが好ましい(請
求項5)。
In this case, the substrate is heated to 250 ° C. or higher,
An etching gas containing a chlorine atom and / or a bromine atom,
It is preferably introduced into the reaction region containing the substrate (claim 5).

【0034】また、本発明(請求項6)は、基板上に形
成されたタングステン膜、ニッケル膜、チタン膜、タン
タル酸化膜、チタン酸ストロンチウム膜、アルミニウム
酸化膜、及びアルミニウム窒化膜からなる群から選ばれ
た被処理膜上に炭素膜を被着する工程、該炭素膜上に有
機膜パターンを形成する工程、該有機膜パターンをマス
クとして用いて該炭素膜をエッチングして炭素膜パター
ンを形成する工程、該有機膜パターンを除去する工程、
及び該基板を130℃以上に加熱し、エッチングガスを
該基板を収容する反応領域に導入し、該反応領域に電界
を印加して放電を生ぜしめ、形成されたプラズマを用い
て、該炭素膜パターンをマスクとして該被処理膜を異方
的に加工する工程を具備することを特徴とする半導体装
置の製造方法を提供する。
Further, the present invention (claim 6) comprises a group consisting of a tungsten film, a nickel film, a titanium film, a tantalum oxide film, a strontium titanate film, an aluminum oxide film and an aluminum nitride film formed on a substrate. Forming a carbon film pattern by depositing a carbon film on a selected target film, forming an organic film pattern on the carbon film, and etching the carbon film using the organic film pattern as a mask A step of removing the organic film pattern,
And heating the substrate to 130 ° C. or higher, introducing an etching gas into a reaction region accommodating the substrate, applying an electric field to the reaction region to generate a discharge, and using the formed plasma to form the carbon film. A method of manufacturing a semiconductor device, comprising a step of anisotropically processing the film to be processed using a pattern as a mask.

【0035】この場合、塩素原子、臭素原子及び弗素原
子の少なくとも1種を含むガス、又は一酸化炭素ガスか
らなるエッチングガスを基板を収容する反応領域に導入
することが好ましい(請求項7)。
In this case, it is preferable to introduce a gas containing at least one of chlorine atom, bromine atom and fluorine atom, or an etching gas composed of carbon monoxide gas into the reaction region containing the substrate.

【0036】なお、請求項1、4又は6において、基板
を160℃以上に加熱することが好ましい(請求項
8)。
It is preferable that the substrate is heated to 160 ° C. or higher in the first, fourth or sixth aspect (the eighth aspect).

【0037】また、本発明(請求項9)は、基板上に形
成されたアルミニウムを主成分とする被処理膜上に炭素
膜を被着する工程、該炭素膜上に有機膜パターンを形成
する工程、該有機膜パターンをマスクとして用いて該炭
素膜をエッチングして炭素膜パターンを形成する工程、
該有機膜パターンを除去する工程、塩素原子及び/又は
臭素原子を含むエッチングガスを該基板を収容する反応
領域に導入し、該反応領域に電界を印加して放電を生ぜ
しめ、形成されたプラズマを用いて、該炭素膜パターン
をマスクとして該被処理膜を異方的に加工する工程、及
び該基板を250℃以上に加熱する工程を具備すること
を特徴とする半導体装置の製造方法を提供する。
Further, according to the present invention (claim 9), a step of depositing a carbon film on a target film containing aluminum as a main component formed on a substrate, and an organic film pattern is formed on the carbon film. A step of forming a carbon film pattern by etching the carbon film using the organic film pattern as a mask,
The step of removing the organic film pattern, introducing an etching gas containing chlorine atoms and / or bromine atoms into a reaction region accommodating the substrate, applying an electric field to the reaction region to generate discharge, and the plasma formed And a step of anisotropically processing the film to be processed by using the carbon film pattern as a mask, and a step of heating the substrate to 250 ° C. or higher. To do.

【0038】また、本発明(請求項10)は、基板上に
形成されたアルミニウムを主成分とする金属配線を形成
する工程、該金属配線上に絶縁膜を形成する工程、該絶
縁膜上に炭素膜を被着する工程、該炭素膜上に有機膜パ
ターンを形成する工程、該有機膜パターンをマスクとし
て用いて該炭素膜をエッチングして炭素膜パターンを形
成する工程、該有機膜パターンを除去する工程、弗素原
子を含むエッチングガスを該基板を収容する反応領域に
導入し、該反応領域に電界を印加して放電を生ぜしめ、
形成されたプラズマを用いて、該炭素膜パターンをマス
クとして該絶縁膜を異方的に加工する工程、及び該基板
を250℃以上に加熱する工程を具備することを特徴と
する半導体装置の製造方法を提供する。
In addition, the present invention (claim 10) provides a step of forming a metal wiring containing aluminum as a main component formed on a substrate, a step of forming an insulating film on the metal wiring, and a step of forming an insulating film on the insulating film. A step of depositing a carbon film, a step of forming an organic film pattern on the carbon film, a step of etching the carbon film by using the organic film pattern as a mask to form a carbon film pattern, and a step of forming the organic film pattern. A step of removing, introducing an etching gas containing fluorine atoms into a reaction region accommodating the substrate, and applying an electric field to the reaction region to generate a discharge,
Manufacturing a semiconductor device, comprising: anisotropically processing the insulating film by using the formed plasma using the formed carbon film as a mask; and heating the substrate to 250 ° C. or higher. Provide a way.

【0039】この場合、請求項9又は10において、基
板の加熱温度は250〜450℃であることが好ましい
(請求項11)。
In this case, in claim 9 or 10, it is preferable that the heating temperature of the substrate is 250 to 450 ° C (claim 11).

【0040】また、本発明(請求項12)は、基板上に
絶縁膜を形成する工程、該絶縁膜上に炭素膜を被着する
工程、該炭素膜上に有機膜パターンを形成する工程、該
有機膜パターンをマスクとして用いて該炭素膜をエッチ
ングして炭素膜パターンを形成する工程、該有機膜パタ
ーンを除去する工程、弗素原子を含むエッチングガスを
該基板を収容する反応領域に導入し、該反応領域に電界
を印加して放電を生ぜしめ、形成されたプラズマを用い
て、該炭素膜パターンをマスクとして該絶縁膜を異方的
に加工する工程、及び該基板を250℃以上に加熱する
工程を具備することを特徴とする半導体装置の製造方法
を提供する。
Further, the present invention (claim 12) includes the steps of forming an insulating film on a substrate, depositing a carbon film on the insulating film, and forming an organic film pattern on the carbon film. A step of etching the carbon film by using the organic film pattern as a mask to form a carbon film pattern, a step of removing the organic film pattern, and an etching gas containing fluorine atoms being introduced into a reaction region accommodating the substrate. A step of applying an electric field to the reaction region to generate an electric discharge and using the formed plasma to anisotropically process the insulating film by using the carbon film pattern as a mask; Provided is a method for manufacturing a semiconductor device, which comprises a step of heating.

【0041】この場合、基板の加熱温度は250〜80
0℃であることが好ましい(請求項13)。
In this case, the heating temperature of the substrate is 250-80.
It is preferably 0 ° C. (claim 13).

【0042】ここで、本発明における望ましい実施態様
としては、次のものがあげられる。
The preferred embodiments of the present invention are as follows.

【0043】(1)炭素膜のエッチング工程において、
エッチングガスとして、酸素、窒素、ハロゲンガスまた
はアルゴン、クリプトン、キセノンなどの不活性ガスあ
るいは水素あるいはフルオロカーボンガスのいずれかの
ガスを用いること。
(1) In the carbon film etching step,
As the etching gas, use an oxygen, nitrogen, halogen gas, an inert gas such as argon, krypton, or xenon, or hydrogen or a fluorocarbon gas.

【0044】(2)炭素膜は、スパッタリング法、真空
蒸着法あるいはCVD法により成膜すること。
(2) The carbon film should be formed by a sputtering method, a vacuum evaporation method or a CVD method.

【0045】(3)レジストパターンを剥離する手段と
して被処理基体を真空容器内に設置し、該容器とは別の
領域で、少なくともフッ素元素を含むガスと酸素ガスか
らなる混合ガスを励起し、励起により生成される活性種
を真空容器内に供給するダウンフローエッチングを用い
ること。
(3) The substrate to be treated is placed in a vacuum container as a means for peeling off the resist pattern, and a mixed gas consisting of a gas containing at least a fluorine element and an oxygen gas is excited in a region different from the container, Using down-flow etching in which active species generated by excitation are supplied into a vacuum vessel.

【0046】[0046]

【作用】本発明において、炭素膜をエッチングマスクと
して用いた場合のドライエッチング特性を調べるため
に、基板温度を室温から600℃まで変化させて、反応
性イオンエッチングが可能なエッチング装置を作成し、
このエッチング装置にて、エッチングガスとして種々の
ガスを用いて、基板温度を変化させ、シリコン酸化膜、
銅、タングステンあるいはタンタル酸化膜をエッチング
し、そのエッチング速度、加工形状及び均一性を調べ
た。
In the present invention, in order to investigate the dry etching characteristics when the carbon film is used as an etching mask, the substrate temperature is changed from room temperature to 600 ° C., and an etching apparatus capable of reactive ion etching is prepared.
In this etching apparatus, various gases are used as etching gas to change the substrate temperature, silicon oxide film,
The copper, tungsten or tantalum oxide film was etched, and its etching rate, processed shape and uniformity were investigated.

【0047】まず、レジストパターンをマスクとして用
いて、少なくとも、C,F及びHを含有する反応性ガス
例えばCHF3 ガスとCOガスを用いて、所定の圧力及
び高周波電力下で基板温度を50℃から300℃まで変
化させて、シリコン酸化膜のエッチングを行った。その
結果、基板温度50℃から160℃まで変化させると、
得られたシリコン酸化膜パターンの側壁のテーパー角
は、80度から83度まで変化し、加工形状を垂直に近
づけることが可能であった。しかし、基板温度を160
℃以上に上昇させた場合、レジストパターンが熱により
変形するため、所望のパターン寸法のパターンを高精度
にて加工することは出来なかった。
First, using the resist pattern as a mask, using a reactive gas containing at least C, F and H, such as CHF 3 gas and CO gas, the substrate temperature is 50 ° C. under a predetermined pressure and high frequency power. To 300 ° C., the silicon oxide film was etched. As a result, when the substrate temperature is changed from 50 ° C to 160 ° C,
The taper angle of the side wall of the obtained silicon oxide film pattern was changed from 80 degrees to 83 degrees, and it was possible to make the processed shape close to vertical. However, if the substrate temperature is 160
When the temperature was raised to ℃ or higher, the resist pattern was deformed by heat, so that it was not possible to process a pattern having a desired pattern size with high accuracy.

【0048】そこで、エッチングマスクとして炭素膜を
用い、シリコン酸化膜を上記と全く同一の条件にてエッ
チングした。その結果、基板温度50℃にて得られたシ
リコン酸化膜パターンの加工形状は、パターン側壁のテ
ーパー角80度、170℃にてテーパー角83度と変化
することを見い出した。更に、基板温度260℃では、
対シリコン選択比20、テーパー角度90度が得られ、
大きな対シリコン選択比と加工形状を同時に満足させる
事に成功した。また、300℃以上に基板温度を上昇さ
せたが、炭素膜マスク自体には熱による劣化あるいは変
形などは、全く見られず、また脱ガスも極めて少ない事
が判明した。更に、炭素膜のエッチング速度は極めて小
さいことも判明した。
Therefore, using a carbon film as an etching mask, the silicon oxide film was etched under the same conditions as above. As a result, it was found that the processed shape of the silicon oxide film pattern obtained at the substrate temperature of 50 ° C. changed to a taper angle of 80 ° on the side wall of the pattern and a taper angle of 83 ° at 170 ° C. Furthermore, at a substrate temperature of 260 ° C,
A silicon selection ratio of 20 and a taper angle of 90 degrees are obtained,
We succeeded in satisfying a large selection ratio to silicon and a processed shape at the same time. Further, it was found that although the substrate temperature was raised to 300 ° C. or higher, no deterioration or deformation due to heat was observed in the carbon film mask itself, and degassing was extremely small. Further, it was also found that the etching rate of the carbon film was extremely low.

【0049】即ち、この様に、基板温度をレジストが熱
劣化する温度以上に上昇させ、しかも基板温度を制御し
ての反応性イオンエッチングはこれまで行われていなか
ったが、基板温度を上昇させた高温下で、適切なエッチ
ングガスを用い、炭素膜マスクを用いることにより、は
じめてシリコン酸化膜の高精度のエッチングが可能にな
ることを発見した。
That is, in this way, although the substrate temperature is raised above the temperature at which the resist is thermally deteriorated, and reactive ion etching has been carried out by controlling the substrate temperature, the substrate temperature is raised. It was discovered for the first time that a high-precision etching of a silicon oxide film becomes possible by using an appropriate etching gas and a carbon film mask at a high temperature.

【0050】そこで、上記と同様の方法で、塩素ガスを
用いて、銅膜のエッチングを行ったところ、基板温度2
50℃以上で銅膜は垂直形状でエッチングされ、炭素膜
のエッチング速度は極めて小さいことが判明した。即
ち、通常のドライエッチングでは、非常に低蒸気圧のエ
ッチング生成物しか生じない銅膜などに関しても、残渣
物の発生なく、高精度で異方性加工が可能であることが
わかる。
Therefore, when the copper film was etched using chlorine gas in the same manner as described above, the substrate temperature was 2
It was found that the copper film was vertically etched at 50 ° C. or higher, and the etching rate of the carbon film was extremely low. That is, it can be seen that in normal dry etching, even with respect to a copper film or the like that produces only an etching product having a very low vapor pressure, it is possible to perform anisotropic processing with high accuracy without generating a residue.

【0051】更に、タングステンおよびタンタル酸化膜
をフッ素ガスと塩化ガスとの混合ガスを用いて、適当に
このガスの混合比を変化させてエッチングしたところ、
タングステンおよびタンタル酸化膜のエッチング速度の
均一性が、基板温度の上昇と共に向上する現象が見い出
され、ウエハ全面にわたって、高精度の加工が可能にな
った。
Further, the tungsten and tantalum oxide films were etched by using a mixed gas of fluorine gas and chloride gas and appropriately changing the mixing ratio of this gas.
It has been found that the uniformity of the etching rate of the tungsten and tantalum oxide films improves as the substrate temperature rises, enabling highly accurate processing over the entire wafer surface.

【0052】次に、本発明者等は、炭素膜マスクを用
い、AlSiCu膜、下地にAlSiCu膜を有するシ
リコン酸化膜あるいは下地にSi基板を有するシリコン
酸化膜のエッチングを行った後、基板温度を上昇させ、
真空中あるいは所定のガス雰囲気中で熱処理をおこなっ
たところ、エッチングによって生じた汚染物あるいは残
留物を除去することが可能であることを見出した。この
ように熱処理を行ったところ、腐食あるいはデバイスに
おける電気特性の劣化などは全く観察されなかった。即
ち、半導体集積回路における配線構造やキャパシタの形
成において、信頼性の高いデバイスの形成が可能とな
る。
Next, the present inventors performed etching of the AlSiCu film, the silicon oxide film having the AlSiCu film as the underlayer or the silicon oxide film having the Si substrate as the underlayer using the carbon film mask, and then the substrate temperature was changed. Raise
It was found that it is possible to remove contaminants or residues generated by etching when heat treatment is performed in vacuum or in a predetermined gas atmosphere. When heat treatment was performed in this manner, no corrosion or deterioration of electrical characteristics of the device was observed. That is, it is possible to form a highly reliable device in forming a wiring structure and a capacitor in a semiconductor integrated circuit.

【0053】[0053]

【実施例】以下、本発明の実施例について、図面を参照
して説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0054】[実施例1]本発明の第1の実施例を図1
を用いて説明する。
[Embodiment 1] A first embodiment of the present invention is shown in FIG.
Will be explained.

【0055】まず、図1(a)に示すように、シリコン
基板1上にシリコン酸化膜2を熱酸化により1.4μm
の厚さに堆積する。次いで、膜厚250nmの炭素膜3を
スパッタ法により形成した後、通常のフォトリソグラフ
ィー技術により炭素膜3上に所望パターンのノボラック
系のフォトレジストパターン4(東京応化:商品名TS
MR−CRBI)を形成した。次いで、H2 ガスを用い
たドライエッチング技術により、レジストパターン4
(膜厚1.5μm )をマスクとして用いて炭素膜3の垂
直加工を行い、炭素膜パターン3を形成した。
First, as shown in FIG. 1A, a silicon oxide film 2 is formed on a silicon substrate 1 by thermal oxidation to 1.4 μm.
Deposited to a thickness of. Then, a carbon film 3 having a film thickness of 250 nm is formed by a sputtering method, and then a novolac-based photoresist pattern 4 (Tokyo Ohka: trade name TS, which has a desired pattern) of a desired pattern is formed on the carbon film 3 by an ordinary photolithography technique.
MR-CRBI) was formed. Then, a resist pattern 4 is formed by a dry etching technique using H 2 gas.
The carbon film 3 was vertically processed using (film thickness 1.5 μm) as a mask to form a carbon film pattern 3.

【0056】そして、CF4 /O2 ガスを用いたダウン
フローアッシングにより、残存するレジスト4を除去し
た。これにより、図1(b)に示すように、シリコン酸
化膜2上に炭素膜パターン3を残した。
Then, the remaining resist 4 was removed by downflow ashing using CF 4 / O 2 gas. Thereby, as shown in FIG. 1B, the carbon film pattern 3 was left on the silicon oxide film 2.

【0057】次いで、図1(c)に示すように、ドライ
エッチング装置を用いて、シリコン酸化膜2のエッチン
グを行ない、シリコン酸化膜パターン2aを形成した。
Then, as shown in FIG. 1C, the silicon oxide film 2 was etched by using a dry etching apparatus to form a silicon oxide film pattern 2a.

【0058】以下、図1(c)の工程について詳細に説
明する。
The process of FIG. 1C will be described in detail below.

【0059】まず、図2を参照して、この実施例に適用
したドライエッチング装置から説明する。
First, a dry etching apparatus applied to this embodiment will be described with reference to FIG.

【0060】図2に示すエッチング装置において、エッ
チング室20は、真空容器20aと、この真空容器20
a内に配置された被処理基板21を載置するための第1
の電極22と、この第1の電極22に13.56MHz の
高周波電力を印加すべく、ブロッキングキャパシタ29
を介して接続された高周波電源24と、第1の電極22
を昇温し、被処理基板21の基板温度を所望の温度に制
御するためのヒーター25とを具備している。ヒーター
25の代わりに第1の電極内に加熱したシリコン系オイ
ルを循環させて昇温するようにしてもよい。
In the etching apparatus shown in FIG. 2, the etching chamber 20 includes a vacuum container 20a and a vacuum container 20a.
a for placing the substrate to be processed 21 placed in a
Electrode 22 and a blocking capacitor 29 for applying high frequency power of 13.56 MHz to the first electrode 22.
High-frequency power source 24 and the first electrode 22 connected via
And a heater 25 for controlling the substrate temperature of the substrate to be processed 21 to a desired temperature. Instead of the heater 25, heated silicon-based oil may be circulated in the first electrode to raise the temperature.

【0061】また、エッチング室20には、CHF3
ス供給ライン28a及び一酸化炭素ガス供給ライン28
bが接続され、これら供給ライン28a及び28bから
真空容器20a内にCHF3 ,COが導入され、第1の
電極22と第2の電極をかねる真空容器20aの内壁
(上壁)との間に高周波電圧が印加されるようになって
いる。
Further, in the etching chamber 20, a CHF 3 gas supply line 28a and a carbon monoxide gas supply line 28 are provided.
b is connected, and CHF 3 , CO is introduced into the vacuum container 20a from these supply lines 28a and 28b, and between the first electrode 22 and the inner wall (upper wall) of the vacuum container 20a which also serves as the second electrode. A high frequency voltage is applied.

【0062】ここで、真空容器20aはアースに接続さ
れている。ガス供給ライン28a,28bは、各々バル
ブ29a,29bと流量調整器30a,30bを具備
し、流量およびガス圧を所望の値に調整できるようにな
っている。
Here, the vacuum container 20a is connected to the ground. The gas supply lines 28a and 28b are provided with valves 29a and 29b and flow rate adjusters 30a and 30b, respectively, so that the flow rate and gas pressure can be adjusted to desired values.

【0063】また、真空容器20aの第2の電極として
作用する上壁の上方には、サマリウムコバルト(Sm−
Co)系の永久磁石26が設置されており、この永久磁
石26はモーターにより回転軸27のまわりで偏心回転
せしめられ、この永久磁石26の発する50〜500ガ
ウスの磁界により10-3Torr台、またはそれ以下の高真
空でも高密度のプラズマを発生維持することが可能とな
るように構成されている。このようにして生成された高
密度プラズマから大量のイオンが引き出され、被処理基
板21に照射されエッチングが行われる。ここでは、被
処理基板21の表面の磁場強度を120ガウスとした。
Further, samarium cobalt (Sm-) is provided above the upper wall which functions as the second electrode of the vacuum container 20a.
Co) are permanent magnets 26 are placed in series, the permanent magnet 26 is caused to rotate eccentrically about an axis of rotation 27 by a motor, 10 -3 Torr stand by the magnetic field of 50-500 gauss generated by the permanent magnets 26, It is configured so that high-density plasma can be generated and maintained even in a high vacuum of less than that. A large amount of ions are extracted from the high-density plasma generated in this manner, and the processed substrate 21 is irradiated with the ions and etched. Here, the magnetic field strength on the surface of the substrate 21 to be processed was 120 gauss.

【0064】上述の図2に示すドライエッチング装置を
用いて、図1(c)に示すごとく、シリコン酸化膜2の
ドライエッチングを行った。エッチングガスとしては、
CHF3 とCOガスとの混合ガスを用いた。エッチング
条件は、CHF3 ガス流量45sccm、COガス流量15
5sccm、パワー2.6W/cm2 、圧力40mTorr であ
り、基板温度を50℃から300℃まで変化させてエッ
チングした。
Using the dry etching apparatus shown in FIG. 2, the silicon oxide film 2 was dry-etched as shown in FIG. 1 (c). As the etching gas,
A mixed gas of CHF 3 and CO gas was used. The etching conditions are a CHF 3 gas flow rate of 45 sccm and a CO gas flow rate of 15
The etching was performed at 5 sccm, a power of 2.6 W / cm 2 , a pressure of 40 mTorr, and the substrate temperature was changed from 50 ° C. to 300 ° C.

【0065】図3は、基板温度を変化させてエッチング
した際のシリコン酸化膜2のエッチング速度、対シリコ
ン選択比、及びシリコン酸化膜パターン2aのエッチン
グ断面形状を走査型電子顕微鏡(SEM)にて観察した
際のテーパー角度を示す図である。
FIG. 3 is a scanning electron microscope (SEM) showing the etching rate of the silicon oxide film 2, the etching selectivity to silicon, and the etching cross-sectional shape of the silicon oxide film pattern 2a when the substrate temperature was changed. It is a figure which shows the taper angle at the time of observing.

【0066】図3から、基板温度50℃にてパターン側
壁のテーパー角度が80°であり、基板温度175℃に
てテーパー角度84°であり、基板温度260℃にてテ
ーパー角度90°すなわち垂直形状であることがわか
る。基板温度260℃以上では、プラズマ中のラジカル
によるシリコン酸化膜のエッチングが促進され、炭素膜
マスク下にアンダーカットが入る。
From FIG. 3, the taper angle of the pattern side wall is 80 ° at the substrate temperature of 50 ° C., the taper angle is 84 ° at the substrate temperature of 175 ° C., and the taper angle of 90 °, that is, the vertical shape at the substrate temperature of 260 ° C. It can be seen that it is. At a substrate temperature of 260 ° C. or higher, radicals in plasma accelerate etching of the silicon oxide film, resulting in undercuts under the carbon film mask.

【0067】シリコン酸化膜のエッチング速度は、基板
温度が上昇するにつれ直線的に低下してくる。しかし、
シリコンのエッチング速度も50℃から170℃辺りま
では同様に、基板温度が上昇するにつれ直線的に低下し
てくるので、選択比はそれ程変化しない。しかし170
℃以上の温度領域では、炭素膜パターンからの飛散物が
多くなるため、シリコンのエッチング速度が一層押さえ
られ、この温度領域において選択比が上昇することが判
明した。
The etching rate of the silicon oxide film linearly decreases as the substrate temperature rises. But,
Similarly, the etching rate of silicon decreases linearly as the substrate temperature rises from 50 ° C. to 170 ° C., so the selectivity does not change so much. But 170
It was found that in the temperature range of ℃ or higher, the amount of scattered matters from the carbon film pattern increases, so that the etching rate of silicon is further suppressed and the selectivity ratio increases in this temperature range.

【0068】次いで、図1(d)に示すように、O2
ラズマエッチングにより炭素膜パターン3のみをを除去
し、テーパー状から垂直までの側壁形状を有する、高精
度に加工されたシリコン酸化膜パターン2aを得ること
が可能であった。
Then, as shown in FIG. 1 (d), only the carbon film pattern 3 is removed by O 2 plasma etching, and a highly accurate processed silicon oxide film having a side wall shape from a tapered shape to a vertical shape is formed. It was possible to obtain pattern 2a.

【0069】比較のために、レジストパターンをマスク
として用いて、前述と全く同一の条件にてシリコン酸化
膜2のエッチングを行ったところ、基板温度50℃から
160℃までは、側壁のテーパー角度を80°から83
°まで垂直に近づけることが可能であった。しかしなが
ら、基板温度を160℃以上に上昇させた場合、レジス
トパターンが熱により変形するため、所望のパターン寸
法のパターンを高精度にて加工することは困難であっ
た。
For comparison, when the silicon oxide film 2 was etched under exactly the same conditions as described above using the resist pattern as a mask, the taper angle of the side wall was changed from the substrate temperature of 50 ° C. to 160 ° C. 80 ° to 83
It was possible to approach the vertical up to °. However, when the substrate temperature is raised to 160 ° C. or higher, the resist pattern is deformed by heat, so that it is difficult to process a pattern having a desired pattern size with high accuracy.

【0070】次に、COガスを添加しない、CHF3
スのみをエッチングガスとして用い、シリコン酸化膜の
ドライエッチングを行った。即ち、COガスを添加せず
に、CHF3 ガスの流量を200sccmとした以外は、他
の条件は前述とまったく同一条件、パワー2.6W/cm
2、圧力40mTorr 、基板温度を50℃から300℃ま
で変化させてエッチングを行った。
Next, dry etching of the silicon oxide film was performed using only CHF 3 gas as an etching gas without adding CO gas. That is, except that CO gas was not added and the flow rate of CHF 3 gas was 200 sccm, the other conditions were exactly the same as those described above, and the power was 2.6 W / cm 2.
2. Etching was performed at a pressure of 40 mTorr and a substrate temperature of 50 ° C. to 300 ° C.

【0071】その結果、CHF3 単独ガスの場合、50
℃の基板温度ではテーパー角度は74°、125℃では
テーパー角度は84°、170℃ではテーパー角度は9
0°となった。このように、前述のCHF3 ガスにCO
ガスを添加した場合に対し、より低温にて垂直形状が得
られることが判明した。しかし、いずれの温度において
も、対シリコン選択比は10未満であった。対シリコン
選択比を上げるために総流量を200sccmで一定にして
COガスの添加量を増加していくと、基板温度170℃
のとき、CHF3 ガス流量70sccm、COガス流量13
0sccmで、対シリコン選択比が20となった。そのとき
のパターンの加工形状をSEMで観察するとテーパー角
度は84°であった。
As a result, in the case of CHF 3 gas alone, 50
The taper angle is 74 ° at a substrate temperature of ℃, 84 ° at 125 ° C, and 9 at 170 ° C.
It became 0 °. In this way, CO is added to the CHF 3 gas described above.
It was found that a vertical shape can be obtained at a lower temperature than when gas is added. However, at any temperature, the selection ratio to silicon was less than 10. When the total flow rate was kept constant at 200 sccm and the amount of CO gas added was increased to increase the selection ratio to silicon, the substrate temperature was 170 ° C.
At that time, CHF 3 gas flow rate 70 sccm, CO gas flow rate 13
At 0 sccm, the selection ratio to silicon was 20. When the processed shape of the pattern at that time was observed by SEM, the taper angle was 84 °.

【0072】以上説明した基板温度以外に、圧力変化及
びパワー変化をも試みた。しかしいずれの場合も、若
干、テーパー角度、対シリコン選択比に影響を与える程
度にとどまった。
In addition to the substrate temperature described above, changes in pressure and power were also tried. However, in both cases, the taper angle and the selection ratio to silicon were only slightly affected.

【0073】以上の第1の実施例により、テーパー角度
83°以上、対シリコン選択比20以上を両立させるに
は、基板温度を160℃以上、260℃未満に制御する
必要があることが判明した。
From the above first embodiment, it was found that the substrate temperature must be controlled to 160 ° C. or more and less than 260 ° C. in order to achieve both the taper angle of 83 ° or more and the selection ratio to silicon of 20 or more. ..

【0074】[実施例2]次に、本発明を、銅(Cu)
のドライエッチングにおいて、エッチングマスクとし
て、炭素膜を用いた場合に適用した第2の実施例につい
て説明する。即ち、この実施例は、Cu等のハロゲン化
合物は蒸気圧が低く、イオン等の高いエネルギー衝撃や
高い基板温度がエッチングに必要となるため、レジスト
等の有機質材料に代えて炭素膜パターンをエッチングマ
スクとして用いた例である。
Example 2 Next, the present invention will be described with reference to copper (Cu).
A second embodiment applied when a carbon film is used as an etching mask in the dry etching of 1. will be described. That is, in this example, since a halogen compound such as Cu has a low vapor pressure and a high energy impact such as ions and a high substrate temperature are required for etching, the carbon film pattern is used as an etching mask instead of an organic material such as a resist. It is an example used as.

【0075】まず、図4(a)に示すように、Si基板
41上にSiO2 膜42を形成し、このSiO2 膜42
上にCu膜(400nm)43をスパッタリング法により
形成した。その後、図4(b)に示す如く、炭素膜44
を200nmの厚さに上記と同様のスパッタリング法によ
り形成した。また、同時に比較のために、スパッタリン
グ法により、シリコン酸化膜(SiO2 )44´を膜厚
200nmに形成した試料も作成した。
[0075] First, as shown in FIG. 4 (a), to form an SiO 2 film 42 on the Si substrate 41, the SiO 2 film 42
A Cu film (400 nm) 43 was formed on the top by a sputtering method. After that, as shown in FIG.
Was formed to a thickness of 200 nm by the same sputtering method as above. At the same time, for comparison, a sample in which a silicon oxide film (SiO 2 ) 44 'was formed to a thickness of 200 nm was also prepared by the sputtering method.

【0076】次いで、図4(c)に示すように、炭素膜
44及びSiO2 膜44′上に各々1.6μm の厚さの
レジスト膜45を堆積し、図4(d)に示す如く通常の
リソグラフィ技術を用いて、レジスト膜45を露光、現
像することにより、レジストパターン45aの形成を行
った。この図4(d)に示す工程において、炭素膜44
あるいはSiO2 膜44′の溶出剥離は全く生じなかっ
た。
Next, as shown in FIG. 4C, a resist film 45 having a thickness of 1.6 μm is deposited on each of the carbon film 44 and the SiO 2 film 44 ', and as shown in FIG. The resist pattern 45a was formed by exposing and developing the resist film 45 using the lithographic technique of. In the step shown in FIG. 4D, the carbon film 44
Alternatively, no elution and peeling of the SiO 2 film 44 'occurred.

【0077】次いで、図4(e)に示す如く、レジスト
パターン45aをマスクとして用いて反応性イオンエッ
チングにて炭素膜44のパターニングを実施した。用い
たドライエッチング装置は、前述したマグネトロンを載
置した反応性イオンエッチング装置である。エッチング
条件は、H2 ガス流量100sccm、圧力1.5pa、高周
波電力1.7W/cm2 、基板温度25℃であった。
Next, as shown in FIG. 4E, the carbon film 44 was patterned by reactive ion etching using the resist pattern 45a as a mask. The dry etching device used is the reactive ion etching device on which the magnetron described above is mounted. The etching conditions were H 2 gas flow rate of 100 sccm, pressure of 1.5 pa, high frequency power of 1.7 W / cm 2 , and substrate temperature of 25 ° C.

【0078】その結果、図4(e)に示す如く、炭素膜
パターン44aが形成された。尚、この炭素膜パターン
44a上にはレジストパターン45aが残存している。
一方、上述と同一のエッチング装置にて、レジストパタ
ーン45aをマスクとして、SiO2 膜44′のパター
ニングを行った。SiO2膜44′のパターニングも上
記同様、H2 ガスを用い同条件にてエッチングした。こ
れにより、図4(e)に示すのと同様に、SiO2 膜パ
ターン44a′が得られた。このSiO2 膜パターン4
4a′上にもレジストパターン45aが残存している。
As a result, a carbon film pattern 44a was formed as shown in FIG. 4 (e). The resist pattern 45a remains on the carbon film pattern 44a.
On the other hand, the SiO 2 film 44 'was patterned using the resist pattern 45a as a mask in the same etching apparatus as described above. As for the patterning of the SiO 2 film 44 ′, the etching was performed under the same conditions using H 2 gas as in the above. As a result, a SiO 2 film pattern 44a ′ was obtained as shown in FIG. 4 (e). This SiO 2 film pattern 4
The resist pattern 45a also remains on 4a '.

【0079】次いで、図4(f)に示す如く、これらの
薄膜上に残存するレジストパターン45aを除去するた
めに、有機溶液処理によりレジストパターン45aの剥
離を行った。これにより、炭素膜パターン44a及びS
iO2 膜パターン44a′上のレジストパターン45a
は全てエッチング除去され、炭素膜パターン44a又は
SiO2 膜パターン44a′からなるエッチングマスク
パターンが形成された。
Next, as shown in FIG. 4F, in order to remove the resist pattern 45a remaining on these thin films, the resist pattern 45a was stripped by an organic solution treatment. Thereby, the carbon film patterns 44a and S
Resist pattern 45a on iO 2 film pattern 44a '
Were removed by etching, and an etching mask pattern consisting of the carbon film pattern 44a or the SiO 2 film pattern 44a 'was formed.

【0080】次いで、図4(g)に示す如く、炭素膜パ
ターン44a又はSiO2 膜パターン44a′をエッチ
ングマスクとして用いて、Cu膜43のエッチングを行
った。エッチング装置は、実施例1で用いたマグネトロ
ンを載置した反応性イオンエッチング装置を用いた。エ
ッチングガスとしてCl2(総流量100sccm)を用
い、圧力0.5Pa高周波電力1.7W/cm2 で、基板
温度を200〜400℃まで変化させた。
Next, as shown in FIG. 4G, the Cu film 43 was etched using the carbon film pattern 44a or the SiO 2 film pattern 44a 'as an etching mask. As the etching apparatus, the reactive ion etching apparatus on which the magnetron used in Example 1 was mounted was used. Cl 2 (total flow rate 100 sccm) was used as an etching gas, and the substrate temperature was changed from 200 to 400 ° C. under a pressure of 0.5 Pa high frequency power of 1.7 W / cm 2 .

【0081】まず、高周波電力を印加させないで、圧力
と基板温度を変化させて、Cu膜のエッチング速度を測
定したところ、図5に示す如く、基板温度250℃未満
では、圧力を変化させてもCuは全くエッチングされな
いことが判明した。また、圧力が大きくなる程、Cu膜
のエッチング速度は大きくなることが判明した。さら
に、炭素膜パターンは、上記条件下では全くエッチング
されず、熱による変形も生じなかった。
First, when the etching rate of the Cu film was measured by changing the pressure and the substrate temperature without applying the high frequency power, as shown in FIG. 5, when the substrate temperature was less than 250 ° C., the pressure was changed. It was found that Cu was not etched at all. It was also found that the higher the pressure, the higher the etching rate of the Cu film. Further, the carbon film pattern was not etched at all under the above conditions, and was not deformed by heat.

【0082】そこで、高周波電力を印加し、Cu膜のエ
ッチングを行ったところ、基板温度250℃未満では極
めてCu膜のエッチング速度が小さいことが判明した。
Then, when high frequency power was applied and the Cu film was etched, it was found that the etching rate of the Cu film was extremely low when the substrate temperature was lower than 250 ° C.

【0083】更に、基板温度を300℃以上に上昇させ
てエッチングしたところ、図4(g)に示す如く、ほぼ
垂直な形状にてCuをエッチングすることが可能である
ことが判明した。また、残渣等の発生は全く観察されな
かった。
Further, when the substrate temperature was raised to 300 ° C. or higher for etching, it was found that it was possible to etch Cu in a substantially vertical shape as shown in FIG. 4 (g). In addition, generation of residues and the like was not observed at all.

【0084】このときのCuのエッチング速度は、40
0nm/min 、炭素膜のエッチング速度は100nm/min
、Cuと炭素膜とのエッチング選択比は4である。従
って、炭素膜は、300℃以上という高温においても、
ハロゲンガスを用いた反応性イオンエッチングの良好な
エッチングマスクとして作用するという耐熱性を有する
為に、Cuのようなハロゲン化合物の蒸気圧が低い材料
においても高精度のエッチングが可能となる。
The etching rate of Cu at this time is 40
0 nm / min, etching rate of carbon film is 100 nm / min
, Cu and the carbon film have an etching selection ratio of 4. Therefore, even at a high temperature of 300 ° C. or higher, the carbon film is
Since it has heat resistance that it functions as a good etching mask for reactive ion etching using a halogen gas, it is possible to perform highly accurate etching even on a material such as Cu having a low vapor pressure of a halogen compound.

【0085】比較の為に、Cu膜上にSiO2 膜からな
るマスクパターンを形成したものについて上記条件にて
エッチングしたところ、基板温度300℃においては、
残渣の発生が観察された。これは、エッチング中にSi
2 膜からは酸素が発生する為、Cuのエッチング生成
物が生じ、又はCuのエッチング表面が局部的に酸化さ
れ、極めて蒸気圧の低いCu酸化物が形成され、エッチ
ングされにくくなる為と推測される。
For comparison, a mask pattern made of a SiO 2 film formed on a Cu film was etched under the above conditions, and at a substrate temperature of 300 ° C.
Evolution of residue was observed. This is due to the Si
It is speculated that since oxygen is generated from the O 2 film, Cu etching products are generated, or the Cu etching surface is locally oxidized to form Cu oxide having an extremely low vapor pressure, which makes etching difficult. To be done.

【0086】一方、炭素膜マスクを用いた場合は、マス
クからの酸素の発生は生じない。さらに、マスクから発
生する炭素あるいは炭素塩化物は、残留雰囲気中に存在
する水分や酸素と反応し、水分や酸素を除去する作用が
生じ、Cu表面が酸化されにくく、残渣の発生は生じな
い。
On the other hand, when the carbon film mask is used, oxygen is not generated from the mask. Further, carbon or carbon chloride generated from the mask reacts with water and oxygen existing in the residual atmosphere, has an action of removing water and oxygen, and the Cu surface is hardly oxidized, so that no residue is generated.

【0087】また、Cu膜上に通常のレジストパターン
を形成し、基板温度を上昇させてエッチングしたとこ
ろ、光硬化処理を施したレジストパターンであっても、
基板温度150℃以上ではレジストパターンが熱により
劣化することが判明した。一方、炭素膜パターンをマス
クとした場合、基板温度400℃においてもパターンの
劣化は観察されなかった。
Further, when a normal resist pattern was formed on the Cu film and etched by raising the substrate temperature, even if the resist pattern was subjected to a photo-curing treatment,
It was found that the resist pattern was deteriorated by heat when the substrate temperature was 150 ° C. or higher. On the other hand, when the carbon film pattern was used as a mask, deterioration of the pattern was not observed even at a substrate temperature of 400 ° C.

【0088】そして、図4(h)に示すように、炭素膜
パターン44aをCu膜43上から除去する為に、通常
の平行平板電極を有したエッチング装置を用い、エッチ
ングを行った。エッチングガスとしては、SF6 あるい
はNF3 などの少なくともフッ素原子を含有し、酸素原
子を含有しないガス、あるいはH2 ガスを用い、圧力5
0mTorr 、高周波電力150W、基板温度50℃で行っ
た。
Then, as shown in FIG. 4 (h), in order to remove the carbon film pattern 44a from the Cu film 43, etching was carried out using an ordinary etching apparatus having parallel plate electrodes. As the etching gas, a gas containing at least fluorine atoms and containing no oxygen atoms, such as SF 6 or NF 3 , or H 2 gas is used, and the pressure is 5
It was carried out at 0 mTorr, high frequency power of 150 W, and substrate temperature of 50 ° C.

【0089】炭素膜パターン44aの除去後、Cu膜パ
ターン43aの形状をSEMにて観察したところ、パタ
ーンの劣化は観察されず、0.4μm 寸法のラインアン
ドスペースで高精度のエッチングが可能となった。
After removing the carbon film pattern 44a, when the shape of the Cu film pattern 43a was observed by SEM, no deterioration of the pattern was observed, and high-precision etching was possible with a line and space of 0.4 μm dimension. It was

【0090】また、エッチングガスとしてトリアルキル
フォスフィンを用いた場合には、基板温度150℃以上
でCu膜のエッチングが可能である。
When a trialkylphosphine is used as an etching gas, the Cu film can be etched at a substrate temperature of 150 ° C. or higher.

【0091】[実施例3]次に、本発明の方法をタング
ステンのパターン形成方法に適用した第3の実施例につ
いて説明する。
[Third Embodiment] Next, a third embodiment in which the method of the present invention is applied to a tungsten pattern forming method will be described.

【0092】まず実施例2と同様に、図4(a)に示す
ように、シリコン基板41上に熱酸化により膜厚10nm
の酸化シリコン(SiO2 )膜42を形成し、CVD法
により膜厚200nmのタングステン膜(W)43を形成
した。次に、図4(b)に示すように、この上層にスパ
ッタ法により、炭素膜(膜厚100nm)44を形成し
た。
First, as in the second embodiment, as shown in FIG. 4A, a film thickness of 10 nm is formed on the silicon substrate 41 by thermal oxidation.
A silicon oxide (SiO 2 ) film 42 was formed, and a 200 nm-thickness tungsten film (W) 43 was formed by the CVD method. Next, as shown in FIG. 4B, a carbon film (film thickness 100 nm) 44 was formed on this upper layer by a sputtering method.

【0093】次に、図4(d)に示すように、炭素膜4
4上にフォトレジストを塗布し、図4(c)に示すよう
に、通常のリソグラフィ技術を用いて、レジストパター
ン45aを形成した。次に、図4(e)に示すように、
レジストパターン45aをマスクとしてH2 ガスを用い
た反応性イオンエッチング法により、炭素膜44を垂直
の側壁形状に加工した。そして、図4(f)に示すよう
に、レジストパターン45aをCF4 /O2 ガスを用い
たダウンフローエッチングにより除去し、炭素膜パター
ン44aを形成した。
Next, as shown in FIG. 4D, the carbon film 4
4 was coated with a photoresist, and as shown in FIG. 4C, a resist pattern 45a was formed by using an ordinary lithography technique. Next, as shown in FIG.
The carbon film 44 was processed into a vertical sidewall shape by a reactive ion etching method using H 2 gas with the resist pattern 45a as a mask. Then, as shown in FIG. 4F, the resist pattern 45a was removed by downflow etching using CF 4 / O 2 gas to form a carbon film pattern 44a.

【0094】次に、図4(g)に示すように、炭素膜パ
ターン44aをエッチングマスクとして用いて、W膜4
3のエッチングを行った。このW膜43のエッチングに
おいても、前述したドライエッチング装置を用いた。
Next, as shown in FIG. 4G, the W film 4 is formed by using the carbon film pattern 44a as an etching mask.
3 was etched. Also in the etching of the W film 43, the dry etching apparatus described above was used.

【0095】エッチング条件は、反応容器内のガス圧力
を50mTorr とし、エッチングガスとしてCl2 ガスあ
るいはSF6 あるいはこれらの混合ガスを用い、混合ガ
スの混合比を変化させ、高周波電力150Wの下で、室
温にて行った。その結果、図6に示すように、エッチン
グガスとしてSF6 ガス(100%)と用いた場合に
は、W膜のエッチング速度が350nm/min であるのに
対し、Cl2 ガス(100%)を用いた場合には、W膜
のエッチング速度は20nm/min まで減少することが判
明した。このとき、炭素膜のエッチング速度は、SF6
ガス(100%)では、10nm/min 、Cl2 ガス(1
00%)では、5nm/min と極めて小さいことが判っ
た。さらに、ウエハ面内でのエッチング速度の分布を測
定したところ、SF6 ガス(100%)ではウエハ周辺
部でのエッチング速度が速く、均一性が75%(3σ/
x:平均のエッチング速度)と極めて低いことが判明し
た。また、エッチングにより得られたパターンの断面形
状をSEMにて観察したところ、SF6 ガス(100
%)の下では、図7(a)に示す如く、W膜43にアン
ダーカットが生じ、逆にCl2 ガス(100%)の下で
は、図7(c)に示す如く、パターンの側壁がテーパー
形状となり、高精度のパターニングができなかった。
The etching conditions were that the gas pressure in the reaction vessel was 50 mTorr, Cl 2 gas or SF 6 or a mixed gas of these was used as the etching gas, the mixing ratio of the mixed gas was changed, and under high frequency power of 150 W, Performed at room temperature. As a result, as shown in FIG. 6, when SF 6 gas (100%) was used as the etching gas, the etching rate of the W film was 350 nm / min, whereas Cl 2 gas (100%) was used. It was found that when used, the W film etch rate was reduced to 20 nm / min. At this time, the etching rate of the carbon film is SF 6
For gas (100%), 10 nm / min, Cl 2 gas (1
(00%), it was found to be extremely small at 5 nm / min. Further, when the distribution of the etching rate in the wafer surface was measured, with SF 6 gas (100%), the etching rate in the peripheral portion of the wafer was high, and the uniformity was 75% (3σ /
x: average etching rate). Further, when the cross-sectional shape of the pattern obtained by etching was observed by SEM, SF 6 gas (100
%), Undercut occurs in the W film 43 as shown in FIG. 7A, and conversely, under Cl 2 gas (100%), as shown in FIG. It became a taper shape and could not be patterned with high precision.

【0096】そこで、SF6 ガスとCl2 ガスの混合ガ
スの比を適度に変化させながら、基板温度を上昇させて
エッチングを行った。その結果、基板温度130℃、C
2 とSF6 のガス分圧7対3において、図7(b)に
示すような垂直形状のパターニングが可能であった。
Therefore, etching was carried out by raising the substrate temperature while appropriately changing the ratio of the mixed gas of SF 6 gas and Cl 2 gas. As a result, the substrate temperature is 130 ° C, C
When the gas partial pressures of l 2 and SF 6 were 7: 3, vertical patterning as shown in FIG. 7B was possible.

【0097】また、図8に示すように、基板温度の上昇
とともにW膜のエッチング速度が増加し、130℃で
は、450nm/min であるのに対し、炭素膜のエッチン
グ速度は、50nm/min と極めて小さいことが判明し、
高選択比をもって、W膜をエッチングできることが明ら
かになった。さらに、ウエハ内でのエッチング速度分布
を測定したところ、図8に示す如く、基板温度ととも
に、エッチング均一性が向上する現象が見い出され、基
板温度160℃での均一性(3σ/x)は10%であっ
た。この要因としては、ウエハ周辺部に比較してウエハ
中央部では、エッチング生成物であるタングステン塩化
物(WCl6 )等の濃度が高くなり、これら生成物の再
堆積により、エッチング速度が抑制される。従って、ウ
エハ中央部でのエッチング速度は、周辺部と比較すると
小さくなるが、基板温度を上昇させることにより、これ
らのエッチング生成物(WCl6 )等の蒸気圧が高くな
り、再堆積が生じにくくなっていると推測される。更
に、基板温度を上昇させると、エッチング速度およびエ
ッチング均一性は向上するものの、形状にアンダーカッ
トが生じた。
Further, as shown in FIG. 8, the etching rate of the W film increases as the substrate temperature rises, and it is 450 nm / min at 130 ° C., while the etching rate of the carbon film is 50 nm / min. Turned out to be extremely small,
It was revealed that the W film can be etched with a high selection ratio. Further, when the etching rate distribution in the wafer was measured, as shown in FIG. 8, a phenomenon that the etching uniformity was improved with the substrate temperature was found, and the uniformity (3σ / x) at the substrate temperature of 160 ° C. was 10%. %Met. This is because the concentration of tungsten chloride (WCl 6 ) as an etching product is higher in the central portion of the wafer than in the peripheral portion of the wafer, and the etching rate is suppressed by redeposition of these products. .. Therefore, the etching rate in the central portion of the wafer is smaller than that in the peripheral portion, but by increasing the substrate temperature, the vapor pressure of these etching products (WCl 6 ) and the like increases, and redeposition is less likely to occur. It is supposed to be. Further, when the substrate temperature was raised, the etching rate and the etching uniformity were improved, but an undercut occurred in the shape.

【0098】そこでこの領域において、COガスを添加
し、エッチングを行った。COガスの添加とともに、W
膜及び炭素膜のエッチング速度は徐々に減少するが、ア
ンダーカットは抑制され、COの添加量により形状を制
御することが可能であった。また、エッチング均一性
は、COの添加により大きく変化しないことが判明し
た。
Therefore, in this region, CO gas was added and etching was performed. With addition of CO gas, W
Although the etching rates of the film and the carbon film gradually decreased, undercut was suppressed, and the shape could be controlled by the amount of CO added. It was also found that the etching uniformity did not change significantly by the addition of CO.

【0099】従って、W膜等を、高エッチング速度に
て、ウエハ面内での高い均一性をもって、かつ高精度に
エッチングするためには、基板温度を上昇させ、炭素膜
マスクを用いて、高選択比にてエッチングすることが極
めて有効であることが判明した。また、基板温度の上昇
により、エッチング形状も変化するが、この場合には、
適宜、エッチングガス混合比を変化させたり、例えばC
Oガスを添加することにより、高精度のエッチングが可
能となることが判った。
Therefore, in order to etch the W film or the like at a high etching rate with high uniformity within the wafer surface and with high accuracy, the substrate temperature is raised and a high carbon film mask is used. It has been found that etching with a selective ratio is extremely effective. In addition, the etching shape changes due to the rise of the substrate temperature. In this case,
The etching gas mixture ratio may be changed as appropriate, for example, C
It has been found that the addition of O gas enables highly accurate etching.

【0100】最後に、図4(h)に示す如く、バレル型
プラズマエッチング装置にて、O2 ガスを用いて、炭素
膜パターン44aをエッチングにより除去した。炭素膜
パターン44aを除去した後、W膜パターン43aをS
EMにて評価したところ、垂直形状で、0.4μm の線
幅のパターンがウエハ面内全域に渡って良好に形成され
ていることが判った。
Finally, as shown in FIG. 4H, the carbon film pattern 44a was removed by etching with O 2 gas in a barrel type plasma etching apparatus. After removing the carbon film pattern 44a, the W film pattern 43a is removed by S
When evaluated by EM, it was found that a vertical pattern having a line width of 0.4 μm was well formed over the entire wafer surface.

【0101】[実施例4]次に、本発明をAl合金膜パ
ターンの形成に適用した第4の実施例について説明す
る。
[Embodiment 4] Next, a fourth embodiment in which the present invention is applied to the formation of an Al alloy film pattern will be described.

【0102】図9は、Al合金膜パターンの形成工程を
示す断面図である。まず、図9(a)に示すように、S
i基板51上にSiO2 膜52を形成し、このSiO2
膜52上に順次Ti膜及びTiN膜(TiN/Ti)5
3、及びAlSiCu薄膜54を堆積した。次に、Al
SiCu薄膜54の表面を酸素ガスを用いたプラズマに
晒すことによりAlSiCu薄膜54表面の改質を行っ
た。次いで、図9(b)に示す如く、薄膜54上に炭素
膜55(膜厚200nm)を形成した。ここで、炭素膜5
5はマグネトロンスパッタリング装置にて堆積した。
FIG. 9 is a sectional view showing a process of forming an Al alloy film pattern. First, as shown in FIG.
The SiO 2 film 52 is formed on the i-substrate 51, the SiO 2
A Ti film and a TiN film (TiN / Ti) 5 are sequentially formed on the film 52.
3 and AlSiCu thin film 54 were deposited. Next, Al
The surface of the AlCuCu thin film 54 was modified by exposing the surface of the SiCu thin film 54 to plasma using oxygen gas. Then, as shown in FIG. 9B, a carbon film 55 (film thickness 200 nm) was formed on the thin film 54. Here, carbon film 5
No. 5 was deposited by a magnetron sputtering device.

【0103】次に、図9(c)に示すように炭素膜55
上にフォトレジスト56(膜厚1.6μm )を塗布し、
通常のリソグラフィ技術を用いて、露光及び現像し、レ
ジストパターン56を形成した。この図9(c)に示す
工程では、現像液としてアルカリ性有機溶剤を用いた
が、下地に炭素膜55が成膜してある為、AlSiCu
膜54の溶出などの問題は生じなかった。
Next, as shown in FIG. 9C, the carbon film 55 is formed.
Apply photoresist 56 (film thickness: 1.6 μm) on top,
The resist pattern 56 was formed by exposure and development using a normal lithography technique. In the step shown in FIG. 9C, an alkaline organic solvent is used as the developing solution, but since the carbon film 55 is formed on the base, AlSiCu is used.
No problem such as elution of the film 54 occurred.

【0104】その後、レジストパターン56をマスクと
して用いて反応性イオンエッチングにて炭素膜54のパ
ターニングを実施した。用いたドライエッチング装置
は、前述したマグネトロンを載置した反応性イオンエッ
チング装置である。エッチング条件は、H2 ガス流量1
00sccm、圧力1.5pa、高周波電力1.7W/cm2
基板温度25℃であった。その結果、炭素膜パターン5
5aが形成された。次いで、CF4 /O2 ガスを用いた
ダウンフローアッシング装置にて、炭素膜55上のレジ
ストパターン56のみの除去を行った。これにより、図
9(d)に示す如く、レジストパターン56は全てエッ
チング除去され、炭素膜55からなるエッチングマスク
パターンが形成された。
After that, the carbon film 54 was patterned by reactive ion etching using the resist pattern 56 as a mask. The dry etching device used is the reactive ion etching device on which the magnetron described above is mounted. The etching condition is H 2 gas flow rate 1
00sccm, pressure 1.5pa, high frequency power 1.7W / cm 2 ,
The substrate temperature was 25 ° C. As a result, the carbon film pattern 5
5a was formed. Then, only the resist pattern 56 on the carbon film 55 was removed by a downflow ashing device using CF 4 / O 2 gas. As a result, as shown in FIG. 9D, the resist pattern 56 was entirely removed by etching, and an etching mask pattern made of the carbon film 55 was formed.

【0105】次いで、図9(e)に示す如く、炭素膜5
5aをエッチングマスクとしてAlSiCu膜54及び
TiN/Ti膜53のエッチングを行った。このエッチ
ングには、前述のマグネトロン載置のドライエッチング
装置を用いて行った。エッチング条件は、基板温度を2
5℃に保持し、エッチングガスとしてCl2 とBCl3
の混合ガスを用い、エッチング圧力は2.0Pa、高周
波電力は0.8W/cm2 であった。
Next, as shown in FIG. 9E, the carbon film 5
The AlSiCu film 54 and the TiN / Ti film 53 were etched using 5a as an etching mask. This etching was performed using the above-mentioned magnetron-mounted dry etching apparatus. The etching condition is that the substrate temperature is 2
Hold at 5 ° C and use Cl 2 and BCl 3 as etching gases.
Was used, the etching pressure was 2.0 Pa, and the high frequency power was 0.8 W / cm 2 .

【0106】このとき、AlSiCu膜54のエッチン
グ速度は約350nm/min 、TiN/Ti膜53のエッ
チング速度は約150nm/min 、炭素膜のエッチング速
度は20nm/min であり、AlSiCuと炭素膜の選択
比は約13であった。この条件下でウエハ上に発生する
残渣量を観察したところ、残渣は全くみられなかった。
また、エッチングされたAlSiCu膜54の形状をS
EMにて観察したところ、ほぼ垂直の側壁形状のパター
ンが得られた。エッチング後、上記試料をN2 雰囲気中
で200℃に基板を加熱し、2分間の後処理を行い、上
記試料を大気中に放置し、腐食の様子を光学顕微鏡によ
り評価したところ、1週間放置してもコロージョンの発
生は全く認められなかった。そこで、加湿放置によるコ
ロージョンの評価を行ったところ、6時間の加湿放置に
よりコロージョンの発生が観測された。
At this time, the etching rate of the AlSiCu film 54 is about 350 nm / min, the etching rate of the TiN / Ti film 53 is about 150 nm / min, the etching rate of the carbon film is 20 nm / min, and AlSiCu and the carbon film are selected. The ratio was about 13. When the amount of residue generated on the wafer was observed under these conditions, no residue was found at all.
In addition, the shape of the etched AlSiCu film 54 is S
When observed by EM, an almost vertical sidewall-shaped pattern was obtained. After etching, the substrate was heated to 200 ° C. in an N 2 atmosphere and post-treated for 2 minutes, and the sample was left in the air. The state of corrosion was evaluated by an optical microscope. However, no occurrence of corrosion was observed. Therefore, when the corrosion was evaluated by leaving it moisturized, the occurrence of corrosion was observed after 6 hours of humidification.

【0107】そこで、エッチング後350℃に基板を加
熱し、2分間の後処理を行ったところ、6時間の加湿放
置でも、コロージョンの発生は観測されなかった。
Therefore, when the substrate was heated to 350 ° C. after etching and post-treated for 2 minutes, no occurrence of corrosion was observed even after being left for 6 hours in humidification.

【0108】この要因を調べる為に、TDS法(The
rmal DesorptionSpectra)を用
い、即ち、基板温度を加熱しながら、基板からの脱ガス
を質量分析法により調べた。その結果、図10に示すよ
うに、基板温度の上昇とともにエッチングガス成分であ
るClや、エッチング生成物であるAlClが放出され
ることが判明した。従って、加湿放置によるコロージョ
ンの発生は、エッチング後に残留するClやAlClに
起因するものと推測される。このように、TDS法によ
って450℃まで昇温加熱することにより、Cl及びA
lClが完全に脱離することが判明した。これまで、レ
ジスト膜マスクを用いた場合には、レジストが200℃
近傍から熱変形し、基板温度200℃以上では、レジス
トからの分解物がAlSiCu膜パターンに付着する
為、多量のコロージョンが発生したものである。
In order to investigate this factor, the TDS method (The The
Using rmal Desorption Spectra), that is, while heating the substrate temperature, degassing from the substrate was investigated by mass spectrometry. As a result, as shown in FIG. 10, it was found that Cl as an etching gas component and AlCl as an etching product are released as the substrate temperature rises. Therefore, it is assumed that the generation of corrosion due to humidification is caused by Cl and AlCl remaining after etching. In this way, by heating up to 450 ° C. by the TDS method, Cl and A
It was found that lCl was completely eliminated. Until now, when a resist film mask was used, the resist was 200 ° C.
At a substrate temperature of 200 ° C. or higher, thermal decomposition occurs from the vicinity, and a large amount of corrosion occurs because the decomposition product from the resist adheres to the AlSiCu film pattern.

【0109】しかし、このように、耐熱性を有し、脱ガ
スが極めて少ない炭素膜マスクを用いることにより、炭
素膜パターンを除去する前に基板温度を500℃程度ま
で加熱上昇させることが可能である。実際、基板温度を
1100℃まで上昇させても炭素膜マスク55からの脱
ガスは全く観測されず、熱変形によるパターンの劣化も
観察されなかった。しかし、450℃を越える温度で加
熱処理するとAlSiCu膜54が熱変形する為、加熱
処理温度は450℃以下が望ましいことが判った。
However, by using a carbon film mask having heat resistance and extremely little degassing, it is possible to raise the substrate temperature to about 500 ° C. before removing the carbon film pattern. is there. In fact, even when the substrate temperature was raised to 1100 ° C., no degassing from the carbon film mask 55 was observed and no pattern deterioration due to thermal deformation was observed. However, it has been found that the heat treatment temperature is preferably 450 ° C. or lower because the AlSiCu film 54 is thermally deformed when the heat treatment is performed at a temperature higher than 450 ° C.

【0110】[実施例5]次に、本発明の第5の実施例
として、半導体装置における上層金属配線と下層金属配
線との接続部(VIAコンタクト)を形成する工程にお
いて、炭素膜をエッチングマスクとして適用した例につ
いて、図11を参照して説明する。
[Embodiment 5] Next, as a fifth embodiment of the present invention, in the step of forming a connecting portion (VIA contact) between an upper metal wiring and a lower metal wiring in a semiconductor device, the carbon film is used as an etching mask. An example applied as will be described with reference to FIG.

【0111】まず、図11(a)に示すように、素子が
形成された半導体基板61上に堆積された第1の層間絶
縁膜62上に、第1金属配線層63として、例えばAl
合金配線膜(Si:1wt%,Cu:0.5wt%)を
800nm程度の膜厚にスパッタ法により堆積した。次
いで、第2の層間絶縁膜として、低温プラズマCVD
(気相成長)装置でTEOS(テトラエトキシシラン)
等を用いて、SiO2 膜64を堆積した。
First, as shown in FIG. 11A, as the first metal wiring layer 63, for example, Al is formed on the first interlayer insulating film 62 deposited on the semiconductor substrate 61 on which the element is formed.
An alloy wiring film (Si: 1 wt%, Cu: 0.5 wt%) was deposited by sputtering to a film thickness of about 800 nm. Then, as a second interlayer insulating film, low temperature plasma CVD
TEOS (Tetraethoxysilane) with (vapor phase growth) equipment
A SiO 2 film 64 was deposited using the above.

【0112】続いて、実施例1〜4で詳述した如く、S
iO2 膜64上にスパッタ法により炭素膜60を200
nmの厚さに堆積した。この炭素膜上60上にフォトレ
ジスト65を堆積し、通常のフォトリソグラフィ工程に
より、第2の層間絶縁膜64の接続孔形成予定部上の部
分のみフォトレジスト65を除去した。
Then, as described in detail in Examples 1 to 4, S
A carbon film 60 is formed on the iO 2 film 64 by sputtering.
deposited to a thickness of nm. A photoresist 65 was deposited on the carbon film 60, and the photoresist 65 was removed only by the ordinary photolithography process on the portion of the second interlayer insulating film 64 on the portion where the connection hole was to be formed.

【0113】次いで、図11(b)に示す如く、フォト
レジスト65をマスクとして、実施例2で詳述した如
く、H2 ガスを用いたドライエッチング技術により炭素
膜60を異方性エッチングし、炭素膜パターン60aを
形成した。次いで、図11(c)に示す如く、実施例2
と同様、CF4 /O2 ガスを用いたダウンフロー型アッ
シングにより、レジスト膜65をエッチング除去した。
Next, as shown in FIG. 11B, the carbon film 60 is anisotropically etched by the dry etching technique using H 2 gas as described in detail in Example 2 using the photoresist 65 as a mask. The carbon film pattern 60a was formed. Then, as shown in FIG.
Similarly to the above, the resist film 65 was removed by etching by downflow ashing using CF 4 / O 2 gas.

【0114】次いで、図11(d)に示す如く、実施例
1〜4で用いたドライエッチング装置を用い、反応性イ
オンエッチング法により前述のSiO2 膜64を第1の
金属配線63が露出するまで異方性エッチングし、接続
孔66を形成した。このときのエッチングは、エッチン
グガスとしてCHF3 ガスを用い、パワー1.4W/c
2 、圧力40mTorr 、ガス流量20SCCM、基板温度1
50℃で行なった。SiO2 膜のエッチング速度を測定
したところ、エッチング速度は200nm/minであ
った。このときの形状をSEMにて観察したところ、接
続孔の側壁の形状はほぼ垂直であった。しかし、エッチ
ング時の基板温度を100℃以下に下げることによっ
て、SiO2 膜64の接続孔の側壁はテーパ状にするこ
とが可能であった。
Then, as shown in FIG. 11D, the first metal wiring 63 is exposed on the SiO 2 film 64 by the reactive ion etching method using the dry etching apparatus used in the first to fourth embodiments. Is anisotropically etched to form the connection hole 66. In this etching, CHF 3 gas was used as an etching gas, and the power was 1.4 W / c.
m 2 , pressure 40 mTorr, gas flow 20 SCCM, substrate temperature 1
Performed at 50 ° C. When the etching rate of the SiO 2 film was measured, the etching rate was 200 nm / min. When the shape at this time was observed by SEM, the shape of the side wall of the connection hole was almost vertical. However, by lowering the substrate temperature during etching to 100 ° C. or lower, the side wall of the connection hole of the SiO 2 film 64 could be tapered.

【0115】接続孔の側壁形状が垂直の場合において
は、側壁側面にわずかの堆積物68が形成されるが、エ
ッチング時の基板温度を下げ、テーパ形状にした場合、
堆積物68は全く観察されなかった。
When the side wall shape of the connection hole is vertical, a slight amount of deposit 68 is formed on the side surface of the side wall, but when the substrate temperature during etching is lowered and the side wall shape is tapered,
No deposit 68 was observed.

【0116】次いで、実施例2で述べたように、O2
スプラズマエッチングにより炭素膜60を除去した。次
いで、図11(e)に示すように、スパッタリング法に
より、全面に第2金属配線層67(例えばAlSiCu
膜)を堆積し、これをパターニングして第2の金属配線
を形成した。
Then, as described in Example 2, the carbon film 60 was removed by O 2 gas plasma etching. Then, as shown in FIG. 11E, the second metal wiring layer 67 (for example, AlSiCu) is formed on the entire surface by a sputtering method.
A film) was deposited and patterned to form a second metal wiring.

【0117】ここで、比較のために、従来の製造方法で
あるレジストをエッチングマスクとして用いてVIAコ
ンタクトを形成した。
For comparison, a VIA contact was formed by using a resist as a conventional manufacturing method as an etching mask.

【0118】まず、図12(a)に示す如く、素子が形
成された半導体基板71上に堆積された第1の層間絶縁
膜72上に、Al合金配線としてAlSiCu膜73
(Si:1wt%,Cu:0.5wt%)を800nm
程度スパッタ法により堆積し、さらに第2の層間絶縁膜
として、低温プラズマCVD法によりSiO2 膜74を
堆積した。これまでの工程は図11で示したものと全く
同一である。
First, as shown in FIG. 12A, an AlSiCu film 73 as an Al alloy wiring is formed on the first interlayer insulating film 72 deposited on the semiconductor substrate 71 on which elements are formed.
(Si: 1 wt%, Cu: 0.5 wt%) 800 nm
Then, a SiO 2 film 74 was deposited by a low temperature plasma CVD method as a second interlayer insulating film. The steps so far are exactly the same as those shown in FIG.

【0119】次いで、SiO2 膜74上にフォトレジス
ト(1.6μm厚)75を堆積し、通常のフォトリソグ
ラフィ工程により第2の層間絶縁膜74の接続孔形成予
定部上の部分のレジスト75のみをを除去した。次い
で、図12(b)に示す如く、レジスト75をマスクと
して、前述したのと同一条件にてSiO2 膜74を第1
の金属配線73が露出するまでCHF3 ガスを用いて異
方性エッチングし、接続孔76を形成した。
Next, a photoresist (1.6 μm thick) 75 is deposited on the SiO 2 film 74, and only the resist 75 on the portion over the connection hole formation planned portion of the second interlayer insulating film 74 is formed by a normal photolithography process. Was removed. Then, as shown in FIG. 12B, the resist 75 is used as a mask to form the first SiO 2 film 74 under the same conditions as described above.
Was anisotropically etched with CHF 3 gas until the metal wiring 73 was exposed to form a connection hole 76.

【0120】このとき、基板温度を130℃とした場
合、SiO2 膜74の接続孔の側壁は、若干テーパ状で
あった。しかし、通常のO2 プラズマアッシングにより
レジスト75の除去を行ったところ、図12(b)に示
す如くSiO2 膜74の接続孔の側壁にフェンスと呼ば
れる堆積物78が、図11で示し炭素膜60を用いたプ
ロセスと比較して、より多量に形成されていることが判
明した。しかし、エッチング時の基板温度を下げ、Si
2 膜74の接続孔の側壁をテーパ形状にした場合、堆
積物78は全く観察されなかった。
At this time, when the substrate temperature was set to 130 ° C., the side wall of the connection hole of the SiO 2 film 74 was slightly tapered. However, when the resist 75 was removed by normal O 2 plasma ashing, as shown in FIG. 12B, a deposit 78 called a fence was formed on the side wall of the connection hole of the SiO 2 film 74, as shown in FIG. It was found that a larger amount was formed as compared with the process using 60. However, lowering the substrate temperature during etching
When the side wall of the connection hole of the O 2 film 74 was tapered, no deposit 78 was observed.

【0121】次いで、図12(c)に示すようにスパッ
タリング法により全面に第2の金属配線層であるAlS
iCu膜77を堆積し、これをパターニングして第2の
金属77を形成した。
Next, as shown in FIG. 12C, a second metal wiring layer of AlS is formed on the entire surface by a sputtering method.
The iCu film 77 was deposited and patterned to form the second metal 77.

【0122】次に、以上説明した、炭素膜をマスクとし
て用いたプロセス(図11)とレジストをマスクとして
用いたプロセス(図12)により形成したVIAコンタ
クトの腐食特性を評価した。評価は実施例4において記
述したように、大気に長時間放置し、チップ内で生じた
腐食量を顕微鏡観察により調べることにより行った。そ
の結果、レジストをマスクとして用いたプロセスでは多
量の腐食(コロージョン)の発生が観察された。一方、
カーボンをマスクとして用いたプロセスでは、1週間放
置してもコロージョンは全く認められなかった。
Next, the corrosion characteristics of the VIA contact formed by the above-described process using the carbon film as a mask (FIG. 11) and the process using the resist as a mask (FIG. 12) were evaluated. The evaluation was performed by leaving it in the air for a long time and examining the amount of corrosion generated in the chip by observing it with a microscope, as described in Example 4. As a result, a large amount of corrosion was observed in the process using the resist as a mask. on the other hand,
In the process using carbon as a mask, no corrosion was observed even if left for one week.

【0123】この要因を調べるために、VIAコンタク
ト開孔後のウェハを純水に浸し、イオンクロマトグラフ
ィ法による分析を行ったところ、図13に示すように不
純物として、Cl及びFが検出された。特に、炭素膜マ
スクに比べレジストをマスクとして用いたものはCl、
Fの量が高いことが判明した。
To investigate this factor, the wafer after the VIA contact opening was dipped in pure water and analyzed by ion chromatography. As a result, Cl and F were detected as impurities as shown in FIG. In particular, Cl using a resist as a mask compared to a carbon film mask,
It was found that the amount of F was high.

【0124】即ち、コロージョンの要因としては、接続
孔開口終了後に大気中に放置しておくと、第1金属配線
層73とその表面に存在するCl、Fを含む不純物と空
気中の水分によりHCl、HFが形成される。水分中に
HCl、HFが含まれると水は電解質となり、次のよう
な反応が容易に生じる。
That is, as a cause of corrosion, if the first metal wiring layer 73 is left in the atmosphere after the opening of the connection hole and left in the atmosphere, impurities such as Cl and F existing on the surface of the first metal wiring layer 73 and moisture in the air cause HCl. , HF are formed. When HCl and HF are contained in water, water becomes an electrolyte and the following reaction easily occurs.

【0125】 Al+3Cl- →AlCl3 +3e- 2AlCl3 +6H2 O→2Al(OH)3 +6H+ +6Cl- この反応が一度始まると、生成されるClによって、第
1金属配線層73を構成するAlSiCuの腐食が促進
されると考えられる。
[0125] Al + 3Cl - → AlCl 3 + 3e - 2AlCl 3 + 6H 2 O → 2Al (OH) 3 + 6H + + 6Cl - When this reaction once starts, by Cl produced, corrosion AlSiCu constituting the first metal interconnection layer 73 Is considered to be promoted.

【0126】このように、図11(d)、図12(b)
に示すように、異方性エッチングによる接続開口時に、
フォトレジスト75中に含まれる不純物がプラズマ中に
放出され、VIA開孔部側壁に付着する。一方、炭素膜
は高純度であるため、不純物の付着等は生じない。
Thus, FIG. 11 (d) and FIG. 12 (b)
As shown in, at the time of connection opening by anisotropic etching,
Impurities contained in the photoresist 75 are released into the plasma and adhere to the side walls of the VIA opening. On the other hand, since the carbon film has a high purity, adhesion of impurities does not occur.

【0127】また、エッチングが下層の第1金属配線7
3の表面まで達すると、第1金属配線中に含まれる金属
や、マスク材料(75或いは60)及びSiO2 膜74
に含まれる原子がスパッタされ、これらの物質は接続孔
76の側面や接続孔76の底面に付着する。これらの付
着物はO2 アッシングにより除去できないために、アッ
シング後、例えば接続孔の側面での堆積物(フェンス)
78が生じる。これは、後工程において上層の第2の金
属配線層77のスパッタ時にオーバーハング形状を生ぜ
しめ、これにより配線の段切れ等の問題が生じる。
In addition, the etching is performed on the lower first metal wiring 7
3 reaches the surface of No. 3, the metal contained in the first metal wiring, the mask material (75 or 60) and the SiO 2 film 74.
Atoms contained in are sputtered, and these substances adhere to the side surface of the connection hole 76 and the bottom surface of the connection hole 76. Since these deposits cannot be removed by O 2 ashing, after ashing, for example, deposits (fences) on the side surface of the connection hole.
78 results. This causes an overhang shape when the upper second metal wiring layer 77 is sputtered in a later step, which causes a problem such as disconnection of wiring.

【0128】これに対し、エッチングマスクとして炭素
膜を使用した場合、レジストをマスクとして用いた場合
に比べ、プラズマエッチング中の分解物が少ないため
に、VIA側壁での堆積物を減少させることが可能であ
る。従って、上記した配線の段切れという問題は生じな
い。また、レジストをマスクとして用いた場合、レジス
トからはCl、F等の不純物が生じるために、レジスト
除去後、空気中の水分との反応により容易にCl- 、F
- イオンが形成され、コロージョンが多量に発生する。
これに対し、炭素膜マスクでは、予め、レジストが除去
されているために、VIA孔内での不純物の量は非常に
少なくなる。従ってコロージョンは殆ど生じない。
On the other hand, when the carbon film is used as the etching mask, compared with the case where the resist is used as the mask, the amount of decomposition products during the plasma etching is small, so that the deposits on the VIA side wall can be reduced. Is. Therefore, the problem of disconnection of the wiring described above does not occur. In the case of using the resist as a mask, Cl from resist, to impurities such as F occurs, after resist removal, easily by reaction with moisture in the air Cl -, F
- ions are formed, corrosion is a large amount of generated.
On the other hand, in the carbon film mask, the amount of impurities in the VIA hole is extremely small because the resist is removed in advance. Therefore, corrosion hardly occurs.

【0129】そこで、加湿放置によるコロージョン評価
を行ったところ、実施例4と同様、6時間の加湿放置に
よりコロージョンの発生が観測された。そこで、コロー
ジョンの発生を抑制する為に種々の後処理を検討した。
Then, when the corrosion evaluation was carried out by leaving it in a humidified state, the occurrence of corrosion was observed by the standing in a humidified state for 6 hours as in Example 4. Therefore, various post-treatments were examined in order to suppress the occurrence of corrosion.

【0130】即ち、コンタクト孔の形成後、基板温度を
250℃以上に加熱し、Si2 6 あるいはCOガスに
晒したところ、SiO2 表面あるいは、AlSiCu表
面に残留したFあるいはS等は、SiH4 の分解により
生じたH,SiHx あるいはSi、あるいはCOと直接
反応し、SiHx F,COF,HF,HS,COSが形
成されることが質量分析測定の結果明らかになった。従
って、エッチング後に生じるSiO2 あるいはAlSi
Cu表面の残留物は、上記基板加熱処理と適当な反応性
ガスの選択による後処理により、除去可能となった。
That is, after forming the contact hole, the substrate temperature was heated to 250 ° C. or higher and exposed to Si 2 H 6 or CO gas. When F or S remaining on the SiO 2 surface or AlSiCu surface was changed to SiH As a result of mass spectrometric measurement, it was revealed that SiH x F, COF, HF, HS, and COS are formed by reacting directly with H, SiH x, Si, or CO generated by decomposition of 4 . Therefore, SiO 2 or AlSi formed after etching
The residue on the Cu surface can be removed by the above-mentioned substrate heating treatment and post-treatment by selecting an appropriate reactive gas.

【0131】このような処理をした後、加湿評価による
コロージョンを評価したところ、コロージョンの発生
は、観測されなかった。また、このプロセスにおいて
は、基板を250℃以上に加熱する必要性が有り、レジ
ストマスクを用いた場合には、レジストからの脱ガス成
分が、SiO2 あるいはAlSiCu表面に付着する
為、完全な除去は不可能であった。従って、耐熱性を有
し、脱ガスが極めて少ない炭素膜マスクを用いることに
より、プロセスの実施が可能であることが判った。ま
た、実施例4に示す如く、基板温度450℃を越える
と、下地のAlSiCu膜が熱変形する為、後処理温度
は250℃以上450℃以下で行うことが適当であるこ
とが判った。
After the above treatment, the corrosion was evaluated by the humidification evaluation, and no occurrence of corrosion was observed. In addition, in this process, it is necessary to heat the substrate to 250 ° C. or higher, and when a resist mask is used, degassing components from the resist adhere to the SiO 2 or AlSiCu surface, so that complete removal is possible. Was impossible. Therefore, it was found that the process can be carried out by using a carbon film mask that has heat resistance and extremely less outgassing. Further, as shown in Example 4, when the substrate temperature exceeds 450 ° C., the underlying AlSiCu film is thermally deformed, so it has been found that the post-treatment temperature is preferably 250 ° C. or higher and 450 ° C. or lower.

【0132】最後に、図11(e)に示す如く、通常の
2 プラズマアッシングにより炭素膜60を除去し、得
られた配線構造の電気特性を評価したところ、良好な特
性が得られ、高信頼性を有したデバイスの作成が可能で
あった。
Finally, as shown in FIG. 11E, the carbon film 60 was removed by ordinary O 2 plasma ashing, and the electrical characteristics of the obtained wiring structure were evaluated. It was possible to create a reliable device.

【0133】〈実施例6〉次に、本発明の第6の実施例
として、半導体装置における金属配線のコンタクト孔を
開孔する工程において、炭素膜をエッチングマスクとし
て適用した例について、図14を用いて説明する。
<Sixth Embodiment> Next, as a sixth embodiment of the present invention, FIG. 14 shows an example in which a carbon film is applied as an etching mask in the step of opening a contact hole of a metal wiring in a semiconductor device. It will be explained using.

【0134】まず、図14(a)に示すように、面方位
(100)のSi基板81上に不純物を導入して拡散層
82を形成する。次いで、図14(b)に示すように、
CVD法によりSiO2 膜を300nm程度の膜厚に堆
積した後、このいSiO2 膜上にBPSG(ボロン,リ
ン硅化ガラス)を600nm程度の膜厚に堆積し、低温
リフロー工程を経て表面を平坦化し、層間絶縁膜83を
形成する。
First, as shown in FIG. 14A, an impurity is introduced onto a Si substrate 81 having a plane orientation (100) to form a diffusion layer 82. Then, as shown in FIG.
After depositing a SiO 2 film with a thickness of about 300 nm by the CVD method, BPSG (boron, phosphide silicate glass) with a thickness of about 600 nm is deposited on this SiO 2 film, and the surface is flattened through a low temperature reflow process. Then, the interlayer insulating film 83 is formed.

【0135】次いで、層間絶縁膜83に以下のようにし
て開孔を形成する。この工程においては、図14(c)
に示したように、層間絶縁膜83上にフォトレジストを
堆積し、通常のフォトリソグラフィ技術により開孔しパ
ターニングしたレジストを層間絶縁膜83のエッチング
マスク84として用い、実施例5で示したものと同一の
ドライエッチング技術により層間絶縁膜83を開孔した
ものと、実施例5で示したように、図11(a)〜
(d)の工程により、炭素膜をマスク84として層間絶
縁膜83を開孔したものを作成した。
Then, an opening is formed in the interlayer insulating film 83 as follows. In this step, FIG.
As shown in FIG. 5, a photoresist is deposited on the interlayer insulating film 83, and the resist patterned and opened by a normal photolithography technique is used as the etching mask 84 of the interlayer insulating film 83. As shown in the fifth embodiment, the interlayer insulating film 83 is opened by the same dry etching technique, as shown in FIGS.
By the process of (d), the interlayer insulating film 83 was opened using the carbon film as a mask 84.

【0136】次いで、レジスト及び炭素膜等のマスク8
4を除去した後、開孔部に拡散層82が露出したコンタ
クト孔86を埋め込むように、配線用の金属膜であるA
lSiCu薄膜85をスパッタ法により全面に堆積し
た。
Next, a mask 8 such as a resist and a carbon film
After removing 4, the metal film A for wiring is formed so as to fill the contact hole 86 in which the diffusion layer 82 is exposed in the opening.
The 1SiCu thin film 85 was deposited on the entire surface by the sputtering method.

【0137】このようにして形成したコンタクト孔の特
性を評価したところ、レジストをマスクとしてコンタク
トを開孔したものは、コンタクト抵抗の増大,接合破
壊,コンタクト抵抗のばらつき,さらにAlSiCu配
線の腐食が生じた。腐食に関しては、図13に示したよ
うにコンタクト部で放置時間とともに腐食量の増加が観
察された。これに対し、炭素膜をマスクとして用いたも
のは、接触抵抗の増大等の劣化及び腐食の発生はみられ
なかった。
When the characteristics of the contact hole thus formed were evaluated, when the contact was opened using the resist as a mask, the contact resistance increased, the junction was broken, the contact resistance was varied, and the AlSiCu wiring was corroded. It was Regarding corrosion, as shown in FIG. 13, an increase in the amount of corrosion was observed at the contact portion with the standing time. On the other hand, in the case of using the carbon film as a mask, deterioration such as increase in contact resistance and occurrence of corrosion were not observed.

【0138】これは、レジストマスクを用いた場合、反
応性イオンエッチング中に生じるレジストからの分解物
中のS、F、Cl等の不純物がコンタクト孔中に付着
し、電気的特性の劣化を引き起こすためと考えられる。
一方、炭素膜を用いた場合はエッチング選択比が高いた
め、エッチング中における炭素膜の劣化が少ない。さら
に、コンタクト孔中に付着した場合、マスクからの付着
物は炭素であるため、腐食等の発生がなく、電気的特性
を引き起こすことはないと考えられる。
This is because when a resist mask is used, impurities such as S, F, and Cl in the decomposed product from the resist generated during the reactive ion etching adhere to the contact holes, causing deterioration of the electrical characteristics. It is thought to be because.
On the other hand, when the carbon film is used, since the etching selectivity is high, the carbon film is less deteriorated during the etching. Further, when the metal adheres to the contact hole, since the adhered material from the mask is carbon, it is considered that there is no occurrence of corrosion or the like and no electrical characteristics are caused.

【0139】また、エッチングガスとして、前述の如く
CHF3 等の炭素含有したハロゲンガスを用いた場合
は、ガス自体中にも炭素が含まれており、マスク材料か
らの炭素が特に電気的特性の劣化を生じさせることはな
い。
Further, when the carbon-containing halogen gas such as CHF 3 is used as the etching gas as described above, the gas itself contains carbon, and the carbon from the mask material has particularly high electrical characteristics. It does not cause deterioration.

【0140】この場合も実施例5と同様にして、加湿放
置によるコロージョンの加速試験を行ったところ、炭素
膜マスクを用いた場合においても、コロージョンの発生
が観測された。そこで、実施例5と同様にして、基板温
度を250℃以上に加熱し、Si2 6 ,CO,B2
6 等のガスに晒したところ、SiあるいはSiO2 表面
の残留物を除去することが可能であった。また、本プロ
セスにおいては、基板温度を約1000℃まで上昇させ
ても、SiあるいはSiO2 膜の熱変形等は生じなかっ
た。しかし、Si基板中に形成された拡散層等における
不純物分布は、800℃で変化する。従って、信頼性を
有するデバイスを得るためには、基板の加熱温度は80
0℃以下であるのが望ましい。
In this case as well, when an accelerated corrosion test by leaving it in a humidified state was conducted in the same manner as in Example 5, the occurrence of corrosion was observed even when the carbon film mask was used. Therefore, in the same manner as in Example 5, the substrate temperature is heated to 250 ° C. or higher, and Si 2 H 6 , CO, B 2 H is added.
When exposed to a gas such as 6, it was possible to remove the residue on the Si or SiO 2 surface. Further, in this process, even if the substrate temperature was raised to about 1000 ° C., thermal deformation of the Si or SiO 2 film did not occur. However, the impurity distribution in the diffusion layer and the like formed in the Si substrate changes at 800 ° C. Therefore, in order to obtain a reliable device, the heating temperature of the substrate is 80
It is preferably 0 ° C. or lower.

【0141】このような後処理を行ったコンタクト孔の
特性を評価したところ、加湿放置によるコロージョンの
加速試験においても、コロージョンの発生は全く観測さ
れなかった。また、接触抵抗の増大等電気特性の劣化は
全くみられず、高信頼性を有したデバイスの作成が可能
であった。
When the characteristics of the contact hole subjected to such post-treatment were evaluated, no corrosion was observed even in the accelerated corrosion test by leaving it in a humid condition. In addition, no deterioration in electrical characteristics such as increase in contact resistance was observed, and it was possible to manufacture a device with high reliability.

【0142】尚、以上の実施例1〜6において、エッチ
ング装置として、平行平板を有したマグネトロン型の反
応性イオンエッチング装置を用いているが、マイクロ波
を印加したECR、放電を用いた反応性イオンエッチン
グ装置、又は、マイクロ波若しくは電子線を印加するこ
とによって生成された放電プラズマ下で、被エッチング
基体に電圧を印加した反応性イオンエッチング装置ある
いは通常の平行平板型のエッチング装置を用いてもよ
い。
In the above Examples 1 to 6, a magnetron type reactive ion etching apparatus having a parallel plate was used as the etching apparatus. However, the ECR applying microwave and the reactivity using the discharge were used. An ion etching apparatus, a reactive ion etching apparatus in which a voltage is applied to a substrate to be etched under a discharge plasma generated by applying a microwave or an electron beam, or an ordinary parallel plate type etching apparatus is also used. Good.

【0143】また、実施例4では、タングステン膜のエ
ッチングについて述べたが、ニッケル、チタン、タンタ
ル、タンタル酸化物、チタン酸ストロンチウム、アルミ
酸化物あるいはアルミ窒化物等、高融点金属、高融点金
属硅化物、金属酸化物、金属窒化物等のエッチングマス
クとして炭素膜を用い、基板温度を上昇させてこれらの
材料をエッチングすることも可能である。
Although the etching of the tungsten film is described in the fourth embodiment, nickel, titanium, tantalum, tantalum oxide, strontium titanate, aluminum oxide, aluminum nitride, etc., refractory metal, refractory metal silicidation, etc. It is also possible to use a carbon film as an etching mask for an object, a metal oxide, a metal nitride, etc., and increase the substrate temperature to etch these materials.

【0144】又、その他、本発明の要旨を逸脱しない範
囲で種々変形して実施することが可能である。
In addition, various modifications can be made without departing from the scope of the present invention.

【0145】[0145]

【発明の効果】以上説明したように、本発明の方法によ
れば、炭素膜をドライエッチング時のマスクパターンと
して用いているため、ドライエッチング時に、被処理基
体の温度を上昇させ、高温にてエッチングすることが可
能である。更に、エッチング後、マスクパターンを除去
する前に、被処理基体を高温で熱処理しているため、シ
リコン酸化物等は、垂直形状の側壁の加工が可能であ
り、しかも、下地Si膜との大きな選択比を得ることが
可能となる。更にまた、銅等のエッチング生成物の蒸気
圧が非常に低い材料においても、側壁が垂直形状で残渣
のないパターンの形成が可能である。
As described above, according to the method of the present invention, since the carbon film is used as the mask pattern in the dry etching, the temperature of the substrate to be processed is raised at the high temperature during the dry etching. It is possible to etch. Further, since the substrate to be processed is heat-treated at a high temperature after the etching and before the mask pattern is removed, it is possible to process the vertical sidewalls of silicon oxide and the like, and moreover, it is possible to process the base Si film with a large size. It becomes possible to obtain the selection ratio. Furthermore, even in a material such as copper having a very low vapor pressure of etching products, it is possible to form a pattern in which the side walls are vertical and there is no residue.

【0146】また、タングステン、ニッケル、チタン、
タンタル、タンタル酸化物、チタン酸スロトンチウム、
アルミ酸化物、あるいはアルミ窒化物等の材料において
も、大きなエッチング速度で、高精度に、面内均一性良
くエッチングすることが可能である。
Also, tungsten, nickel, titanium,
Tantalum, tantalum oxide, strontium titanate,
Even materials such as aluminum oxide or aluminum nitride can be etched at a high etching rate with high accuracy and in-plane uniformity.

【0147】更に、ハロゲンガスを用いたエッチング
後、マスクパターンを剥離する前に高温の熱処理を行な
っているため、腐食あるいは、接触抵抗の増大等の電気
的特性の劣化は生じることがなく、高信頼性を有したデ
バイスの作成が可能となる。
Further, since the high temperature heat treatment is performed after the etching using the halogen gas and before the mask pattern is peeled off, corrosion or deterioration of the electrical characteristics such as increase of contact resistance does not occur, and high temperature It is possible to create a highly reliable device.

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明の第1の実施例に係わるパターン形成
工程を示す断面図。
FIG. 1 is a sectional view showing a pattern forming step according to a first embodiment of the present invention.

【図2】 第1の実施例に用いたエッチング装置の概略
構成を示す図。
FIG. 2 is a diagram showing a schematic configuration of an etching apparatus used in the first embodiment.

【図3】 基板温度とパターン側壁のテーパ角度、エッ
チング速度及び選択比との関係を示す特性図。
FIG. 3 is a characteristic diagram showing a relationship between a substrate temperature, a taper angle of a pattern side wall, an etching rate, and a selection ratio.

【図4】 本発明の第2の実施例に係るパターン形成工
程を示す断面図。
FIG. 4 is a sectional view showing a pattern forming step according to a second embodiment of the present invention.

【図5】 基板温度とエッチチング速度との関係を示す
特性図。
FIG. 5 is a characteristic diagram showing the relationship between substrate temperature and etching rate.

【図6】 ガス流量とエッチチング速度との関係を示す
特性図。
FIG. 6 is a characteristic diagram showing a relationship between a gas flow rate and an etching rate.

【図7】 ガスの組成によるパターン側壁の形状の変化
を示す断面図。
FIG. 7 is a cross-sectional view showing a change in the shape of the pattern side wall depending on the gas composition.

【図8】 基板温度とエッチチング速度との関係を示す
特性図。
FIG. 8 is a characteristic diagram showing the relationship between substrate temperature and etching rate.

【図9】 本発明の第4の実施例に係るパターン形成工
程を示す断面図。
FIG. 9 is a sectional view showing a pattern forming step according to the fourth embodiment of the present invention.

【図10】 基板温度と脱ガス成分の関係を示す特性
図。
FIG. 10 is a characteristic diagram showing a relationship between a substrate temperature and a degassing component.

【図11】 本発明の第4の実施例に係るパターン形成
工程を示す断面図。
FIG. 11 is a sectional view showing a pattern forming step according to the fourth embodiment of the present invention.

【図12】 本発明の第4の実施例に係るパターン形成
工程を示す断面図。
FIG. 12 is a sectional view showing a pattern forming step according to the fourth embodiment of the present invention.

【図13】 炭素マスクを用いた場合とレジストマスク
を用いた場合の不純物量を比較して示す特性図。
FIG. 13 is a characteristic diagram showing the amounts of impurities in a case of using a carbon mask and a case of using a resist mask.

【図14】 本発明の第6の実施例に係るパターン形成
工程を示す断面図。
FIG. 14 is a sectional view showing a pattern forming step according to the sixth embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1,41,51,61,71,81…Si基板、2,4
2,52,62,64,72,74,83…SiO
2 膜、3…44,55,60,84…炭素膜、4,4
5,56,65,75…フォトレジスト、43…タング
ステン膜、53…TiN/Ti膜、54…AlSiCu
薄膜。
1, 41, 51, 61, 71, 81 ... Si substrate, 2, 4
2, 52, 62, 64, 72, 74, 83 ... SiO
2 film, 3 ... 44, 55, 60, 84 ... Carbon film, 4, 4
5, 56, 65, 75 ... Photoresist, 43 ... Tungsten film, 53 ... TiN / Ti film, 54 ... AlSiCu
Thin film.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/302 F 7353−4M (72)発明者 岡野 晴雄 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内 (72)発明者 林 久貴 神奈川県川崎市幸区小向東芝町1番地 株 式会社東芝総合研究所内─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 5 Identification number Reference number within the agency FI Technical indication H01L 21/302 F 7353-4M (72) Inventor Haruo Okano Komukai Toshiba Town, Kawasaki City, Kanagawa Prefecture No. 1 in Toshiba Research Institute, Inc. (72) Inventor, Kuki Hayashi No. 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa No. 1 in Toshiba Research Institute, Inc.

Claims (13)

【特許請求の範囲】[Claims] 【請求項1】 被処理基板上に炭素膜を被着する工程、
該炭素膜上に有機膜パターンを形成する工程、該有機膜
パターンをマスクとして用いて該炭素膜をエッチングし
て炭素膜パターンを形成する工程、該有機膜パターンを
除去する工程、エッチングガスを該基板を収容する反応
領域に導入し、基板の支持台に設けられた加熱手段で被
処理基板を加熱しながら反応領域に電界を印加して放電
を生ぜしめ、形成されたプラズマを用いて、該炭素膜パ
ターンをマスクとして被処理基板を異方的に加工する工
程を具備することを特徴とする半導体装置の製造方法。
1. A step of depositing a carbon film on a substrate to be processed,
A step of forming an organic film pattern on the carbon film, a step of etching the carbon film using the organic film pattern as a mask to form a carbon film pattern, a step of removing the organic film pattern, an etching gas The substrate is introduced into a reaction region, and an electric field is applied to the reaction region while heating the substrate to be processed by a heating means provided on the support base of the substrate to generate an electric discharge. A method of manufacturing a semiconductor device, comprising a step of anisotropically processing a substrate to be processed using a carbon film pattern as a mask.
【請求項2】 基板上に形成されたシリコン酸化膜上に
炭素膜を被着する工程、該炭素膜上に有機膜パターンを
形成する工程、該有機膜パターンをマスクとして用いて
該炭素膜をエッチングして炭素膜パターンを形成する工
程、該有機膜パターンを除去する工程、及び該基板を1
60℃以上に加熱し、フッ素原子と炭素原子を含むガス
を該基板を収容する反応領域に導入し、該反応領域に電
界を印加して放電を生ぜしめ、形成されたプラズマを用
いて、該炭素膜パターンをマスクとして該シリコン酸化
膜を異方的に加工する工程を具備することを特徴とする
半導体装置の製造方法。
2. A step of depositing a carbon film on a silicon oxide film formed on a substrate, a step of forming an organic film pattern on the carbon film, and the carbon film using the organic film pattern as a mask. A step of forming a carbon film pattern by etching, a step of removing the organic film pattern, and the substrate
The mixture is heated to 60 ° C. or higher, a gas containing fluorine atoms and carbon atoms is introduced into the reaction region containing the substrate, an electric field is applied to the reaction region to generate discharge, and the formed plasma is used to A method of manufacturing a semiconductor device, comprising a step of anisotropically processing the silicon oxide film using the carbon film pattern as a mask.
【請求項3】 フッ素原子と炭素原子を含むガス、又は
フッ素原子と炭素原子を含むガスと、一酸化炭素ガス若
しくは水素ガスとの混合ガスを含むエッチングガスを、
基板を収容する反応領域に導入することを特徴とする請
求項2に記載の半導体装置の製造方法。
3. An etching gas containing a gas containing a fluorine atom and a carbon atom, or a mixed gas of a gas containing a fluorine atom and a carbon atom and a carbon monoxide gas or a hydrogen gas,
The method for manufacturing a semiconductor device according to claim 2, wherein the method is introduced into a reaction region that accommodates a substrate.
【請求項4】 基板上に形成された銅膜上に炭素膜を被
着する工程、該炭素膜上に有機膜パターンを形成する工
程、該有機膜パターンをマスクとして用いて該炭素膜を
エッチングして炭素膜パターンを形成する工程、該有機
膜パターンを除去する工程、及び該基板を約150℃以
上に加熱し、エッチングガスを該基板を収容する反応領
域に導入し、該反応領域に電界を印加して放電を生ぜし
め、形成されたプラズマを用いて、該炭素膜パターンを
マスクとして該銅膜を異方的に加工する工程を具備する
ことを特徴とする半導体装置の製造方法。
4. A step of depositing a carbon film on a copper film formed on a substrate, a step of forming an organic film pattern on the carbon film, and the etching of the carbon film using the organic film pattern as a mask. Forming a carbon film pattern, removing the organic film pattern, heating the substrate to about 150 ° C. or higher, introducing an etching gas into the reaction region containing the substrate, and applying an electric field to the reaction region. Is applied to generate a discharge, and the formed plasma is used to anisotropically process the copper film using the carbon film pattern as a mask.
【請求項5】 基板を250℃以上に加熱し、塩素原子
及び/又は臭素原子を含むエッチングガスを、基板を収
容する反応領域に導入することを特徴とする請求項4に
記載の半導体装置の製造方法。
5. The semiconductor device according to claim 4, wherein the substrate is heated to 250 ° C. or higher, and an etching gas containing chlorine atoms and / or bromine atoms is introduced into a reaction region containing the substrate. Production method.
【請求項6】 基板上に形成されたタングステン膜、ニ
ッケル膜、チタン膜、タンタル酸化膜、チタン酸ストロ
ンチウム膜、アルミニウム酸化膜、及びアルミニウム窒
化膜からなる群から選ばれた被処理膜上に炭素膜を被着
する工程、該炭素膜上に有機膜パターンを形成する工
程、該有機膜パターンをマスクとして用いて該炭素膜を
エッチングして炭素膜パターンを形成する工程、該有機
膜パターンを除去する工程、及び該基板を130℃以上
に加熱し、エッチングガスを該基板を収容する反応領域
に導入し、該反応領域に電界を印加して放電を生ぜし
め、形成されたプラズマを用いて、該炭素膜パターンを
マスクとして該被処理膜を異方的に加工する工程を具備
することを特徴とする半導体装置の製造方法。
6. A carbon to be processed film selected from the group consisting of a tungsten film, a nickel film, a titanium film, a tantalum oxide film, a strontium titanate film, an aluminum oxide film, and an aluminum nitride film formed on a substrate. A step of depositing a film, a step of forming an organic film pattern on the carbon film, a step of forming the carbon film pattern by etching the carbon film using the organic film pattern as a mask, and a step of removing the organic film pattern And a step of heating the substrate to 130 ° C. or higher, introducing an etching gas into a reaction region containing the substrate, applying an electric field to the reaction region to generate a discharge, and using the formed plasma, A method of manufacturing a semiconductor device, comprising a step of anisotropically processing the film to be processed using the carbon film pattern as a mask.
【請求項7】 塩素原子、臭素原子及び弗素原子の少な
くとも1種を含むガス、又は一酸化炭素ガスからなるエ
ッチングガスを基板を収容する反応領域に導入すること
を特徴とする請求項6に記載の半導体装置の製造方法。
7. The method according to claim 6, wherein a gas containing at least one of a chlorine atom, a bromine atom and a fluorine atom, or an etching gas composed of carbon monoxide gas is introduced into the reaction region containing the substrate. Of manufacturing a semiconductor device of.
【請求項8】 基板を160℃以上に加熱することを特
徴とする請求項1、4又は6に記載の半導体装置の製造
方法。
8. The method for manufacturing a semiconductor device according to claim 1, wherein the substrate is heated to 160 ° C. or higher.
【請求項9】 基板上に形成されたアルミニウムを主成
分とする被処理膜上に炭素膜を被着する工程、該炭素膜
上に有機膜パターンを形成する工程、該有機膜パターン
をマスクとして用いて該炭素膜をエッチングして炭素膜
パターンを形成する工程、該有機膜パターンを除去する
工程、塩素原子及び/又は臭素原子を含むエッチングガ
スを該基板を収容する反応領域に導入し、該反応領域に
電界を印加して放電を生ぜしめ、形成されたプラズマを
用いて、該炭素膜パターンをマスクとして該被処理膜を
異方的に加工する工程、及び該基板を250℃以上に加
熱する工程を具備することを特徴とする半導体装置の製
造方法。
9. A step of depositing a carbon film on a target film containing aluminum as a main component formed on a substrate, a step of forming an organic film pattern on the carbon film, and using the organic film pattern as a mask. A step of forming a carbon film pattern by etching the carbon film by using the step of removing the organic film pattern, introducing an etching gas containing a chlorine atom and / or a bromine atom into a reaction region containing the substrate, An electric field is applied to the reaction region to generate an electric discharge, and the formed film is used to anisotropically process the target film using the carbon film pattern as a mask, and the substrate is heated to 250 ° C. or higher. A method of manufacturing a semiconductor device, comprising:
【請求項10】 基板上に形成されたアルミニウムを主
成分とする金属配線を形成する工程、該金属配線上に絶
縁膜を形成する工程、該絶縁膜上に炭素膜を被着する工
程、該炭素膜上に有機膜パターンを形成する工程、該有
機膜パターンをマスクとして用いて該炭素膜をエッチン
グして炭素膜パターンを形成する工程、該有機膜パター
ンを除去する工程、弗素原子を含むエッチングガスを該
基板を収容する反応領域に導入し、該反応領域に電界を
印加して放電を生ぜしめ、形成されたプラズマを用い
て、該炭素膜パターンをマスクとして該絶縁膜を異方的
に加工する工程、及び該基板を250℃以上に加熱する
工程を具備することを特徴とする半導体装置の製造方
法。
10. A step of forming a metal wiring containing aluminum as a main component formed on a substrate, a step of forming an insulating film on the metal wiring, a step of depositing a carbon film on the insulating film, Forming an organic film pattern on the carbon film, etching the carbon film using the organic film pattern as a mask to form a carbon film pattern, removing the organic film pattern, etching containing fluorine atoms A gas is introduced into a reaction region that accommodates the substrate, an electric field is applied to the reaction region to generate a discharge, and the formed plasma is used to anisotropically form the insulating film using the carbon film pattern as a mask. A method of manufacturing a semiconductor device, comprising: a step of processing and a step of heating the substrate to 250 ° C. or higher.
【請求項11】 前記基板の加熱温度は250〜450
℃であることを特徴とする請求項9又は10に記載の半
導体装置の製造方法。
11. The heating temperature of the substrate is 250 to 450.
11. The method for manufacturing a semiconductor device according to claim 9, wherein the temperature is C.
【請求項12】 基板上に絶縁膜を形成する工程、該絶
縁膜上に炭素膜を被着する工程、該炭素膜上に有機膜パ
ターンを形成する工程、該有機膜パターンをマスクとし
て用いて該炭素膜をエッチングして炭素膜パターンを形
成する工程、該有機膜パターンを除去する工程、弗素原
子を含むエッチングガスを該基板を収容する反応領域に
導入し、該反応領域に電界を印加して放電を生ぜしめ、
形成されたプラズマを用いて、該炭素膜パターンをマス
クとして該絶縁膜を異方的に加工する工程、及び該基板
を250℃以上に加熱する工程を具備することを特徴と
する半導体装置の製造方法。
12. A step of forming an insulating film on a substrate, a step of depositing a carbon film on the insulating film, a step of forming an organic film pattern on the carbon film, using the organic film pattern as a mask A step of etching the carbon film to form a carbon film pattern, a step of removing the organic film pattern, an etching gas containing fluorine atoms is introduced into a reaction region containing the substrate, and an electric field is applied to the reaction region. Cause a discharge,
Manufacturing a semiconductor device, comprising: anisotropically processing the insulating film by using the formed plasma using the formed carbon film as a mask; and heating the substrate to 250 ° C. or higher. Method.
【請求項13】 前記基板の加熱温度は250〜800
℃であることを特徴とする請求項12に記載の半導体装
置の製造方法。
13. The heating temperature of the substrate is 250 to 800.
13. The method for manufacturing a semiconductor device according to claim 12, wherein the temperature is ° C.
JP4004197A 1991-01-22 1992-01-13 Manufacture of semiconductor device Pending JPH0590225A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1019920000838A KR960000375B1 (en) 1991-01-22 1992-01-22 Fabricating method of semiconductor device
US07/824,095 US5240554A (en) 1991-01-22 1992-01-22 Method of manufacturing semiconductor device
US08/020,193 US5302240A (en) 1991-01-22 1993-02-19 Method of manufacturing semiconductor device
US08/202,372 US5445710A (en) 1991-01-22 1994-02-25 Method of manufacturing semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP3-21569 1991-01-22
JP2156991 1991-01-22
JP3-211302 1991-07-30
JP21130291 1991-07-30

Publications (1)

Publication Number Publication Date
JPH0590225A true JPH0590225A (en) 1993-04-09

Family

ID=26358661

Family Applications (2)

Application Number Title Priority Date Filing Date
JP419892A Pending JPH0590223A (en) 1991-01-22 1992-01-13 Manufacture of semiconductor device and semiconductor manufacturing device
JP4004197A Pending JPH0590225A (en) 1991-01-22 1992-01-13 Manufacture of semiconductor device

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP419892A Pending JPH0590223A (en) 1991-01-22 1992-01-13 Manufacture of semiconductor device and semiconductor manufacturing device

Country Status (1)

Country Link
JP (2) JPH0590223A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1998039799A1 (en) * 1997-03-05 1998-09-11 Hitachi, Ltd. Post-treating method for plasma etching
US5853602A (en) * 1996-02-16 1998-12-29 Nec Corporation Method of dry etching for patterning refractory metal layer improved in etching rate, anisotropy and selectivity to silicon oxide
WO1999059198A1 (en) * 1998-05-08 1999-11-18 Tokyo Electron Limited Plasma etching method
JP2006073790A (en) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology Plasma etching device
KR100899414B1 (en) * 2007-05-29 2009-05-27 성균관대학교산학협력단 Fabrication of multi-layer resist structures using physical-vapor deposited amorphous carbon and forming thin film pattern using the same
KR20130132102A (en) * 2012-05-25 2013-12-04 삼성테크윈 주식회사 Transparent electrode board including graphene and method thereof
CN111261514A (en) * 2018-11-30 2020-06-09 东京毅力科创株式会社 Substrate processing method

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5853602A (en) * 1996-02-16 1998-12-29 Nec Corporation Method of dry etching for patterning refractory metal layer improved in etching rate, anisotropy and selectivity to silicon oxide
WO1998039799A1 (en) * 1997-03-05 1998-09-11 Hitachi, Ltd. Post-treating method for plasma etching
WO1999059198A1 (en) * 1998-05-08 1999-11-18 Tokyo Electron Limited Plasma etching method
JP2006073790A (en) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology Plasma etching device
KR100899414B1 (en) * 2007-05-29 2009-05-27 성균관대학교산학협력단 Fabrication of multi-layer resist structures using physical-vapor deposited amorphous carbon and forming thin film pattern using the same
KR20130132102A (en) * 2012-05-25 2013-12-04 삼성테크윈 주식회사 Transparent electrode board including graphene and method thereof
CN111261514A (en) * 2018-11-30 2020-06-09 东京毅力科创株式会社 Substrate processing method

Also Published As

Publication number Publication date
JPH0590223A (en) 1993-04-09

Similar Documents

Publication Publication Date Title
KR960000375B1 (en) Fabricating method of semiconductor device
US5302240A (en) Method of manufacturing semiconductor device
US5707487A (en) Method of manufacturing semiconductor device
US5411631A (en) Dry etching method
US6583065B1 (en) Sidewall polymer forming gas additives for etching processes
US5405491A (en) Plasma etching process
US5925577A (en) Method for forming via contact hole in a semiconductor device
US5851302A (en) Method for dry etching sidewall polymer
JPH08172077A (en) Plasma etching process improvement method of viahole
US5254213A (en) Method of forming contact windows
EP0814501A2 (en) Method for etching metal silicide with high selectivity to polysilicon
JP4910231B2 (en) Manufacturing method of semiconductor device
US20010046780A1 (en) Method for etching organic film, method for fabricating semiconductor device and pattern formation method
JPH0590225A (en) Manufacture of semiconductor device
JP3183929B2 (en) Method for manufacturing semiconductor device
JPH06338479A (en) Etching method
JPH03174724A (en) Method of forming pattern
JP3235549B2 (en) Conductive layer formation method
JP2690860B2 (en) Method for anisotropic etching of semiconductor material
TW202107565A (en) Damage-free conductor formation
JPH11111695A (en) Method of forming platinum thin-film pattern and method of manufacturing semiconductor device
US6756315B1 (en) Method of forming contact openings
JP3160389B2 (en) Dry etching method
US6596625B2 (en) Method and device for producing a metal/metal contact in a multilayer metallization of an integrated circuit
JP2005327873A (en) Semiconductor device and its manufacturing method