JPH05335342A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH05335342A
JPH05335342A JP17011892A JP17011892A JPH05335342A JP H05335342 A JPH05335342 A JP H05335342A JP 17011892 A JP17011892 A JP 17011892A JP 17011892 A JP17011892 A JP 17011892A JP H05335342 A JPH05335342 A JP H05335342A
Authority
JP
Japan
Prior art keywords
insulating film
film
etching
insulation film
side wall
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP17011892A
Other languages
Japanese (ja)
Inventor
Masayuki Sakai
将行 酒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP17011892A priority Critical patent/JPH05335342A/en
Publication of JPH05335342A publication Critical patent/JPH05335342A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Junction Field-Effect Transistors (AREA)

Abstract

PURPOSE:To provide processe for selectively eliminating only a first insulation film leaving an insulation film of the side wall portion at the time of removing an insulation film under the gate electrode by the etching in the process to form the desired gate electrode on a side wall consisting of a first insulation film opened on a compound semiconductor substrate and a third insulation film formed at the side wall of the aperture. CONSTITUTION:An insulation film side wall is formed in a double-layer structure comprising a film 3 as a lower layer having selectivity at the time of removing a first insulation film 2 by the etching and a film 4 as an upper layer which is suitable for side wall processing, that is, anisotropic etching. Thereby, selectivity for removing the first insulation film by the etching process can be ensured by the lower film, while processing control for the side wall may be optimized independently by optimization of the upper layer film. Moreover, the process having a higher stability can be realized.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は半導体装置の製造方法
に関し、特に化合物半導体装置の微細金属電極の形成方
法に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for forming a fine metal electrode of a compound semiconductor device.

【0002】[0002]

【従来の技術】図2は、従来の微細ゲート電極の形成方
法を、その製造フローに従った断面図で示したものであ
る。まず、GaAsからなる化合物半導体基板1上に第
1の絶縁膜、例えばプラズマSiO膜2を堆積し、該絶
縁膜2をエッチングして開口し、引き続いて化合物半導
体基板1を所望の深さまでエッチングする(図2(a))。
2. Description of the Related Art FIG. 2 is a sectional view showing a conventional method of forming a fine gate electrode according to a manufacturing flow thereof. First, a first insulating film, for example, a plasma SiO film 2 is deposited on a compound semiconductor substrate 1 made of GaAs, the insulating film 2 is etched and opened, and then the compound semiconductor substrate 1 is etched to a desired depth. (Fig. 2 (a)).

【0003】次に該基板全面に第3の絶縁膜、例えばプ
ラズマSiO膜4を堆積する(図2(b))。リアクティブ
イオンエッチング(以下RIEと言う)等の異方性エッ
チングにより、該第3の絶縁膜4をエッチングし、該基
板開口部側壁にサイドウォール4aを形成する(図2
(c))。
Next, a third insulating film, for example, a plasma SiO film 4 is deposited on the entire surface of the substrate (FIG. 2 (b)). The third insulating film 4 is etched by anisotropic etching such as reactive ion etching (hereinafter referred to as RIE) to form a sidewall 4a on the side wall of the substrate opening (FIG. 2).
(c)).

【0004】該基板全面にゲート電極金属膜5,例えば
Au/Tiをスパッタにより堆積した後、該開口部を被
覆する部分以外の該金属膜5をエッチング除去する(図
2(d))。
After depositing the gate electrode metal film 5, eg, Au / Ti, on the entire surface of the substrate by sputtering, the metal film 5 other than the portion covering the opening is removed by etching (FIG. 2 (d)).

【0005】第1の絶縁膜2であるプラズマSiO膜を
等方的エッチング、例えばフッ酸、フッ化アンモン混合
液によりウェットエッチングにより除去する(図2
(e))。上記、最後の工程(図2(e))において、第1の絶
縁膜2を除去する必要があるのは以下の理由による。
The plasma SiO film which is the first insulating film 2 is removed by isotropic etching, for example, wet etching with a mixed solution of hydrofluoric acid and ammonium fluoride (FIG. 2).
(e)). The reason why the first insulating film 2 needs to be removed in the last step (FIG. 2E) is as follows.

【0006】例として、上記工程で形成したゲート電極
5を低雑音トランジスタに適用する場合を考えることに
すると、その性能指数の1つである雑音指数Fmin はト
ランジスタの等価回路パラメータを用いて以下のように
表される。 Fmin =1+2πKf ・fCgs√(( Rg+Rs) /gm) …(1) Kf :フィッティングパラメータ Cgs:ゲート・
ソース間容量 Rs:ソース抵抗 f:動作周波数 Rg:ゲート
抵抗 gm:トランスコンダクタンス 従って、ゲート電極としては、 (ア)短ゲート長(図3中のLg) (イ)低ゲート抵抗(図3中、ゲート電極の断面積に反
比例) (ウ)低寄生容量(図3中、C'gs と示したものも寄生
容量の1つ) が満たされることが必要である。(イ)の条件を満たす
ため、ゲート電極断面積は大きくする必要があり、ゲー
ト電極上部と第1の絶縁膜には重なり部分が相当量でき
る。
As an example, when considering the case where the gate electrode 5 formed in the above process is applied to a low noise transistor, the noise figure Fmin, which is one of the figures of merit, is calculated using the equivalent circuit parameter of the transistor as follows. Is represented as Fmin = 1 + 2πKf · fCgs√ ((Rg + Rs) / gm) (1) Kf: Fitting parameter Cgs: Gate
Source capacitance Rs: Source resistance f: Operating frequency Rg: Gate resistance gm: Transconductance Therefore, as the gate electrode, (a) short gate length (Lg in FIG. 3) (b) low gate resistance (in FIG. 3) (Inversely proportional to the cross-sectional area of the gate electrode) (C) It is necessary that a low parasitic capacitance (the one shown as C'gs in FIG. 3 is also one of the parasitic capacitances). In order to satisfy the condition of (a), it is necessary to increase the cross-sectional area of the gate electrode, and there is a considerable amount of overlap between the upper part of the gate electrode and the first insulating film.

【0007】上記状態で、第1の絶縁膜2を残したまま
にした場合、図3に示したように、寄生容量C'gs が発
生する。従って、この部分の絶縁膜2を除去することで
誘電率を下げ、容量を低減することが必要である。
In the above state, if the first insulating film 2 is left as it is, parasitic capacitance C'gs is generated as shown in FIG. Therefore, it is necessary to reduce the dielectric constant and the capacitance by removing the insulating film 2 in this portion.

【0008】[0008]

【発明が解決しようとする課題】従来のプロセスフロー
では、最終工程での第1の絶縁膜2の除去工程の制御が
難しく、絶縁膜を除去しすぎてサイドウォールまでエッ
チングしてしまったり(図4(a) )、除去が不十分であ
ったりする(図4(b))。
In the conventional process flow, it is difficult to control the step of removing the first insulating film 2 in the final step, and the insulating film is removed too much to etch the sidewall (see FIG. 4 (a)) and the removal is insufficient (Fig. 4 (b)).

【0009】図4(a) のようにエッチング過剰となった
場合、さらに後の工程で、この半導体装置にパッシベー
ション膜を形成した場合、ゲート電極直下の化合物半導
体基板の被エッチング部分、いわゆるリセス部分にまで
膜を堆積することは極めて困難である。この部分はトラ
ンジスタ動作する能動部分に近接しているため、このよ
うなパッシベーション不良は信頼性上、問題がある。ま
た、図4(b) のようにエッチング不足となった場合、寄
生容量の低減が不十分になるという問題がある。
When excessive etching is performed as shown in FIG. 4A, when a passivation film is formed on the semiconductor device in a later step, the etched portion of the compound semiconductor substrate immediately below the gate electrode, that is, the recessed portion. It is extremely difficult to deposit a film up to and including. Since this portion is close to the active portion where the transistor operates, such passivation failure has a problem in reliability. Further, when the etching becomes insufficient as shown in FIG. 4B, there is a problem that the reduction of the parasitic capacitance becomes insufficient.

【0010】一方で、サイドウォール部4aを形成する
膜は、異方性エッチング時に、横方向へのエッチングの
起こりにくい膜種、例えばSiO膜等を用いる必要があ
るが、このために、最終的に第1の絶縁膜2を除去する
工程において、サイドウォール膜4aに対して十分な選
択比を持つエッチング方法、及び第1の絶縁膜2の種類
の選定には制限が生じる。
On the other hand, for the film forming the sidewall portion 4a, it is necessary to use a film type such as a SiO film that is unlikely to be laterally etched during anisotropic etching. In addition, in the step of removing the first insulating film 2, there are restrictions on the etching method having a sufficient selection ratio with respect to the sidewall film 4a and the selection of the type of the first insulating film 2.

【0011】本発明は上記のような問題点を解消するた
めになされたもので、リセス部分の絶縁膜サイドウォー
ルは完全に残したまま、第1の絶縁膜のみを安定に除去
することができるとともに、第1及び第3の絶縁膜種の
選択に大きな自由度を持ってゲート電極を形成できる半
導体装置の製造方法を提供することを目的とする。
The present invention has been made in order to solve the above problems, and it is possible to stably remove only the first insulating film while leaving the insulating film sidewall of the recess portion completely. At the same time, it is an object to provide a method for manufacturing a semiconductor device in which the gate electrode can be formed with a great degree of freedom in selection of the first and third insulating film types.

【0012】[0012]

【課題を解決するための手段】この発明にかかる半導体
装置の製造方法は、サイドウォールとなる絶縁膜を第2
の絶縁膜と第3の絶縁膜からなる2層構造とし、第3の
絶縁膜にサイドウォールを形成するのに適した膜を用
い、第2の絶縁膜には第1の絶縁膜除去のエッチングの
際にエッチングレートが遅く、第1の絶縁膜の選択的除
去が可能な膜を用いたものである。
According to a second aspect of the present invention, there is provided a semiconductor device manufacturing method, wherein an insulating film serving as a sidewall is formed into a second structure.
2 layer structure consisting of the insulating film and the third insulating film, a film suitable for forming a sidewall on the third insulating film is used, and the second insulating film is etched for removing the first insulating film. At this time, a film having a low etching rate and capable of selectively removing the first insulating film is used.

【0013】[0013]

【作用】この発明においては、第1の絶縁膜の除去の際
に、選択性を第2の絶縁膜により実現し、サイドウォー
ルの形成を第3の絶縁膜により行うこととしたので、第
1の絶縁膜の除去を安定に行いつつ、良好な形状のサイ
ドウォールを形成することが可能となる。
In the present invention, when the first insulating film is removed, the selectivity is realized by the second insulating film, and the sidewall is formed by the third insulating film. It is possible to form the sidewall having a good shape while stably removing the insulating film.

【0014】[0014]

【実施例】以下、この発明の一実施例による製造方法を
図1のその製造フローに従った断面図を用いて説明す
る。まず、GaAsからなる化合物半導体基板1に第1
の絶縁膜2、例えばプラズマSiO膜を堆積し、該絶縁
膜2をエッチングして開口部を形成し、引き続いて化合
物半導体基板1を所望の深さまでエッチングする(図1
(a))。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A manufacturing method according to an embodiment of the present invention will be described below with reference to a sectional view according to the manufacturing flow of FIG. First, the compound semiconductor substrate 1 made of GaAs
Of the insulating film 2, for example, a plasma SiO film is deposited, the insulating film 2 is etched to form an opening, and then the compound semiconductor substrate 1 is etched to a desired depth (FIG. 1).
(a)).

【0015】次に該基板全面に第2及び第3の絶縁膜
3,4、例えばプラズマSiN膜、プラズマSiO膜を
連続して堆積する(図1(b))。この場合、SiN膜の成
膜条件は、 SiH4 流量 : 100 sccm NH3 流量 : 1000 sccm 圧力 : 2Torr RFパワー : 0.04W/cm2 SiO膜の成膜条件は、 SiH4 流量 : 100 sccm N2 O流量 : 500 sccm NH3 流量 : 500 sccm 圧力 : 2Torr RFパワー : 0.04W/cm2 である。
Next, second and third insulating films 3, 4 such as a plasma SiN film and a plasma SiO film are successively deposited on the entire surface of the substrate (FIG. 1 (b)). In this case, the SiN film deposition conditions are: SiH4 flow rate: 100 sccm NH3 flow rate: 1000 sccm pressure: 2 Torr RF power: 0.04 W / cm2 SiO film deposition conditions are SiH4 flow rate: 100 sccm N2 O flow rate: 500 sccm NH3 flow rate: 500 sccm pressure: 2 Torr RF power: 0.04 W / cm2.

【0016】次に、CHF3 ガスとO2 ガスの混合ガス
を用いてRIEを行い、プラズマSiO膜4及びプラズ
マSiN膜3をエッチングし、サイドウォール6を形成
する(図2(c))。
Next, RIE is performed using a mixed gas of CHF3 gas and O2 gas to etch the plasma SiO film 4 and the plasma SiN film 3 to form sidewalls 6 (FIG. 2 (c)).

【0017】次に該基板全面にゲート電極金属5、例え
ばAu/Tiをスパッタにより堆積する。さらに、写真
製版によりフォトレジストマスク(図示せず)を形成
し、該開口部を被覆する部分以外の該金属膜5をArガ
スを用いたイオンミリングによりエッチング除去する
(図1(d))。
Next, a gate electrode metal 5, for example Au / Ti, is deposited on the entire surface of the substrate by sputtering. Further, a photoresist mask (not shown) is formed by photolithography, and the metal film 5 other than the portion covering the opening is etched and removed by ion milling using Ar gas (FIG. 1 (d)).

【0018】次に第1の絶縁膜2であるプラズマSiO
膜をフッ酸,フッ化アンモン混合液によりウェットエッ
チングし、除去する。この際、成膜条件を上記のように
選択することにより、SiN膜3に対してSiO膜4の
選択比を5〜10程度に設定することができる(図1
(e))。
Next, the first insulating film 2, plasma SiO
The film is removed by wet etching with a mixed solution of hydrofluoric acid and ammonium fluoride. At this time, the selection ratio of the SiO film 4 to the SiN film 3 can be set to about 5 to 10 by selecting the film forming conditions as described above (FIG. 1).
(e)).

【0019】従って、第1の絶縁膜2であるSiO膜を
上記ウェットエッチングで除去する際、リセス部分の第
2,第3の絶縁膜3a,4aからなるサイドウォール6
のみを選択的に残すことが可能である。
Therefore, when the SiO film which is the first insulating film 2 is removed by the above-mentioned wet etching, the sidewall 6 formed of the second and third insulating films 3a and 4a in the recessed portion.
It is possible to selectively leave only.

【0020】このような本実施例によれば、ゲート電極
の形成において、ゲート電極下の第1の絶縁膜を除去す
る際、第2の絶縁膜として選択性の高い膜種を用いるこ
とにより、リセス部分には絶縁膜サイドウォールを残す
ことを安定に行うことができ、しかも、第3の絶縁膜に
はサイドウォール形状に加工するのに適した膜種を選ぶ
ことにより、サイドウォール形成も安定に行うことがで
きる。
According to this embodiment, when the first insulating film under the gate electrode is removed in the formation of the gate electrode, a film having high selectivity is used as the second insulating film. The insulating film sidewall can be stably left in the recessed portion, and the sidewall formation is stable by selecting a film type suitable for processing the sidewall shape for the third insulating film. Can be done.

【0021】[0021]

【発明の効果】以上のように、この発明によれば、ゲー
ト電極の形成方法において、ゲート電極下の第1の絶縁
膜を除去する際、第2の絶縁膜として選択性の高い膜種
を用いることにより、リセス部分には絶縁膜サイドウォ
ールを残すことを安定に行うことができ、しかも、第3
の絶縁膜にはサイドウォール形状に加工するのに適した
膜種を選ぶことにより、サイドウォール形成も安定に行
うことができるという効果がある。
As described above, according to the present invention, in the method of forming a gate electrode, when the first insulating film under the gate electrode is removed, a film type having high selectivity is used as the second insulating film. By using it, it is possible to stably leave the insulating film side wall in the recess portion,
By selecting a film type suitable for processing into the sidewall shape as the insulating film, there is an effect that the sidewall formation can be performed stably.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明の一実施例によるゲート電極の形成を
その製造フローに従って示した断面図である。
FIG. 1 is a cross-sectional view showing formation of a gate electrode according to an embodiment of the present invention according to a manufacturing flow thereof.

【図2】従来の製造フローを示した断面図である。FIG. 2 is a cross-sectional view showing a conventional manufacturing flow.

【図3】第1の絶縁膜を除去せずに残した場合の問題点
を示す図である。
FIG. 3 is a diagram showing a problem when the first insulating film is left without being removed.

【図4】従来法で第1の絶縁膜を除去した場合の問題点
を示す図である。
FIG. 4 is a diagram showing a problem when the first insulating film is removed by a conventional method.

【符号の説明】[Explanation of symbols]

1 化合物半導体基板 2 第1の絶縁膜 3 第2の絶縁膜 4 第3の絶縁膜 5 ゲート電極メタル 1 Compound Semiconductor Substrate 2 First Insulating Film 3 Second Insulating Film 4 Third Insulating Film 5 Gate Electrode Metal

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 29/50 J 9055−4M ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 5 Identification code Internal reference number FI technical display location H01L 29/50 J 9055-4M

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 化合物半導体基板に第1の絶縁膜を堆積
し、該絶縁膜をエッチングし、開口部を形成する第1の
工程と、 該開口部に露出した化合物半導体基板を所望の深さまで
エッチングする第2の工程と、 該基板上に第2の絶縁膜と第3の絶縁膜からなる2層構
造の絶縁膜を堆積する第3の工程と、 該2層絶縁膜を全面エッチバックすることにより、該開
口部に、いわゆるサイドウォールを形成する第4の工程
と、 全面に電極金属膜を成膜後、該開口部を完全に被う部分
以外の該金属膜をエッチング除去する第5の工程と、 第2の絶縁膜に対して充分な選択比を持ち、かつ等方的
なエッチング方法により、第1の絶縁膜を該基板表面か
ら完全に除去し、ゲート電極を形成する第6の工程とを
含むことを特徴とする半導体装置の製造方法。
1. A first step of depositing a first insulating film on a compound semiconductor substrate, etching the insulating film to form an opening, and exposing the compound semiconductor substrate to the opening to a desired depth. A second step of etching, a third step of depositing an insulating film having a two-layer structure composed of a second insulating film and a third insulating film on the substrate, and the entire surface of the two-layer insulating film being etched back Thus, a fourth step of forming a so-called sidewall in the opening, and a fifth step of forming an electrode metal film on the entire surface and then etching away the metal film other than the portion completely covering the opening And a step of forming a gate electrode by completely removing the first insulating film from the surface of the substrate by an isotropic etching method having a sufficient selection ratio with respect to the second insulating film. And a step of manufacturing the semiconductor device.
【請求項2】 上記第1の絶縁膜はプラズマSiO膜で
あり、上記第2の絶縁膜はプラズマSiN膜であり、上
記第3の絶縁膜はプラズマSiO膜であることを特徴と
する請求項1記載の半導体装置の製造方法。
2. The first insulating film is a plasma SiO film, the second insulating film is a plasma SiN film, and the third insulating film is a plasma SiO film. 1. The method for manufacturing a semiconductor device according to 1.
JP17011892A 1992-06-03 1992-06-03 Manufacture of semiconductor device Pending JPH05335342A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP17011892A JPH05335342A (en) 1992-06-03 1992-06-03 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP17011892A JPH05335342A (en) 1992-06-03 1992-06-03 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH05335342A true JPH05335342A (en) 1993-12-17

Family

ID=15898978

Family Applications (1)

Application Number Title Priority Date Filing Date
JP17011892A Pending JPH05335342A (en) 1992-06-03 1992-06-03 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH05335342A (en)

Similar Documents

Publication Publication Date Title
JP2604631B2 (en) Method for manufacturing semiconductor device
US5166096A (en) Process for fabricating self-aligned contact studs for semiconductor structures
US5288654A (en) Method of making a mushroom-shaped gate electrode of semiconductor device
JP2505961B2 (en) Method and semiconductor device for forming studs for semiconductor structures
US6319767B1 (en) Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors via plasma ashing and hard masking technique
US6235626B1 (en) Method of forming a gate electrode using an insulating film with an opening pattern
US5950104A (en) Contact process using Y-contact etching
US4933297A (en) Method for etching windows having different depths
US5310695A (en) Interconnect structure in semiconductor device and method for making the same
US20050118755A1 (en) Phosphoric acid free process for polysilicon gate definition
US6458284B1 (en) Method of etching and etch mask
US6228755B1 (en) Semiconductor device, and manufacturing method therefor
JP2000307001A (en) Manufacture of semiconductor device
US5915198A (en) Contact process using taper contact etching and polycide step
US6284590B1 (en) Method to eliminate top metal corner shaping during bottom metal patterning for MIM capacitors
US20040155277A1 (en) Method for manufacturing a semiconductor device including a PIP capacitor and a MOS transistor
JPH02138750A (en) Manufacture of semiconductor device
JPH05335342A (en) Manufacture of semiconductor device
US5981385A (en) Dimple elimination in a tungsten etch back process by reverse image patterning
US6303491B1 (en) Method for fabricating self-aligned contact hole
JP2715877B2 (en) Method for manufacturing semiconductor device
JP2658884B2 (en) Method for manufacturing semiconductor device
JP3114640B2 (en) Method for manufacturing semiconductor device
JPH05226333A (en) Manufacture of semiconductor device
US6300190B1 (en) Method for fabricating semiconductor integrated circuit device