JPH05283322A - Mask for exposure to x-ray - Google Patents

Mask for exposure to x-ray

Info

Publication number
JPH05283322A
JPH05283322A JP8207192A JP8207192A JPH05283322A JP H05283322 A JPH05283322 A JP H05283322A JP 8207192 A JP8207192 A JP 8207192A JP 8207192 A JP8207192 A JP 8207192A JP H05283322 A JPH05283322 A JP H05283322A
Authority
JP
Japan
Prior art keywords
ray
refractive index
mask
absorber pattern
substance
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8207192A
Other languages
Japanese (ja)
Inventor
Masaaki Sudo
正昭 須藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP8207192A priority Critical patent/JPH05283322A/en
Publication of JPH05283322A publication Critical patent/JPH05283322A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To provide a mask for exposure to X-rays, which provides a contrast high enough even with the film of an X-ray absorbent thin, excels in thermal characteristics and does not have any problem with the formation of a very fine pattern. CONSTITUTION:An X-ray absorbent pattern 1 is formed on a mask substrate and constituted by alternately laminating a material 10 having a property of a low refractive index against X-rays and a material 11 having a property of a high refractive index where the thickness of the material 10 and the material 11 are set to strengthen the reflected waves of X-rays with each other on the respective boundaries.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、X線を光源として露光
するX線リソグラフィ装置に用いられるX線露光用マス
クに関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an X-ray exposure mask used in an X-ray lithography apparatus which exposes X-rays as a light source.

【0002】[0002]

【従来の技術】従来、図3に示すような、X線露光用マ
スクが提案されている。(阿刀田 伸史:“放射光リソ
グラフィの現状と将来”、放射光 第2巻 第2号 1
989年5月)
2. Description of the Related Art Conventionally, an X-ray exposure mask as shown in FIG. 3 has been proposed. (Nobufumi Atsuta: "Present and Future of Synchrotron Radiation", Synchrotron Radiation Vol.2 No.1
(May 989)

【0003】上記X線露光用マスクは、X線吸収体パタ
ーン1aと、支持膜2a、および支持枠3とから構成さ
れる。上記X線吸収体パターン1aは、X線透過性が小
さいことと、熱膨張係数が小さいことを理由に、金(A
u)、タンタル(Ta)、タングステン(W)などが用
いられている。
The X-ray exposure mask comprises an X-ray absorber pattern 1a, a support film 2a, and a support frame 3. The X-ray absorber pattern 1a has a low X-ray transparency and a small coefficient of thermal expansion, and thus is made of gold (A).
u), tantalum (Ta), tungsten (W), etc. are used.

【0004】ところで、放射光リソグラフィに用いられ
る波長範囲(0.4〜1.5nm)では、有効な屈折光学
系が得られないことと、X線マスクが脆弱であることな
どから、マスクとウエハとを一定の間隔で保持し、等倍
でマスクパタンをウエハに転写する近接露光方式が一般
的である。
In the wavelength range (0.4 to 1.5 nm) used for synchrotron radiation lithography, an effective refracting optical system cannot be obtained and the X-ray mask is fragile. In general, a proximity exposure method in which the mask pattern is held at a constant interval and the mask pattern is transferred to the wafer at the same magnification is used.

【0005】ただし、等倍転写では、マスクの精度が直
接ウエハ上の転写パターンに影響するため、マスクに対
する要求、すなわち、コントラスト(支持膜部分と吸収
体部分とのX線透過率の比)が大きいこと、および、パ
ターンの寸法精度と位置精度が高いことである。
However, in equal-magnification transfer, since the accuracy of the mask directly affects the transfer pattern on the wafer, the requirement for the mask, that is, the contrast (ratio of X-ray transmittance between the support film portion and the absorber portion) is It is large, and the dimensional accuracy and position accuracy of the pattern are high.

【0006】上述した従来例において、マスクでコント
ラストを得るための解決手段として、膜厚0.5〜1μ
m程度のAu、Ta、WなどからなるX線吸収体パター
ン1aを設けているが、コントラストを大きくするため
には吸収体パターン1aの膜厚を増やさなければならな
い。しかしながら、その結果、微細パターンを形成し難
いという問題が生じる。
In the above-mentioned conventional example, a film thickness of 0.5 to 1 .mu.
Although the X-ray absorber pattern 1a made of Au, Ta, W or the like having a thickness of about m is provided, the film thickness of the absorber pattern 1a must be increased in order to increase the contrast. However, as a result, there arises a problem that it is difficult to form a fine pattern.

【0007】[0007]

【発明が解決しようとする課題】そこで図4に示すよう
な、X線露光用マスクが案出された。(特公平3−18
21号公報)
Therefore, an X-ray exposure mask as shown in FIG. 4 has been devised. (Patent Fair 3-18
21 publication)

【0008】このマスクは、X線吸収体パターン1b
を、X線透過性の大きい物質4と、X線吸収性の大きい
物質5とを交互に重畳した多層構造とすることにより、
X線ミラーとしての機能を持たせている。
This mask has an X-ray absorber pattern 1b.
Has a multilayer structure in which a substance 4 having a large X-ray permeability and a substance 5 having a large X-ray absorbing property are alternately superposed,
It has a function as an X-ray mirror.

【0009】すなわち、入射X線6の定在波7の振幅を
小さくして、吸収体パターン1bの透過性を小さくして
いる。したがって、吸収体パターン1bの膜厚を、先に
図3で説明したものより小さくしても、コントラストの
向上が期待できる。
That is, the amplitude of the standing wave 7 of the incident X-ray 6 is reduced to reduce the transparency of the absorber pattern 1b. Therefore, even if the film thickness of the absorber pattern 1b is made smaller than that described above with reference to FIG. 3, improvement in contrast can be expected.

【0010】ここでは、X線透過性物質4として炭素
(C)が用いられ、X線吸収性物質5として、レニウム
タングステン(ReW)、タングステン(W)、金パラ
ジウム(AuPd)が用いられる。
Here, carbon (C) is used as the X-ray transparent substance 4, and rhenium tungsten (ReW), tungsten (W), and gold palladium (AuPd) are used as the X-ray absorbing substance 5.

【0011】しかしながら、ここで開示されるX線吸収
体パターン1bとしての多層構造に用いられる物質は、
X線透過性の大きい物質4と、X線吸収係数の大きい物
質5という観点から得られたものであって、X線ミラー
としての機能を最大限に生かすべく構成されたものとは
言い難い。
However, the material used for the multilayer structure as the X-ray absorber pattern 1b disclosed herein is
It was obtained from the viewpoint of the substance 4 having a large X-ray transparency and the substance 5 having a large X-ray absorption coefficient, and it cannot be said that the substance is configured to maximize the function of the X-ray mirror.

【0012】上記X線吸収体パターン1bが、高反射特
性を有するX線ミラーとなるような構成と、その物質を
検討すれば、露光用マスクとしてのコントラストが向上
するばかりでなく、多層構造の積層数を減して吸収体パ
ターンの膜厚を薄くでき、微細パターンの形成が容易に
なることも期待できる。
When the above X-ray absorber pattern 1b becomes an X-ray mirror having a high reflection characteristic and its material is examined, not only the contrast as an exposure mask is improved but also a multilayer structure is obtained. It can be expected that the number of laminated layers can be reduced and the film thickness of the absorber pattern can be reduced, which facilitates formation of a fine pattern.

【0013】そしてさらにこの技術では、X線吸収係数
の大きい物質5を選定対象としているが、吸収体パター
ンの熱膨張を考慮すると、好ましい選定ではない。物質
に吸収されたX線は、蓄熱されて膨張の発生を許す。マ
スクからウエハへの転写パターン精度に悪影響を及ばす
こととなり、熱影響の点についての配慮が欠ける。
Further, in this technique, the substance 5 having a large X-ray absorption coefficient is selected, but it is not a preferable selection in view of the thermal expansion of the absorber pattern. The X-rays absorbed by the substance store heat and allow expansion to occur. The accuracy of the transfer pattern from the mask to the wafer will be adversely affected, and consideration for the heat effect will be lacking.

【0014】本発明は、このような事情によりなされた
ものであり、その目的とするところは、X線吸収体パタ
ーンの膜厚を薄くして、コントラストを充分に高く形成
でき、かつ熱的特性に優れ、微細パターンを形成するの
に何らの支障もないX線露光用マスクを提供することに
ある。
The present invention has been made under such circumstances, and an object of the present invention is to reduce the film thickness of the X-ray absorber pattern to form a sufficiently high contrast and to obtain a thermal characteristic. Another object of the present invention is to provide an X-ray exposure mask which is excellent and has no hindrance in forming a fine pattern.

【0015】[0015]

【課題を解決するための手段】上記目的を達成するため
第1の発明は、マスク基板上にX線吸収体パターンを形
成してなるX線露光用マスクにおいて、上記X線吸収体
パターンは、使用X線に対して低屈折率の性質を持つ物
質と、高屈折率の性質を持つ物質を交互に積層し、これ
ら低屈折率の性質を持つ物質と高屈折率の性質を持つ物
質の厚さは、互いの境界においてX線の反射波を互いに
強め合うように設定されることを特徴とするX線露光用
マスクである。
To achieve the above object, a first invention is an X-ray exposure mask comprising an X-ray absorber pattern formed on a mask substrate, wherein the X-ray absorber pattern is A material having a low refractive index property and a material having a high refractive index property are alternately laminated to the X-ray used, and the thickness of the material having the low refractive index property and the material having the high refractive index property Is a mask for X-ray exposure, which is set so that reflected waves of X-rays are mutually strengthened at the boundaries of each other.

【0016】第2の発明は、上記低屈折率の性質を持つ
物質は、オスミウム(Os)、イリジウム(Ir)、レ
ニウム(Re)、白金(Pt)、金(Au)、タングス
テン(W)、ルテニウム(Ru)、ロジウム(Rh)の
うちのいずれかを選択し、上記高屈折率の性質を持つ物
質は、リチウム(Li)、マグネシウム(Mg)、カル
シウム(Ca)、ストロンチウム(Sr)、けい素(S
i)、アルミニウム(Al)、ほう素(B)、炭素
(C)のいずれか、もしくはこれらの物質を含む化合物
のいずれかを選択することを特徴とする請求項1記載の
X線露光用マスクである。
In a second aspect of the present invention, the substance having a low refractive index is osmium (Os), iridium (Ir), rhenium (Re), platinum (Pt), gold (Au), tungsten (W), Either ruthenium (Ru) or rhodium (Rh) is selected, and the substance having the high refractive index property is lithium (Li), magnesium (Mg), calcium (Ca), strontium (Sr), or silica. Elementary (S
2. The mask for X-ray exposure according to claim 1, wherein any one of i), aluminum (Al), boron (B), carbon (C), or a compound containing these substances is selected. Is.

【0017】[0017]

【作用】いずれの発明であっても、膜厚の薄いX線吸収
体としても、熱的特性にも優れてコントラストの高い、
微細パターンを形成することができる。
In any of the inventions, even as an X-ray absorber having a thin film thickness, it has excellent thermal characteristics and high contrast.
A fine pattern can be formed.

【0018】[0018]

【実施例】以下、本発明の一実施例を図面にもとづいて
説明する。図1に示すように、X線露光用マスクが構成
される。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described below with reference to the drawings. As shown in FIG. 1, an X-ray exposure mask is constructed.

【0019】支持膜12上に、X線吸収体パターン1が
形成されてなる。上記X線吸収体パターン1は、多層膜
構造であって、異なる屈折率を持つ2種類の物質、すな
わち低屈折率の物質10と、高屈折率の物質11とを、
支持膜12上に交互に積層させたものである。そして、
多層膜各層10,11の膜厚d1 ,d2 ,…dm は、各
層の境界での反射光が強め合いの多重干渉効果が得られ
るよう設けられる。
The X-ray absorber pattern 1 is formed on the support film 12. The X-ray absorber pattern 1 has a multi-layered film structure and comprises two kinds of substances having different refractive indices, that is, a substance 10 having a low refractive index and a substance 11 having a high refractive index.
The films are alternately laminated on the support film 12. And
The film thicknesses d1, d2, ... Dm of the respective layers 10, 11 of the multilayer film are provided so as to obtain a multiple interference effect in which reflected lights at the boundaries of the respective layers are mutually strengthened.

【0020】ところで、X線に対する各種物質の屈折率
は、物質によって個々に異なり、また、X線の波長によ
っても違ってくる。したがって、使用するX線の波長を
考慮して、低屈折率および高屈折率の性質を持つ物質を
選定する必要が生じる。
By the way, the refractive index of various substances with respect to X-rays differs depending on the substance and also depends on the wavelength of X-rays. Therefore, it is necessary to select a substance having a low refractive index and a high refractive index in consideration of the wavelength of the X-ray used.

【0021】このような透過型X線マスクによる放射光
リソグラフィでは、0.4〜1.5nm波長領域のX線が
主に用いられるところから、この波長領域では、オスミ
ウム(Os)、イリジウム(Ir)、レニウム(R
e)、白金(Pt)、金(Au)、タングステン
(W)、ルテニウム(Ru)、ロジウム(Rh)など
を、低い屈折率を有する物質10として適用する。
In synchrotron radiation lithography using such a transmission type X-ray mask, X-rays in the wavelength region of 0.4 to 1.5 nm are mainly used. Therefore, in this wavelength region, osmium (Os) and iridium (Ir) are used. ), Rhenium (R
e), platinum (Pt), gold (Au), tungsten (W), ruthenium (Ru), rhodium (Rh), etc. are applied as the substance 10 having a low refractive index.

【0022】また、リチウム(Li)、マグネシウム
(Mg)、カルシウム(Ca)、ストロンチウム(S
r)、けい素(Si)、アルミニウム(Al)、ほう素
(B)、炭素(C)などを、高屈折率の性質を持つを有
する物質11として適用する。実際に、これらの物質か
ら最適なものを選定するにあたっては、次式を用いて屈
折率ηを求めることができる。 η = 1−σ = 1−N・e2 ・λ2 /2π・m・C2 −(1) ただし、N:1cm3 当たりの電子数、e:電子の電荷、
m:電子の質量、λ:波長、 C:光速
Further, lithium (Li), magnesium (Mg), calcium (Ca), strontium (S
r), silicon (Si), aluminum (Al), boron (B), carbon (C), etc. are applied as the substance 11 having a high refractive index property. Actually, in selecting the optimum one from these substances, the refractive index η can be obtained by using the following equation. η = 1-σ = 1-N · e 2 ・ Λ 2 / 2π · m · C 2 -(1) However, N: 1 cm 3 Number of electrons per electron, e: electron charge,
m: electron mass, λ: wavelength, C: speed of light

【0023】上記(1)式を用いて計算した一例とし
て、波長0.989nmのMgKα線に対する各種物質の
屈折率を表1に示す。対象とした物質は、室温状態で固
体であり、放射性および毒性を持たないものである。下
記表1から、低い屈折率と高い屈折率の性質10,11
を持つ物質を選定できる。
As an example calculated using the above formula (1), Table 1 shows the refractive indexes of various substances with respect to MgKα rays having a wavelength of 0.989 nm. The substances of interest are solids at room temperature and are not radioactive or toxic. From Table 1 below, the properties of low refractive index and high refractive index 10, 11
You can select a substance that has.

【0024】[0024]

【表1】 [Table 1]

【0025】図2に、このX線露光用マスクの作用を模
式的に示す。なお、X線吸収体パターン1が形成される
上記支持膜12は支持枠13に支持され、これらでマス
ク基板20が構成される。
FIG. 2 schematically shows the operation of this X-ray exposure mask. The support film 12 on which the X-ray absorber pattern 1 is formed is supported by the support frame 13, and these constitute the mask substrate 20.

【0026】上記X線吸収体パターン1は、低屈折率物
質10と高屈折率物質11の多層膜構造であるため、入
射X線14を反射するミラーとして機能する。しかも、
多層膜各層10,11の膜厚は、互いの境界での反射光
が強め合いをする多重干渉効果を生じるように設計され
ているので、吸収体パターン1形成部分のX線反射率は
大きく、反射X線光15が生じる。
Since the X-ray absorber pattern 1 has a multilayer film structure of the low refractive index material 10 and the high refractive index material 11, it functions as a mirror for reflecting the incident X-rays 14. Moreover,
The film thicknesses of the respective layers 10 and 11 of the multilayer film are designed so as to produce a multiple interference effect in which the reflected lights at the boundaries mutually reinforce each other, so that the X-ray reflectance at the portion where the absorber pattern 1 is formed is large, The reflected X-ray light 15 is generated.

【0027】このX線吸収体パターン1の多層膜10,
11によって反射される反射X線光15と、多層膜1
0,11内に吸収されるX線以外のX線16は、吸収体
パターン1および支持膜12を透過することになるか
ら、吸収体パターン1のX線反射率を高めれば、ここで
のX線透過率を小さくできる。
The multilayer film 10 of the X-ray absorber pattern 1,
The reflected X-ray light 15 reflected by 11 and the multilayer film 1
Since X-rays 16 other than the X-rays absorbed in 0 and 11 are transmitted through the absorber pattern 1 and the support film 12, if the X-ray reflectance of the absorber pattern 1 is increased, X The line transmittance can be reduced.

【0028】それゆえ、吸収体パターン1形成部分にお
けるX線反射率を高めれば、ここでのX線透過率を非常
に小さくでき、反対に、吸収体パターン1を形成してい
ない部分ではX線の透過率が大きくなる。つぎに、この
ようにして構成されるX線露光用マスクの製造方法につ
いて説明する。
Therefore, if the X-ray reflectance in the portion where the absorber pattern 1 is formed is increased, the X-ray transmittance here can be made extremely small. On the contrary, in the portion where the absorber pattern 1 is not formed, the X-ray transmittance can be reduced. The transmittance of is increased. Next, a method of manufacturing the X-ray exposure mask thus configured will be described.

【0029】はじめに、X線透過性の支持膜12上に、
膜厚をコントロールしながら、低屈折率の性質を持つ物
質10と、高屈折率の性質を持つ物質11とを交互に成
膜して多層膜を形成する。
First, on the X-ray transparent support film 12,
While controlling the film thickness, a substance 10 having a low refractive index property and a substance 11 having a high refractive index property are alternately formed to form a multilayer film.

【0030】成膜方法は種々あるが、本願発明のごとき
X線露光用マスクの吸収体パターン1として用いるのに
好ましい物質は、そのほとんどが高融点の物質であると
ころから、マグネトロンスパッタ法、イオンビームスパ
ッタ法、電子ビーム蒸着法等が適している。
Although there are various film forming methods, most of the preferred substances to be used as the absorber pattern 1 of the X-ray exposure mask of the present invention are those having a high melting point. The beam sputtering method, the electron beam evaporation method, etc. are suitable.

【0031】また、成膜速度が一定となるように、スパ
ッタ条件や蒸着条件を設定したり、水晶振動子の共振周
波数変化やレーザ光の偏光状態変化、X線の反射強度変
化等の測定から、成膜中の積層膜厚をモニタするなどし
て、膜厚を高精度にコントロールする必要がある。多層
膜を形成した後は、電子線やフォーカス・イオンビーム
などを用いて多層膜に微細なパターンを描画する。この
ようにして、多層膜構造からなるX線吸収体パターン1
を有するX線露光用マスクを形成できる。
Further, by setting the sputtering conditions and the vapor deposition conditions so that the film forming rate is constant, and measuring the resonance frequency change of the crystal unit, the polarization state change of the laser light, the change in the X-ray reflection intensity, etc. It is necessary to control the film thickness with high accuracy by monitoring the film thickness of the stacked layers during film formation. After forming the multilayer film, a fine pattern is drawn on the multilayer film by using an electron beam, a focus ion beam or the like. In this way, the X-ray absorber pattern 1 having the multilayer film structure is formed.
It is possible to form an X-ray exposure mask having

【0032】上記X線露光用マスクを用いることによっ
て、吸収体パターン1形成部分と、非形成部分とのX線
透過率の差を大きくできる。すなわち、マスクコントラ
ストを高くできるので、急峻はエッジプロファイルをも
った微細なレジストパターンが得られる。
By using the above X-ray exposure mask, the difference in X-ray transmittance between the portion where the absorber pattern 1 is formed and the portion where the absorber pattern 1 is not formed can be increased. That is, since the mask contrast can be increased, a fine resist pattern having a steep edge profile can be obtained.

【0033】また、転写媒体であるレジストのパターン
の寸法精度を向上させ、さらにはレジストの露光・現像
条件に余裕を持たせてスループットの向上を図ることが
できる。
Further, it is possible to improve the dimensional accuracy of the pattern of the resist which is the transfer medium, and further to improve the throughput by giving a margin to the exposure and development conditions of the resist.

【0034】吸収体パターン1にX線ミラーとしての機
能を持たせたので、ここでのX線透過率が小さくなり、
先に図3で説明したものと比較して、吸収体パターン1
の膜厚を薄くできる。
Since the absorber pattern 1 has a function as an X-ray mirror, the X-ray transmittance here becomes small,
Absorber pattern 1 compared to that previously described in FIG.
Can be thinned.

【0035】上記吸収体パターン1の膜厚を薄くできれ
ば、反応性イオンエッチングやスパッタエッチングなど
による微細な吸収体パターン形成が容易になる。さら
に、この膜厚を薄くすることと、X線を極力反射し、こ
こで吸収されるX線と透過するX線の割合を減らすこと
によって、X線による蓄熱を軽減できる。すなわち、吸
収体パターン1が熱を持ち膨張すると、レジストパター
ンの精度に悪影響を及ぼすので、蓄熱軽減効果も重要な
ものとなる。
If the thickness of the absorber pattern 1 can be reduced, it becomes easy to form a fine absorber pattern by reactive ion etching or sputter etching. Further, by reducing the film thickness and reducing the ratio of the X-rays that reflect the X-rays as much as possible and are absorbed there, the heat storage by the X-rays can be reduced. That is, when the absorber pattern 1 expands due to heat, it adversely affects the accuracy of the resist pattern, so the effect of reducing heat storage is also important.

【0036】一方、本願発明のX線露光用マスクは、吸
収体パターン1でのX線反射を効率よく行えるところか
ら、先に図4において説明したものよりも、X線ミラー
としての機能に優れる。
On the other hand, the X-ray exposure mask of the present invention is superior in function as an X-ray mirror to the one described above with reference to FIG. 4 because it can efficiently reflect X-rays on the absorber pattern 1. ..

【0037】図5は、先に図4において説明した、X線
吸収体パターンの多層膜物質組合わせとして好ましいも
のとされたタングステン(W)/炭素(C)多層膜と、
本願発明において好ましい物質組合わせとして挙げたレ
ニウム(Re)/ほう素(B)多層膜のX線反射特性の
比較を示したものである。
FIG. 5 shows a tungsten (W) / carbon (C) multilayer film which has been described as a preferable multilayer material combination for the X-ray absorber pattern described in FIG.
FIG. 3 shows a comparison of X-ray reflection characteristics of rhenium (Re) / boron (B) multilayer films, which are listed as preferable material combinations in the present invention.

【0038】なお、X線反射率は理論計算により求めら
れ、X線入射角は垂直入射0°、ReとBの一層対の膜
厚と、WとCの一層対の膜厚は、互いに等しく0.59
4nmとした。
The X-ray reflectance is obtained by theoretical calculation, the X-ray incident angle is 0 ° at normal incidence, and the film thickness of one pair of Re and B and the film thickness of one pair of W and C are equal to each other. 0.59
It was 4 nm.

【0039】同図から、Re/B多層膜の方が、W/C
多層膜よりも高い反射率が得られることがわかる。した
がって、先の図4のものと比較して、本願発明における
吸収体パターン1は反射率の高いX線ミラーと言うこと
ができ、マスクコントラストの向上と、吸収体パターン
1膜厚の低減および熱蓄積の軽減等の点で優れる。
From the figure, the Re / B multilayer film has a W / C
It can be seen that a reflectance higher than that of the multilayer film can be obtained. Therefore, the absorber pattern 1 in the present invention can be said to be an X-ray mirror having a high reflectance as compared with the one shown in FIG. Excellent in reducing accumulation.

【0040】なお、同図に示す反射プロファイルは、極
めて狭い波長領域においてのみ高反射率が得られるとい
う、狭帯域ミラーの特性を示す。しかしながら、放射光
リソグラフィにおいては0.4〜1.5nm波長領域のX
線が有効であるため、高反射率が得られない波長領域で
は、吸収体パターンでの透過率が高まってしまう。
The reflection profile shown in the figure shows the characteristic of a narrow band mirror that a high reflectance is obtained only in an extremely narrow wavelength range. However, in synchrotron radiation lithography, X-rays in the 0.4-1.5 nm wavelength region
Since the line is effective, the transmittance in the absorber pattern increases in the wavelength region where high reflectance cannot be obtained.

【0041】そこで、広範囲な波長領域においても高反
射率が得られるように、各層10,11の膜厚を設計し
てやれば、X線ミラーとしての広い帯域化が図れ、上記
問題点の除去ができる。
Therefore, if the film thickness of each layer 10 and 11 is designed so that a high reflectance can be obtained even in a wide wavelength range, a wide band as an X-ray mirror can be achieved and the above problems can be eliminated. ..

【0042】なお、上記実施例においては、高屈折率の
性質を持つ物質として、リチウム(Li)、マグネシウ
ム(Mg)、カルシウム(Ca)、ストロンチウム(S
r)、けい素(Si)、アルミニウム(Al)、ほう素
(B)、炭素(C)のいずれかを選択するよう説明した
が、これに限定されるものではなく、これらの物質を含
む化合物、たとえば、窒化ほう素(BN)、二酸化けい
素(SiO2 )、炭化ほう素(B4 C)などであって
も、多層膜構造の安定性が期待できる。
In the above embodiment, lithium (Li), magnesium (Mg), calcium (Ca), strontium (S) are used as the substance having a high refractive index.
r), silicon (Si), aluminum (Al), boron (B), and carbon (C) have been described as being selected, but the present invention is not limited to this, and compounds containing these substances. For example, boron nitride (BN), silicon dioxide (SiO 2 ), boron carbide (B 4 C) and the like can be expected to have stability of the multilayer film structure.

【0043】さらに、本願発明のX線露光用マスクは、
マスク全体もしくは吸収体パターン部分のみを保護膜で
覆ったものとしても、充分な効果が得られることは、言
う迄もない。この他、本発明の要旨を越えない範囲内で
種々の変形実施が可能である。
Further, the X-ray exposure mask of the present invention is
It goes without saying that even if the entire mask or only the absorber pattern portion is covered with the protective film, a sufficient effect can be obtained. In addition, various modifications can be made within the scope of the present invention.

【0044】[0044]

【発明の効果】以上説明したように本発明によれば、マ
スク基板上に形成されるX線吸収体パターンを、使用X
線に対して低屈折率の性質を持つ物質と、高屈折率の性
質を持つ物質を交互に積層し、これら低屈折率の性質を
持つ物質と高屈折率の性質を持つ物質の厚さを、互いの
境界においてX線の反射波を互いに強め合うように設定
したから、X線吸収体パターンの膜厚が薄くても、充分
にコントラストが高く、かつ熱的特性にも優れ、微細パ
ターンを形成することができる効果を奏する。
As described above, according to the present invention, the X-ray absorber pattern formed on the mask substrate is used for X-ray absorption.
A substance having a low refractive index property and a substance having a high refractive index property with respect to a line are alternately laminated, and the thicknesses of the substance having the low refractive index property and the material having the high refractive index property are Since the reflection waves of X-rays are set to strengthen each other at the boundaries of each other, even if the film thickness of the X-ray absorber pattern is thin, the contrast is sufficiently high and the thermal characteristics are excellent, and fine patterns can be formed. There is an effect that can be formed.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例を示す、X線露光用マスクの
吸収体パターン構造を示す断面図。
FIG. 1 is a sectional view showing an absorber pattern structure of an X-ray exposure mask, showing an embodiment of the present invention.

【図2】同実施例の、X線露光用マスクの作用を模式的
に示す図。
FIG. 2 is a diagram schematically showing the action of an X-ray exposure mask of the same embodiment.

【図3】本発明の従来例を示す、X線露光用マスクの断
面図。
FIG. 3 is a cross-sectional view of an X-ray exposure mask showing a conventional example of the present invention.

【図4】さらに他の従来例を示す、X線露光用マスクの
断面図。
FIG. 4 is a cross-sectional view of an X-ray exposure mask showing still another conventional example.

【図5】従来の吸収体多層膜構造と、本発明一実施例の
吸収体多層膜構造のX線反射率比較特性図。
FIG. 5 is an X-ray reflectance comparison characteristic diagram of a conventional absorber multilayer film structure and an absorber multilayer film structure of one embodiment of the present invention.

【符号の説明】[Explanation of symbols]

20…マスク基板、1…X線吸収体パターン、10…低
屈折率物質、11…高屈折率物質。
20 ... Mask substrate, 1 ... X-ray absorber pattern, 10 ... Low refractive index material, 11 ... High refractive index material.

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】マスク基板上にX線吸収体パターンを形成
してなるX線露光用マスクにおいて、上記X線吸収体パ
ターンは、使用X線に対して低屈折率の性質を持つ物質
と、高屈折率の性質を持つ物質とを交互に積層し、これ
ら低屈折率の性質を持つ物質と高屈折率の性質を持つ物
質の厚さは、互いの境界においてX線の反射波を互いに
強め合うように設定されることを特徴とするX線露光用
マスク。
1. An X-ray exposure mask comprising an X-ray absorber pattern formed on a mask substrate, wherein the X-ray absorber pattern comprises a substance having a low refractive index with respect to X-rays used. The materials having a high refractive index and the materials having a high refractive index are laminated alternately, and the thicknesses of the material having a low refractive index and the material having a high refractive index are such that the reflected waves of X-rays are mutually strengthened at their boundaries. An X-ray exposure mask, which is set to match.
【請求項2】上記低屈折率の性質を持つ物質は、オスミ
ウム(Os)、イリジウム(Ir)、レニウム(R
e)、白金(Pt)、金(Au)、タングステン
(W)、ルテニウム(Ru)、ロジウム(Rh)のうち
のいずれかを選択し、上記高屈折率の性質を持つ物質
は、リチウム(Li)、マグネシウム(Mg)、カルシ
ウム(Ca)、ストロンチウム(Sr)、けい素(S
i)、アルミニウム(Al)、ほう素(B)、炭素
(C)のいずれか、もしくはこれらの物質を含む化合物
のいずれかを選択することを特徴とする請求項1記載の
X線露光用マスク。
2. The substance having a low refractive index is osmium (Os), iridium (Ir), rhenium (R).
e), platinum (Pt), gold (Au), tungsten (W), ruthenium (Ru), and rhodium (Rh), the substance having the high refractive index is lithium (Li ), Magnesium (Mg), calcium (Ca), strontium (Sr), silicon (S
2. The mask for X-ray exposure according to claim 1, wherein any one of i), aluminum (Al), boron (B), carbon (C), or a compound containing these substances is selected. ..
JP8207192A 1992-04-03 1992-04-03 Mask for exposure to x-ray Pending JPH05283322A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8207192A JPH05283322A (en) 1992-04-03 1992-04-03 Mask for exposure to x-ray

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8207192A JPH05283322A (en) 1992-04-03 1992-04-03 Mask for exposure to x-ray

Publications (1)

Publication Number Publication Date
JPH05283322A true JPH05283322A (en) 1993-10-29

Family

ID=13764256

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8207192A Pending JPH05283322A (en) 1992-04-03 1992-04-03 Mask for exposure to x-ray

Country Status (1)

Country Link
JP (1) JPH05283322A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374923B1 (en) * 2011-03-03 2014-03-14 호야 가부시키가이샤 Photomask and fabrication method therefor, pattern transfer method, and pellicle
KR101385213B1 (en) * 2012-07-04 2014-04-14 주식회사 창강화학 Optical mask and laser induced thermal imaging apparatus comprising the same
JP2022521769A (en) * 2019-03-01 2022-04-12 アプライド マテリアルズ インコーポレイテッド Extreme UV mask blank with multi-layer absorber and manufacturing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101374923B1 (en) * 2011-03-03 2014-03-14 호야 가부시키가이샤 Photomask and fabrication method therefor, pattern transfer method, and pellicle
KR101385213B1 (en) * 2012-07-04 2014-04-14 주식회사 창강화학 Optical mask and laser induced thermal imaging apparatus comprising the same
JP2022521769A (en) * 2019-03-01 2022-04-12 アプライド マテリアルズ インコーポレイテッド Extreme UV mask blank with multi-layer absorber and manufacturing method

Similar Documents

Publication Publication Date Title
US6833223B2 (en) Multilayer-film reflective mirrors and optical systems comprising same
JP3047541B2 (en) Reflective mask and defect repair method
JP4390683B2 (en) Optical element, lithographic apparatus including such an optical element, and device manufacturing method
JP2005515448A (en) Protective layer for multilayer bodies exposed to hard X-rays
JP4144301B2 (en) MULTILAYER REFLECTOR, REFLECTIVE MASK, EXPOSURE APPARATUS AND REFLECTIVE MASK MANUFACTURING METHOD
JP2883100B2 (en) Half mirror or beam splitter for soft X-ray and vacuum ultraviolet
JPH0727198B2 (en) Multi-layer reflective mask
JPH05283322A (en) Mask for exposure to x-ray
JPH01175736A (en) Reflective mask
JP2723955B2 (en) Multilayer reflector for soft X-ray and vacuum ultraviolet
JPS6388502A (en) Reflection mirror consisting of multi-layered film for soft x-ray and vacuum ultraviolet ray
JP2001027699A (en) Multi-layer film reflecting mirror and reflecting optical system
US11385536B2 (en) EUV mask blanks and methods of manufacture
JPH0634107B2 (en) Multi-layer film mirror for X-ray
JP4343895B2 (en) Multilayer mirror for soft X-ray
JP2742122B2 (en) Illumination system and X-ray exposure apparatus
EP1530222B1 (en) Optical element lithographic apparatus such optical element and device manufacturing method
JPH01175735A (en) Reflective mask and its manufacture
JPH01187818A (en) Reflection type mask
JPH01175734A (en) Reflective mask and its manufacture
JP3065706B2 (en) Multilayer reflector and optical device having the multilayer reflector
JPH1031096A (en) Half mirror type filter for x-ray and wave length selecting method using it
JPH07280999A (en) Multilayer film x-ray reflector
JP2675263B2 (en) Exposure apparatus and exposure method using reflective mask
JP3648791B2 (en) Manufacturing method of multilayer mirror