JPH05144941A - Data treatment device - Google Patents

Data treatment device

Info

Publication number
JPH05144941A
JPH05144941A JP3303076A JP30307691A JPH05144941A JP H05144941 A JPH05144941 A JP H05144941A JP 3303076 A JP3303076 A JP 3303076A JP 30307691 A JP30307691 A JP 30307691A JP H05144941 A JPH05144941 A JP H05144941A
Authority
JP
Japan
Prior art keywords
parasitic element
data
parasitic
extracting
mask pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3303076A
Other languages
Japanese (ja)
Inventor
Toshiaki Shimizu
俊昭 清水
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP3303076A priority Critical patent/JPH05144941A/en
Publication of JPH05144941A publication Critical patent/JPH05144941A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PURPOSE:To extract parasitic resistance such as a wiring from a mask pattern data accurately, to appreciate and judge a value of a parasitic element from relation between the extracted parasitic element and a driving element, to decide a model of a parasitic element, to enable preparation of optimum simulation net list and to minimize intervention of man power. CONSTITUTION:A data extracting means 3 extracts necessary data from mask pattern data 1 according to designated extraction conditions 2, a parasitic element extracting means 4 extracts parasitic resistance, a judging means 5 appreciates and judges a value of a parasitic element from relation between a parasitic element and a driving element to decide a parasitic element model and a result output means 6 prepares an optimum simulation net list 7.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は、LSI設計におい
て、設計されたマスクパターンが正常に動作するかを評
価するためのデータ処理装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a data processing device for evaluating whether or not a designed mask pattern operates normally in LSI design.

【0002】[0002]

【従来の技術】図13は、従来のデータ処理装置を示す
ブロック図であり、図において、1はマスクパターンデ
ータ、15はマスクパターンデータに対応する回路図デ
ータ、16は配線等の寄生抵抗、寄生容量を抽出する寄
生素子パラメータ抽出手段、17は回路図データ15よ
り回路接続データを抽出する回路接続データ抽出手段、
18は上記寄生素子パラメータ抽出手段16より得られ
た寄生データから必要なもののみを抽出し上記回路接続
データ抽出手段17より得られたデータに付け加えてシ
ミュレーション用入力データを作成するシミュレーショ
ン用入力データ作成手段、19は上記シミュレーション
用入力データ作成手段18より得られたシミュレーショ
ン用入力データである。
2. Description of the Related Art FIG. 13 is a block diagram showing a conventional data processing apparatus. In the figure, 1 is mask pattern data, 15 is circuit diagram data corresponding to the mask pattern data, 16 is parasitic resistance such as wiring, Parasitic element parameter extracting means for extracting parasitic capacitance, 17 is circuit connection data extracting means for extracting circuit connection data from the circuit diagram data 15,
Reference numeral 18 is a simulation input data creation for extracting only necessary data from the parasitic data obtained by the parasitic element parameter extraction means 16 and adding it to the data obtained by the circuit connection data extraction means 17 to create simulation input data. Means 19 are simulation input data obtained from the simulation input data creating means 18.

【0003】従来、設計されたマスクパターンが正常に
動作するかを評価する場合、シミュレーション実行によ
る方法がとられている。従来のデータ処理装置は、あら
かじめ回路図データ15より回路接続データ抽出手段1
7にて回路接続データを抽出しておき、マスクパターン
データ1より寄生素子パラメータ抽出手段16にて配線
等の寄生抵抗、寄生容量を抽出し、必要なもののみをあ
らかじめ抽出しておいた回路接続データに付加してシミ
ュレーション用入力データ18を作成する。その際、寄
生素子のモデルは、人がその寄生素子値と駆動素子値と
の関係から判断し決定していた。
Conventionally, in order to evaluate whether or not a designed mask pattern operates normally, a method of executing simulation has been used. In the conventional data processing device, the circuit connection data extracting means 1 is previously extracted from the circuit diagram data 15.
Circuit connection data is extracted at 7 and parasitic resistance and parasitic capacitance such as wiring are extracted at the parasitic element parameter extraction means 16 from the mask pattern data 1 so that only necessary components are extracted in advance. Input data for simulation 18 is created by adding to the data. At that time, the model of the parasitic element was determined by a person by judging from the relationship between the parasitic element value and the driving element value.

【0004】[0004]

【発明が解決しようとする課題】従来のデータ処理装置
では、配線等の寄生抵抗の正確な抽出には問題があり、
寄生素子を含んだシミュレーション用最適ネットリスト
の作成においては、人手の介入が必要であった。また、
クリティカルネットを検出する場合も試行錯誤的に行わ
れていた。
In the conventional data processing device, there is a problem in accurately extracting the parasitic resistance of wiring or the like.
Human intervention was required to create the optimal netlist for simulation including parasitic elements. Also,
Detection of a critical net has also been carried out by trial and error.

【0005】この発明は、上記のような問題点を解消す
るためになされたもので、配線等の寄生抵抗を正確に抽
出し、動作確認のための最適のシミュレーションが行な
えるデータ処理装置を提供することを目的としている。
また、この発明では、上記のような問題点を解消するた
めになされたもので、配線等の寄生抵抗を正確に抽出
し、抽出された寄生素子と駆動素子との関係からクリテ
ィカルネットを検出し各種の図上に表示するデータ処理
装置を提供することを目的としている。
The present invention has been made in order to solve the above problems, and provides a data processing device capable of accurately extracting parasitic resistances of wirings and the like and performing optimum simulation for operation confirmation. The purpose is to do.
Further, the present invention has been made in order to solve the above problems, and accurately extracts the parasitic resistance of the wiring, etc., and detects the critical net from the relationship between the extracted parasitic element and the driving element. It is an object of the present invention to provide a data processing device for displaying on various drawings.

【0006】[0006]

【課題を解決するための手段】請求項1記載の発明に係
るデータ処理装置は、たとえば、配線等の寄生抵抗を正
確に抽出するための寄生素子抽出手段を備えるととも
に、抽出された寄生素子と駆動素子との関係から寄生素
子のモデルを決定し、シミュレーションによる動作確認
のための最適ネットリストを出力するものであり、以下
の要素を有する物である。 (a)マスクパターンデータより所定の抽出条件に従っ
て必要なデータを抽出するデータ抽出手段、(b)抽出
されたデータより寄生素子を認識し、認識された寄生素
子の抵抗値を抽出する寄生素子抽出手段、(c)寄生素
子とその寄生素子を駆動する駆動素子の関係より、寄生
素子の抵抗値を評価判定する判定手段、(d)上記判定
手段による評価判定結果に従って、所定の形式の出力を
行なう結果出力手段。
A data processing apparatus according to a first aspect of the present invention is provided with, for example, a parasitic element extracting means for accurately extracting a parasitic resistance of a wiring and the like, and It determines the model of the parasitic element from the relationship with the driving element and outputs the optimum netlist for confirming the operation by simulation, and has the following elements. (A) Data extraction means for extracting necessary data from the mask pattern data according to a predetermined extraction condition, (b) Parasitic element extraction for recognizing a parasitic element from the extracted data and extracting a resistance value of the recognized parasitic element Means, (c) determination means for evaluating and determining the resistance value of the parasitic element based on the relationship between the parasitic element and the driving element for driving the parasitic element, and (d) an output in a predetermined format according to the evaluation / judgment result by the determining means. Result output means to perform.

【0007】請求項2記載の発明に係るデータ処理装
置、寄生素子抽出手段が少なくとも以下の手段をひとつ
以上有するものである。 (a)配線部分の抵抗を抽出する手段、(b)コンタク
ト部分の抵抗を抽出する手段、(c)拡散部分の抵抗を
抽出する手段、
The data processing device and the parasitic element extracting means according to the second aspect of the present invention include at least one or more of the following means. (A) means for extracting the resistance of the wiring portion, (b) means for extracting the resistance of the contact portion, (c) means for extracting the resistance of the diffusion portion,

【0008】請求項3記載の発明に係るデータ処理装置
は、配線等の寄生抵抗を正確に抽出するための寄生素子
抽出手段を備えるとともに、抽出された寄生素子と駆動
素子との関係からクリティカルネットを検出し、最適ネ
ットリストを出力するものである。あるいは、マスクパ
ターン図上にクリティカルネットを表示するものであ
る。あるいは、回路図上にクリティカルネットを表示す
るものである。あるいは、各ノードごとに寄生素子回路
図を作成し、ユーザの指定により画面表示するものであ
る。
A data processing apparatus according to a third aspect of the present invention includes a parasitic element extracting means for accurately extracting a parasitic resistance of a wiring and the like, and a critical net from the relationship between the extracted parasitic element and the driving element. Is detected and the optimum netlist is output. Alternatively, the critical net is displayed on the mask pattern diagram. Alternatively, the critical net is displayed on the circuit diagram. Alternatively, a parasitic element circuit diagram is created for each node and displayed on the screen according to the user's designation.

【0009】[0009]

【作用】請求項1記載の発明において、寄生素子抽出手
段は、配線等の寄生抵抗を正確に抽出し、さらに判定手
段にて、寄生素子と駆動素子との関係から寄生素子モデ
ルを決定し、シミュレーション用ネットリストを生成す
るので、最適のネットリストが自動的に作成され、人手
の介入を最小限に抑えることができる。
In the invention of claim 1, the parasitic element extracting means accurately extracts the parasitic resistance of the wiring and the like, and the determining means further determines the parasitic element model from the relationship between the parasitic element and the driving element, Since the simulation netlist is generated, the optimum netlist is automatically created and human intervention can be minimized.

【0010】請求項2記載の発明において、寄生素子抽
出手段は、配線部分とコンタクト部分と拡散部分を区別
して抵抗を求めるので、各部分の寄生抵抗値がより正確
に求まる。
According to the second aspect of the present invention, the parasitic element extracting means obtains the resistance by distinguishing the wiring portion, the contact portion and the diffusion portion, so that the parasitic resistance value of each portion can be obtained more accurately.

【0011】請求項3記載の発明において、出力結果手
段は、各情報をリストや図で出力するため、結果が一目
でわかり、作業性が向上する。
In the invention according to claim 3, the output result means outputs each information as a list or a diagram, so that the result can be seen at a glance and the workability is improved.

【0012】[0012]

【実施例】実施例1.以下、請求項1、2、3記載の発
明の一実施例を図について説明する。図1は本発明に係
るデータ処理装置の構成を示すブロック図である。図に
おいて、1はマスクパターンデータ、2はマスクパター
ンデータから必要データを抽出するための抽出条件、3
は指定された抽出条件に従ってデータ抽出するためのデ
ータ抽出手段、4はデータ抽出手段3により抽出された
データから寄生抵抗を抽出するための寄生素子抽出手
段、5は、抽出された寄生素子と駆動素子の関係から寄
生素子の値を評価・判定する判定手段、6は評価・判定
結果に従って最適のシミュレーション用ネットリスト7
を生成する結果出力手段である。
EXAMPLES Example 1. An embodiment of the invention described in claims 1, 2 and 3 will be described below with reference to the drawings. FIG. 1 is a block diagram showing the configuration of a data processing device according to the present invention. In the figure, 1 is mask pattern data, 2 is extraction conditions for extracting necessary data from the mask pattern data, 3
Is data extraction means for extracting data according to specified extraction conditions, 4 is parasitic element extraction means for extracting parasitic resistance from the data extracted by the data extraction means 3, and 5 is driving with the extracted parasitic elements. Judgment means for evaluating / judging the value of the parasitic element from the relationship of the elements, 6 is an optimum simulation netlist 7 according to the evaluation / judgment result
Is a result output means for generating.

【0013】まず、図1に示すブロック図を用いて動作
について説明する。データ抽出手段3が指定された抽出
条件2に従ってマスクパターンデータデータ1より必要
データを抽出し、寄生素子抽出手段4にて、寄生抵抗を
抽出し、その結果をもとに判定手段5にて、寄生素子と
駆動素子の関係から寄生素子の値を評価・判定して寄生
素子のモデルを決定し、結果出力手段7にて最適のシミ
ュレーション用ネットリスト7を生成する。
First, the operation will be described with reference to the block diagram shown in FIG. The data extraction unit 3 extracts necessary data from the mask pattern data 1 according to the specified extraction condition 2, the parasitic element extraction unit 4 extracts the parasitic resistance, and the determination unit 5 based on the result, The value of the parasitic element is evaluated and judged from the relationship between the parasitic element and the driving element to determine the model of the parasitic element, and the result output means 7 generates the optimum simulation netlist 7.

【0014】次に寄生素子抽出手段4及び判定手段5の
動作について図2のフローチャートに従い、図3〜9の
マスクパターン図を用いて詳細に説明する。処理は、配
線ポリゴンの寄生抵抗抽出、コンタクト抵抗抽出、MO
Sトランジスタの拡散抵抗抽出、評価・判定の順で行
う。まず、データ抽出手段3にて抽出されたデータをも
とに、配線ポリゴンデータ(図4)について、ポリゴン
データの各辺とデバイスおよびコンタクトとの接する部
分を求め、ポリゴンデータとデバイスあるいはコンタク
トを関係づける(S1、S2)。次に、接続関係の取り
終わった配線ポリゴンデータを矩形分割する(S3〜S
10)。以下に矩形分割方法をアルミ配線データ(図
5)を用いて説明する。まず、図6に示すようにX軸に
平行な線分で分割し(S3)、さらにY軸に平行な線分
で分割する(S4)。分割されたポリゴンの中で矩形の
コーナポリゴンを捜し、各辺に接する別ポリゴンの辺を
コーナポリゴンの中点まで補正し(図7、S5)、その
交点に新しいノード番号を付加する(S6)。次に斜め
線分をもつポリゴンが存在すれば(S7)、そのポリゴ
ンに対し斜め線分で分割し(図8、S8)、分割された
ポリゴンの中でコーナポリゴンが存在すれば、それを上
記コーナポリゴン同様に各辺に接する別ポリゴンの辺を
コーナポリゴンの中点まで補正し(図9、S9)、その
交点に新たなノード番号を付加する(S10)。上記矩
形分割の終った配線ポリゴンデータの抵抗値を求める
(S11)。次にコンタクトポリゴン(図3のch1、
ch2)について、ポリゴンデータの面積を抽出し(S
12)、コンタクト抵抗値を求める。次にデバイスのソ
ース/ドレイン拡散につながるコンタクト(図3のch
3)を捜し、デバイスとの接続関係をとる(S14)。
次にMOSトランジスタのソース/ドレイン拡散のシー
ト抵抗係数をデバイスのチャネル幅及びデバイスとコン
タクトの距離から計算する(S15)。次に求めた寄生
抵抗素子の直列/並列処理を行い、処理後の寄生素子に
ついて、駆動素子との関係よりその値を評価し、RCモ
デルを決定する。
Next, the operations of the parasitic element extraction means 4 and the determination means 5 will be described in detail with reference to the flowchart of FIG. 2 and the mask pattern diagrams of FIGS. The processing is extraction of parasitic resistance of wiring polygon, extraction of contact resistance, MO
The diffusion resistance of the S transistor is extracted, and then evaluation and determination are performed in this order. First, on the basis of the data extracted by the data extracting means 3, in the wiring polygon data (FIG. 4), the contact portion between each side of the polygon data and the device or contact is obtained, and the polygon data and the device or contact are related to each other. (S1, S2). Next, the wiring polygon data for which the connection relationship has been taken is divided into rectangles (S3 to S).
10). The rectangular division method will be described below with reference to aluminum wiring data (FIG. 5). First, as shown in FIG. 6, it is divided by a line segment parallel to the X axis (S3), and further divided by a line segment parallel to the Y axis (S4). A rectangular corner polygon is searched for in the divided polygons, and the side of another polygon that is in contact with each side is corrected to the midpoint of the corner polygon (FIG. 7, S5), and a new node number is added to the intersection (S6). .. Next, if there is a polygon having an oblique line segment (S7), the polygon is divided by an oblique line segment (S8 in FIG. 8), and if a corner polygon exists in the divided polygons, it is described above. Similarly to the corner polygon, the side of another polygon that is in contact with each side is corrected to the midpoint of the corner polygon (S9 in FIG. 9), and a new node number is added to the intersection (S10). The resistance value of the wiring polygon data after the above rectangular division is obtained (S11). Next, contact polygons (ch1 in FIG. 3,
For ch2), the area of the polygon data is extracted (S
12) Find the contact resistance value. Next, contacts (channels in FIG. 3) that lead to device source / drain diffusion.
3) is searched for to establish a connection relationship with the device (S14).
Next, the sheet resistance coefficient of the source / drain diffusion of the MOS transistor is calculated from the channel width of the device and the distance between the device and the contact (S15). Next, series / parallel processing of the obtained parasitic resistance element is performed, and the value of the processed parasitic element is evaluated from the relationship with the driving element to determine the RC model.

【0015】実施例2.以下、請求項3記載の発明の他
の実施例を図について説明する。図10は本実施例に係
るデータ処理装置の構成を示すブロック図であり、図1
に示した実施例と同一または相当部分には同一符号を用
い、その説明は省略する。図において、8は判定手段の
結果をもとにクリティカルネットをマスクパターン図上
に表示するためのマスクパターン図上クリティカルネッ
ト表示手段、9は上記8の表示手段にて表示されたマス
クパターン図である。
Example 2. Hereinafter, another embodiment of the invention described in claim 3 will be described with reference to the drawings. FIG. 10 is a block diagram showing the configuration of the data processing apparatus according to this embodiment.
The same reference numerals are used for the same or corresponding parts as in the embodiment shown in FIG. In the drawing, 8 is a critical net display means on the mask pattern diagram for displaying a critical net on the mask pattern diagram based on the result of the determination means, and 9 is a mask pattern diagram displayed by the display means 8 described above. is there.

【0016】次に本実施例のデータ処理装置の動作につ
いて説明する。尚、前記実施例1の項で記述した内容と
重複するものについての説明は省略し、新たに追加した
手段についてのみ説明する。判定手段5にて評価・判定
された結果をもとに、マスクパターン図上クリティカル
ネット表示手段にてマスクパターン図上にクリティカル
ネットを人が見てわかるように点滅表示する。以上のよ
うに、この実施例によれば、マスクパターン図上クリテ
ィカルネット表示手段が、クリティカルネットをマスク
パターン図上に表示し、警告を与えることにより、回路
の設計品質を向上することができる。
Next, the operation of the data processing apparatus of this embodiment will be described. It should be noted that the description of the same contents as those described in the section of the first embodiment will be omitted, and only the newly added means will be described. Based on the result of evaluation / judgment by the judging means 5, the critical net is displayed on the mask pattern diagram in a blinking manner on the mask pattern diagram so that a person can see it. As described above, according to this embodiment, the critical net display unit on the mask pattern diagram displays the critical net on the mask pattern diagram and gives a warning, thereby improving the design quality of the circuit.

【0017】実施例3.以下、請求項3記載の発明の他
の実施例を図について説明する。図11は本実施例に係
るデータ処理装置の構成を示すブロック図であり、図1
に示した実施例と同一または相当部分には同一符号を用
い、その説明は省略する。図において、10は判定手段
の結果をもとにクリティカルネットをマスクパターン図
上に表示するための回路図上クリティカルネット表示手
段、11は上記回路図上クリティカルネット表示手段1
0にて表示された回路図である。
Embodiment 3. Hereinafter, another embodiment of the invention described in claim 3 will be described with reference to the drawings. 11 is a block diagram showing the configuration of the data processing apparatus according to the present embodiment.
The same reference numerals are used for the same or corresponding parts as in the embodiment shown in FIG. In the figure, reference numeral 10 is a circuit diagram critical net display means for displaying a critical net on a mask pattern diagram based on the result of the determination means, and 11 is the circuit diagram critical net display means 1 described above.
It is a circuit diagram displayed by 0.

【0018】次に本実施例のデータ処理装置の動作につ
いて説明する。尚、前記実施例1の項で記述した内容と
重複するものについての説明は省略し、新たに追加した
手段についてのみ説明する。判定手段5にて評価・判定
された結果をもとに、回路図上クリティカルネット表示
手段にて回路図上にクリティカルネットを人が見てわか
るように点滅表示する。以上のように、この実施例によ
れば、回路図上クリティカルネット表示手段がクリティ
カルネットを回路図上に表示し、警告を与えることによ
り、回路の設計品質を向上することができる。
Next, the operation of the data processing apparatus of this embodiment will be described. It should be noted that the description of the same contents as those described in the section of the first embodiment will be omitted, and only the newly added means will be described. Based on the result evaluated / determined by the determination means 5, the critical net is displayed on the circuit diagram in a blinking manner on the circuit diagram so that a person can see it. As described above, according to this embodiment, the design quality of the circuit can be improved by the critical net display means on the circuit diagram displaying the critical net on the circuit diagram and giving a warning.

【0019】実施例4.以下、請求項3記載の発明の他
の実施例を図について説明する。図12は本実施例に係
るデータ処理装置の構成を示すブロック図であり、図1
に示した実施例と同一または相当部分には同一符号を用
い、その説明は省略する。図において、12は判定手段
の結果をもとに各ノードごとに寄生素子回路図を作成す
るための寄生素子回路作成手段、13は回路図上で指定
されたノードの寄生素子回路図を表示するための寄生素
子回路図表示手段、14は上記表示手段にて表示された
回路図である。
Example 4. Hereinafter, another embodiment of the invention described in claim 3 will be described with reference to the drawings. FIG. 12 is a block diagram showing the configuration of the data processing apparatus according to this embodiment.
The same reference numerals are used for the same or corresponding parts as in the embodiment shown in FIG. In the figure, 12 is a parasitic element circuit creating means for creating a parasitic element circuit diagram for each node based on the result of the judging means, and 13 is a parasitic element circuit diagram of a node designated on the circuit diagram. Parasitic element circuit diagram display means for, 14 is a circuit diagram displayed by the display means.

【0020】次に本実施例のデータ処理装置の動作につ
いて説明する。尚、前記実施例1の項で記述した内容と
重複するものについての説明は省略し、新たに追加した
手段についてのみ説明する。判定手段5にて評価・判定
された結果をもとに、各ノードごとに寄生素子回路作成
手段12にて寄生素子回路図を作成する。次に回路図の
各ノードごとに作成した寄生素子回路図との対応をとる
ための属性を付加する。次にユーザにより見たいノード
の指定を回路図上で行うことによって、寄生素子回路図
表示手段13にて指定されたノードの寄生素子回路図を
画面に表示する。以上のように、この実施例によれば、
寄生素子回路作成手段及び寄生素子回路図表示手段に
て、各ノードごとに寄生素子回路図を表示できるように
したので、回路の寄生素子情報を一目でわかり、設計品
質向上に役立てることができる。
Next, the operation of the data processing apparatus of this embodiment will be described. It should be noted that the description of the same contents as those described in the section of the first embodiment will be omitted, and only the newly added means will be described. Based on the result evaluated / determined by the determination means 5, the parasitic element circuit creation means 12 creates a parasitic element circuit diagram for each node. Next, an attribute is added to correspond to the parasitic element circuit diagram created for each node of the circuit diagram. Then, the user designates the desired node on the circuit diagram to display the parasitic element circuit diagram of the node designated by the parasitic element circuit diagram display means 13 on the screen. As described above, according to this embodiment,
Since the parasitic element circuit diagram can be displayed for each node by the parasitic element circuit creation means and the parasitic element circuit diagram display means, the parasitic element information of the circuit can be understood at a glance and can be used for improving the design quality.

【0021】[0021]

【発明の効果】以上のように、請求項1、2記載の発明
によれば、寄生素子抽出手段を備えたため、寄生抵抗を
正確に抽出することができ、さらにその抽出結果と駆動
素子との関係から寄生素子値を評価し、寄生素子モデル
を決定してシミュレーション用ネットリストを生成する
ようにしたので、最適のネットリストの作成が行え、人
手の介入を最小限に抑えることができる。また、最適の
ネットリスト作成によりシミュレーションの精度向上が
図れ、しいては設計品質の向上を図ることができる。
As described above, according to the first and second aspects of the present invention, since the parasitic element extracting means is provided, the parasitic resistance can be accurately extracted, and the extraction result and the driving element are combined. Since the parasitic element value is evaluated from the relationship and the parasitic element model is determined and the simulation netlist is generated, the optimum netlist can be created and human intervention can be minimized. Further, the accuracy of the simulation can be improved by creating the optimum netlist, which in turn can improve the design quality.

【0022】以上のように、請求項3記載の発明によれ
ば、図上でのビジブル表示が可能となり、問題になりそ
うな箇所が一目でわかり、設計品質の向上に役立つ。
As described above, according to the third aspect of the present invention, the visible display on the drawing is possible, the problematic portion can be seen at a glance, and the design quality can be improved.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例によるデータ処理装置の構成
を示すブロック図である。
FIG. 1 is a block diagram showing a configuration of a data processing device according to an embodiment of the present invention.

【図2】本発明の一実施例によるデータ処理装置におけ
る寄生素子抽出手段及び判定手段の処理を示すフローチ
ャート図である。
FIG. 2 is a flow chart diagram showing processing of a parasitic element extraction means and a determination means in the data processing device according to the embodiment of the present invention.

【図3】本発明の一実施例を説明するためのマスクパタ
ーン図である。
FIG. 3 is a mask pattern diagram for explaining one embodiment of the present invention.

【図4】本発明の一実施例を説明するための配線ポリゴ
ンの図である。
FIG. 4 is a diagram of wiring polygons for explaining an embodiment of the present invention.

【図5】本発明の一実施例を説明するためのアルミ配線
の図である。
FIG. 5 is a diagram of aluminum wiring for explaining an embodiment of the present invention.

【図6】本発明の一実施例を説明するためのアルミ配線
の矩形分割の図である。
FIG. 6 is a diagram of a rectangular division of aluminum wiring for explaining an embodiment of the present invention.

【図7】本発明の一実施例を説明するためのアルミ配線
の分解の図である。
FIG. 7 is an exploded view of the aluminum wiring for explaining the embodiment of the present invention.

【図8】本発明の一実施例を説明するためのアルミ配線
の斜め部分の分解の図である。
FIG. 8 is an exploded view of an oblique portion of the aluminum wiring for explaining the embodiment of the present invention.

【図9】本発明の一実施例を説明するためのアルミ配線
の分解の図である。
FIG. 9 is an exploded view of the aluminum wiring for explaining the embodiment of the present invention.

【図10】本発明の他の実施例によるデータ処理装置の
構成を示すブロック図である。
FIG. 10 is a block diagram showing a configuration of a data processing device according to another embodiment of the present invention.

【図11】本発明の他の実施例によるデータ処理装置の
構成を示すブロック図である。
FIG. 11 is a block diagram showing a configuration of a data processing device according to another embodiment of the present invention.

【図12】本発明の他の実施例によるデータ処理装置の
構成を示すブロック図である。
FIG. 12 is a block diagram showing a configuration of a data processing device according to another embodiment of the present invention.

【図13】従来のデータ処理装置の構成を示すブロック
図である。
FIG. 13 is a block diagram showing a configuration of a conventional data processing device.

【符号の説明】[Explanation of symbols]

1 マスクパターンデータ 2 抽出条件 3 データ抽出手段 4 寄生素子抽出手段 5 判定手段 6 結果出力手段 7 シミュレーション用ネットリスト 8 マスクパターン図上クリティカルネット表示手段 9 マスクパターン図 10 回路図上クリティカルネット表示手段 11 回路図 12 寄生素子回路作成手段 13 寄生素子回路図表示手段 14 寄生素子回路図 15 回路図データ 16 寄生素子パラメータ抽出手段 17 回路接続データ抽出手段 18 シミュレーション用入力データ作成手段 19 シミュレーション用入力データ 1 Mask Pattern Data 2 Extraction Condition 3 Data Extraction Means 4 Parasitic Element Extraction Means 5 Judgment Means 6 Result Output Means 7 Simulation Netlist 8 Critical Net Display Means on Mask Pattern Diagram 9 Mask Pattern Diagram 10 Critical Net Display Means on Circuit Diagram 11 Circuit diagram 12 Parasitic element circuit creating means 13 Parasitic element circuit diagram displaying means 14 Parasitic element circuit diagram 15 Circuit diagram data 16 Parasitic element parameter extracting means 17 Circuit connection data extracting means 18 Simulation input data creating means 19 Simulation input data

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 G06F 11/26 9290−5B 15/60 360 A 7922−5L ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 5 Identification code Office reference number FI Technical display location G06F 11/26 9290-5B 15/60 360 A 7922-5L

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 以下の要素を備えたデータ処理装置 (a)マスクパターンデータより所定の抽出条件に従っ
て必要なデータを抽出するデータ抽出手段、 (b)抽出されたデータより寄生素子を認識し、認識さ
れた寄生素子の抵抗値を抽出する寄生素子抽出手段、 (c)寄生素子とその寄生素子を駆動する駆動素子の関
係より、寄生素子の抵抗値を評価判定する判定手段、 (d)上記判定手段による評価判定結果に従って、所定
の形式の出力を行なう結果出力手段。
1. A data processing device comprising the following elements: (a) data extracting means for extracting necessary data from mask pattern data according to a predetermined extraction condition; (b) recognizing a parasitic element from the extracted data; Parasitic element extraction means for extracting the recognized resistance value of the parasitic element, (c) Judgment means for evaluating and judging the resistance value of the parasitic element from the relationship between the parasitic element and the driving element for driving the parasitic element, (d) Result outputting means for outputting in a predetermined format according to the evaluation judgment result by the judging means.
【請求項2】 上記寄生素子抽出手段は少なくとも以下
の要素のいずれかを有することを特徴とする請求項1記
載のデータ処理装置 (a)配線部分の抵抗を抽出する手段、 (b)コンタクト部分の抵抗を抽出する手段、 (c)拡散部分の抵抗を抽出する手段、
2. The data processor according to claim 1, wherein said parasitic element extracting means has at least one of the following elements: (a) means for extracting a resistance of a wiring portion; and (b) a contact portion. Means for extracting the resistance of (c) the resistance of the diffusion portion,
【請求項3】 上記結果出力手段は、少なくとも以下の
要素のいずれかを有することを特徴とする請求項1記載
のデータ処理装置 (a)判定手段による評価判定結果に従って、シミュレ
ーション用のネットリストを出力するネットリスト出力
手段、 (b)判定手段による評価判定結果に従って、回路の中
で影響を及ぼすと思われるノードを選びマスクパターン
上に表示するマスクパターン図上クリティカルネット表
示手段、 (c)判定手段による評価判定結果に従って、回路の中
で影響を及ぼすと思われるノードを選び回路図上に表示
する回路図上クリティカルネット表示手段、 (d)判定手段による評価判定結果に従って、各ノード
毎に寄生素子を回路図に逆変換して寄生素子回路図を表
示する寄生素子回路図表示手段。
3. The data output device according to claim 1, wherein said result output means has at least one of the following elements: (a) A netlist for simulation is created in accordance with the evaluation decision result by the decision means. Net list output means for outputting, (b) critical net display means on the mask pattern diagram for selecting a node that is considered to have an influence in the circuit and displaying it on the mask pattern in accordance with the evaluation determination result by the determination means, (c) determination A critical net display means on the circuit diagram for selecting a node which is considered to have an influence in the circuit according to the evaluation judgment result by the means, and (d) a parasitic net for each node according to the evaluation judgment result by the judgment means. Parasitic element circuit diagram display means for displaying the parasitic element circuit diagram by inversely converting the element into a circuit diagram.
JP3303076A 1991-11-19 1991-11-19 Data treatment device Pending JPH05144941A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3303076A JPH05144941A (en) 1991-11-19 1991-11-19 Data treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3303076A JPH05144941A (en) 1991-11-19 1991-11-19 Data treatment device

Publications (1)

Publication Number Publication Date
JPH05144941A true JPH05144941A (en) 1993-06-11

Family

ID=17916608

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3303076A Pending JPH05144941A (en) 1991-11-19 1991-11-19 Data treatment device

Country Status (1)

Country Link
JP (1) JPH05144941A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100411767B1 (en) * 2000-03-16 2003-12-24 엔이씨 일렉트로닉스 코포레이션 Circuit simulation method and system
KR101495986B1 (en) * 2012-04-13 2015-02-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Rc corner solutions for double patterning technology

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100411767B1 (en) * 2000-03-16 2003-12-24 엔이씨 일렉트로닉스 코포레이션 Circuit simulation method and system
KR101495986B1 (en) * 2012-04-13 2015-02-25 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Rc corner solutions for double patterning technology

Similar Documents

Publication Publication Date Title
JPH05144941A (en) Data treatment device
WO2018211546A1 (en) Screen test device and screen test program
JP2763453B2 (en) Circuit diagram creation apparatus and circuit diagram creation method
JPH11175580A (en) Integrated circuit designing device
JP2788804B2 (en) Element region extraction method
JPH07262257A (en) Layout verification device
JPH06337904A (en) Layout verifying device
JPH11249863A (en) Coordinate display device
JPH0799507B2 (en) Error display device
JP2776813B2 (en) Shape reduction display method
JPH04318634A (en) Program processor
JP2758732B2 (en) Graphic data output device
JPH10307860A (en) Circuit performance analyzer
JPH06333056A (en) Display device for tree structure having multiple inheritance
JP2720799B2 (en) Empty gate processing method in CAD input system for circuit diagram
JP2817845B2 (en) Graphic processing apparatus and method
JPH0855144A (en) Check system for interference between components
JP3075012B2 (en) Layout verification device and layout verification system
JPH05119762A (en) Text input system
JPH0737035A (en) Character recognizer
JPH05108742A (en) Circuit diagram input system
JPH05258012A (en) Rule checking device
JPH0442374A (en) Parasitic element display method
JPH0435042A (en) Method of back annotation
JPH0247787A (en) Expression recognizing device