JPH0294430A - Photo-assisted cvd apparatus - Google Patents

Photo-assisted cvd apparatus

Info

Publication number
JPH0294430A
JPH0294430A JP24382288A JP24382288A JPH0294430A JP H0294430 A JPH0294430 A JP H0294430A JP 24382288 A JP24382288 A JP 24382288A JP 24382288 A JP24382288 A JP 24382288A JP H0294430 A JPH0294430 A JP H0294430A
Authority
JP
Japan
Prior art keywords
chamber
film
substrate
light source
light
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP24382288A
Other languages
Japanese (ja)
Inventor
Yoshinori Iida
義典 飯田
Ryohei Miyagawa
良平 宮川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP24382288A priority Critical patent/JPH0294430A/en
Publication of JPH0294430A publication Critical patent/JPH0294430A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To control the formation speed of a film by using the same chamber by controlling the pressure of inert gas containing mercury vapor in a chamber accommodating a light source. CONSTITUTION:A substrate holder 3 mounting a substrate 2 composed of semiconductor substrates and a sample stand 4 are accommodated in a film formation chamber 1 of a photo-assisted CVD apparatus. The holder 3 is mounted on the sample stand 4 by a chuck 5. A heater 6 is installed in the inside of the sample stand 4. Further, in the film formation chamber 1, a heater 6 is arranged, material gas is introduced from a gas feeding part 7, and gas in the film formation chamber 1 is discharged by an exhaust pump 8. an accommodation chamber 14 accommodating a light source 13 constituted of a low pressure mercury lamp is installed in the lower part of the film formation chamber 1, and the light from the light source 13 is reflected by a reflection plate 15. Inert gas containing mercury vapor is introduced into the accommodation chamber 14 from a gas feeding part 30, and gas in the accommodation chamber 14 is discharged by the exhaust pump 12. The film formation chamber 1 and the accommodation chamber 14 are partitioned by a light introducing window of synthetic quartz plate.

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明は、光励起化学反応を利用して基板上に膜堆積を
行なう光CVD装置の改良に関する。
DETAILED DESCRIPTION OF THE INVENTION [Object of the Invention] (Industrial Application Field) The present invention relates to an improvement in a photo-CVD apparatus that deposits a film on a substrate using a photo-excited chemical reaction.

(従来の技術) 近年の半導体集積回路の進展に伴って、デバイスの微細
化、高集積化が要求されその実現のために低温かつイオ
ンダメージのない半導体プロセスである光励起プロセス
が注目されている。半導体エビキシャル成長、エツチン
グあるいは金属膜・絶縁膜・半導体膜の堆積などの集積
回路製作の要素技術に各種の光励起プロセスが取り込ま
れ始めている。光源には光子エネルギーの大きな紫外域
のエキシマレーザ−あるいは低圧水釦付を用いる。
(Prior Art) With the recent progress in semiconductor integrated circuits, miniaturization and high integration of devices are required, and to achieve this, a photoexcitation process, which is a semiconductor process that is performed at low temperature and is free from ion damage, is attracting attention. Various photoexcitation processes are beginning to be incorporated into the elemental technologies of integrated circuit fabrication, such as semiconductor epitaxial growth, etching, and deposition of metal, insulating, and semiconductor films. The light source uses an excimer laser in the ultraviolet range with high photon energy or a low-pressure water button.

低圧水銀灯を用いる場合、Hgを触媒に用いる水銀増感
法が良く知られている。
When using a low-pressure mercury lamp, a mercury sensitization method using Hg as a catalyst is well known.

本発明は上記の光プロセスのうち膜の堆積、即ち光CV
Dに関し、特に装置構成に関するものである。
The present invention focuses on film deposition among the above optical processes, that is, photoCVD.
Regarding D, this is particularly related to the device configuration.

光CVD装置の膜堆積速度は被堆積基板上の光強度、雰
囲気中の原料ガス濃度、および水銀増感法の場合は雰囲
気中の水銀濃度等により決まり、これらのパラメータを
最適化することで、水銀増感法においては、100人/
win以上の膜堆積速度を得ることが可能であり、装置
構造も膜堆積速度を最大とする構造となっている。
The film deposition rate of a photoCVD device is determined by the light intensity on the substrate to be deposited, the concentration of the source gas in the atmosphere, and in the case of mercury sensitization method, the mercury concentration in the atmosphere, etc. By optimizing these parameters, In the mercury sensitization method, 100 people/
It is possible to obtain a film deposition rate higher than win, and the device structure is also a structure that maximizes the film deposition rate.

一方、厚膜と薄膜の積層構造膜を形成する場合、薄膜形
成時には低速度の膜堆積により緻密な膜を形成すること
が必要であり、また堆積速度が大き過ぎれば薄膜の形成
時間が著しく短時間となり2その時間制御が困難な上膜
形成初期の光源の不安定さあるいは反応容器内のラジカ
ルの不安定さの影響も大きく膜厚制御性が著しく低下し
てしまう。
On the other hand, when forming a laminated structure film of thick and thin films, it is necessary to form a dense film by slow film deposition when forming the thin film, and if the deposition rate is too high, the time to form the thin film will be significantly shortened. The instability of the light source in the early stage of forming the upper film, which is difficult to control over time, or the instability of radicals in the reaction vessel have a large influence, and the controllability of the film thickness is significantly reduced.

したがって、薄膜形成時の膜厚制御性を向上するために
は薄膜形成時の堆積速度を低くすることが必要である。
Therefore, in order to improve the film thickness controllability during thin film formation, it is necessary to lower the deposition rate during thin film formation.

光CVDにおける膜堆積速度は、被堆積基板表面での光
強度、被堆積基板温度2反応容器内の原料ガス圧力、お
よび水銀増感法の場合は反応容器内の水銀濃度等に依存
している。このうち、被堆積基板温度1反応容器内の原
料ガス圧力を変えると膜堆積速度だけではなく堆積膜の
特性、たとえば水素化非晶質シリコンの場合、電子移動
度、ダングリングボンド密度等も変化するため、これら
の条件を変えることによる膜堆積速度の制御は困難であ
る。また被堆積基板表面での光強度は、たとえば低圧水
銀灯を光源とした場合、その光源の光強度は光源自身の
特性により固定的であり、外部からの印加電圧等により
変化させることはできず、また光源から被堆積基板まで
の距離は光VCD装置固有の量であり、またこれを可変
とするためには光CVD装置の構造が複雑化し現実的で
はない。また光源と被堆積基板との間に光学的フィルタ
ーを挿入する方法も考えられるが、たとえば低圧水銀灯
光源の場合、その発光ピークがある18501、および
254nmの光強度比を変えることなく両波長の光源度
を減衰するフィルターを得ることは困難である。さらに
、反応容器内の水銀内の水銀濃度の制御は原料ガス導入
配管の途中に設けた水銀蒸気発生器の温度を制御し水銀
蒸気圧を制御することで行なっているが、温度制御であ
るため、応答性を含めた制御性が悪い。
The film deposition rate in photoCVD depends on the light intensity on the surface of the substrate to be deposited, the temperature of the substrate to be deposited, the pressure of the raw material gas in the reaction vessel, and the mercury concentration in the reaction vessel in the case of the mercury sensitization method. . Among these, changing the temperature of the substrate to be deposited and the pressure of the source gas in the reaction vessel not only changes the film deposition rate but also the characteristics of the deposited film, such as electron mobility and dangling bond density in the case of hydrogenated amorphous silicon. Therefore, it is difficult to control the film deposition rate by changing these conditions. Furthermore, the light intensity on the surface of the substrate to be deposited, for example, when a low-pressure mercury lamp is used as a light source, the light intensity of the light source is fixed due to the characteristics of the light source itself, and cannot be changed by externally applied voltage, etc. Further, the distance from the light source to the substrate to be deposited is a quantity specific to the optical VCD apparatus, and making it variable would complicate the structure of the optical CVD apparatus and is not practical. Another method is to insert an optical filter between the light source and the substrate to be deposited. For example, in the case of a low-pressure mercury lamp light source, a light source of both wavelengths can be used without changing the light intensity ratio of 18501 nm and 254 nm, which have their emission peaks. It is difficult to obtain a filter that attenuates the intensity. Furthermore, the mercury concentration in the mercury in the reaction vessel is controlled by controlling the temperature of a mercury vapor generator installed in the middle of the raw material gas introduction piping and controlling the mercury vapor pressure. , controllability including responsiveness is poor.

(発明が解決しようとする課題) このように従来の光CVD装置においては、同一の反応
容器での膜堆積において膜堆積速度のみを制御すること
は困難であり、したがって厚膜と薄膜の積層構造膜を形
成する場合、薄膜形成時の膜厚制御が低下し、また薄膜
が緻密でなくなるという課題があった。
(Problems to be Solved by the Invention) As described above, in the conventional photo-CVD apparatus, it is difficult to control only the film deposition rate in film deposition in the same reaction vessel, and therefore, it is difficult to control only the film deposition rate when depositing a film in the same reaction vessel. When forming a film, there are problems in that film thickness control during thin film formation deteriorates and the thin film becomes less dense.

本発明は上記事情を考慮してなされたもので、その目的
とするところは、同一の反応容器での膜堆積において膜
堆積速度を容易に制御可能な光CVD装置を提供するこ
とにある。
The present invention has been made in consideration of the above circumstances, and its object is to provide a photo-CVD apparatus that can easily control the film deposition rate during film deposition in the same reaction vessel.

〔発明の構成〕[Structure of the invention]

(課題を解決するための手段) 本発明の骨子は、光CVD装置の光源が収容されている
光源収容差内を真空排気すると同時に光源収容案内へ水
銀蒸気を含む不活性ガスを導入し、光源収容室内の圧力
を制御することで光源収容室内の水銀濃度を制御し、こ
の水銀蒸気による光吸収を利用して被堆積基板表面の光
強度を制御し、膜堆積速度を制御可能にすることにある
(Means for Solving the Problems) The gist of the present invention is to evacuate the light source housing space in which the light source of a photoCVD apparatus is housed, and at the same time introduce an inert gas containing mercury vapor into the light source housing guide. By controlling the pressure inside the storage chamber, we can control the mercury concentration in the light source housing chamber, and use the light absorption by this mercury vapor to control the light intensity on the surface of the substrate to be deposited, making it possible to control the film deposition rate. be.

即ち、本発明は光励起化学反応を利用して基板上に膜堆
積を行なう光CVD装置において、光源が収容されてい
る光源収容室内を真空排気する手段と、光源収容室内へ
水銀蒸気を含む不活性ガスを導入する手段と光源収容室
内の圧力を制御する手段とを具備したものである。
That is, the present invention provides a means for evacuating a light source housing chamber in which a light source is housed, and an inert gas containing mercury vapor in the light source housing chamber, in a photo-CVD apparatus that deposits a film on a substrate using a photo-excited chemical reaction. It is equipped with means for introducing gas and means for controlling the pressure inside the light source housing chamber.

(作 用) 本発明によれば光源収容室内の水銀蒸気を含む不活性ガ
スの圧力を制御することにより、容易かつ制御性良く基
板上の膜堆積速度を制御することができる。
(Function) According to the present invention, by controlling the pressure of the inert gas containing mercury vapor in the light source housing chamber, the film deposition rate on the substrate can be controlled easily and with good controllability.

(実施例) 以下、本発明の詳細を図示の実施例によって説明する。(Example) Hereinafter, details of the present invention will be explained with reference to illustrated embodiments.

第1図は、本発明の第1の実施例に係わる光励起膜形成
装置を模式的に示す概略構成図である。
FIG. 1 is a schematic configuration diagram schematically showing a photoexcited film forming apparatus according to a first embodiment of the present invention.

図中1は膜形成室で、この膜形成室1内には例えば半導
体基板からなる基板2を載置した基板ホルダ;3及び試
料台4が収容されてよSす、基板ホルダ3はチャック5
により試料台4に装着される。試料台4の内部にはヒー
ター6が設けられている。
In the figure, reference numeral 1 denotes a film forming chamber, and a substrate holder 3 on which a substrate 2 made of, for example, a semiconductor substrate is placed, and a sample stage 4 are accommodated in the film forming chamber 1.
It is attached to the sample stage 4 by. A heater 6 is provided inside the sample stage 4 .

また、膜形成室1内には、ガス供給部7から原料ガスが
導入され、膜形成室1内のガスは排気ポンプ8により排
気されるようになっている。
Further, raw material gas is introduced into the film forming chamber 1 from a gas supply section 7, and the gas in the film forming chamber 1 is exhausted by an exhaust pump 8.

一方、膜形成室1の下部には、例えば低圧水銀ランプか
らなる光源13を収容する収容室14があり、光源から
の光を反射する反射する反射板15が内部に設けられて
いる。
On the other hand, in the lower part of the film forming chamber 1, there is a housing chamber 14 that houses a light source 13 made of, for example, a low-pressure mercury lamp, and a reflecting plate 15 that reflects light from the light source is provided inside.

収容室14にはガス供給部30から水銀蒸気を含んだ不
活性ガスが導入され収容室14内のガスは排気ポンプ1
2により排気されるようになっている。
Inert gas containing mercury vapor is introduced into the storage chamber 14 from the gas supply section 30, and the gas in the storage chamber 14 is pumped through the exhaust pump 1.
2, the air is exhausted.

膜形成室1と収容室14の間は、例えば合成石英板から
なる光導入窓で仕切られている。
The film forming chamber 1 and the storage chamber 14 are separated by a light introduction window made of, for example, a synthetic quartz plate.

次に、上記のごとく構成された本装置の作用について説
明する。
Next, the operation of the apparatus configured as described above will be explained.

まず、基板2を装着し膜形成室1内を排気ポンプにより
排気し、収容室14内にはガス供給部30から水銀蒸気
を含んだ不活性ガスを10100ESCCの流量で導入
しながら排気ポンプ12により収容室14内を数(To
rr)〜数十(Torr)の圧力にする。次いで供給系
7から原料ガスとして水銀を含んだ5il14ガス及び
C2H□ガスを膜形成室1内に各々流量10100(s
cC、10(SCCM)、圧力0.1(Torr)で導
入し、基板2番抵抗加熱等のヒーター6により250(
℃)に加熱する。低圧水銀ランプ12を点灯し波長25
4(nm)の紫外光を基板2の表面に照射することによ
り第1層としての薄膜形成を行なう。
First, the substrate 2 is attached and the inside of the film forming chamber 1 is evacuated by the exhaust pump, and an inert gas containing mercury vapor is introduced into the storage chamber 14 from the gas supply section 30 at a flow rate of 10,100 ESCC, and the exhaust pump 12 is used to evacuate the inside of the film forming chamber 1. The inside of the containment room 14 is numbered (To
rr) to several tens of Torr. Next, 5il14 gas and C2H□ gas containing mercury were supplied as raw material gases from the supply system 7 into the film forming chamber 1 at a flow rate of 10100 (s).
cC, 10 (SCCM), pressure 0.1 (Torr), and heated to 250 (
Heat to ℃). Turn on the low pressure mercury lamp 12 and set the wavelength to 25.
A thin film as a first layer is formed by irradiating the surface of the substrate 2 with ultraviolet light of 4 (nm).

第1層の膜形成が完了した後、第2層の厚膜形成を行な
う。まず第1層の膜形成にて使用した原料ガスを膜形成
室1から除くために膜形成室1内を排気ポンプ8により
5 X 10”” (Torr)以下まで排気する。収
容室14内も第1層の膜形成にて導入した水銀蒸気を除
くために排気ポンプ12により数があって(mTorr
)以下まで排気する。その後ガス供給部30から不活性
ガスのみを収容差14内に導入しながら、排気ポンプに
より収容室14内を数(Torr)〜数十(Torr)
の圧力にする。次いでガス供給系7から原料ガスとして
水銀を含んだSiH4ガスを膜形成室1内に流量101
00(SCC圧力0.5(Torr)で導入し、基板2
を抵抗加熱等のヒーター6により250〔℃〕に加熱す
る。低圧水銀ランプ12を点灯し波長254[nm]、
185(nm)の紫外光を基板2の表面に照射すること
により第2I4の厚膜形成を行なう。
After the formation of the first layer is completed, a thick film of the second layer is formed. First, in order to remove the raw material gas used in forming the first layer from the film forming chamber 1, the inside of the film forming chamber 1 is evacuated to 5×10'' (Torr) or less using the exhaust pump 8. The inside of the storage chamber 14 is also pumped with a certain number of exhaust pumps 12 (mTorr) in order to remove the mercury vapor introduced during the formation of the first layer film.
) or below. After that, while introducing only inert gas into the storage chamber 14 from the gas supply section 30, the inside of the storage chamber 14 is pumped at several Torr to several tens of Torr using an exhaust pump.
pressure. Next, SiH4 gas containing mercury is supplied from the gas supply system 7 as a raw material gas into the film forming chamber 1 at a flow rate of 101.
00 (SCC pressure 0.5 (Torr)), and the substrate 2
is heated to 250 [° C.] using a heater 6 such as resistance heating. The low pressure mercury lamp 12 is turned on and the wavelength is 254 [nm].
The second I4 thick film is formed by irradiating the surface of the substrate 2 with ultraviolet light of 185 (nm).

第3層以降の成膜を同様に行なえば良い・本実施例によ
れば、第1層としての薄膜形成時には収容室14内の水
銀蒸気により光源13からの25411[Q、 185
nmの紫外光は吸収され、基板2上の紫外光強度が減少
する。その結果膜堆積速度は低下し、薄膜の1漠厚制御
性は向上する。また、第2層としての厚膜形成時には収
容室14内には水銀蒸気が存在しないため基板2上の紫
外光強度は大きく膜堆積速度は高くスループットが低下
することはない。
The formation of the third and subsequent layers may be performed in the same manner.According to this embodiment, when forming the thin film as the first layer, 25411 [Q, 185
The ultraviolet light of nm wavelength is absorbed, and the intensity of the ultraviolet light on the substrate 2 is reduced. As a result, the film deposition rate is reduced and the controllability of the thickness of the thin film is improved. Further, when forming a thick film as the second layer, since mercury vapor is not present in the storage chamber 14, the intensity of ultraviolet light on the substrate 2 is high, the film deposition rate is high, and the throughput is not reduced.

第2図は本発明の第2の実施例に係わる光CvD装置を
模式的に示す概略構成図である。図中、前記第1図と同
等部位には同一記号を付しである。
FIG. 2 is a schematic configuration diagram schematically showing an optical CvD apparatus according to a second embodiment of the present invention. In the figure, parts equivalent to those in FIG. 1 are given the same symbols.

図中9は基板搬送用の予備排気室でありゲートバルブI
Oにより膜形成室1と接続されている。予備排気室には
基板搬送機構11が設けられており予備排気室9内は排
気ポンプ12により排気されるようになっている。
9 in the figure is a preliminary exhaust chamber for substrate transfer, and is a gate valve I.
It is connected to the film forming chamber 1 by O. A substrate transport mechanism 11 is provided in the preliminary exhaust chamber 9, and the interior of the preliminary exhaust chamber 9 is evacuated by an exhaust pump 12.

本実施例によれば膜形成室1内が大気にさらされること
なく基板2を装着および脱着することが可能であり、し
たがって大気中の酸素・窒素・水分等が膜形成室内壁等
に吸着し、堆積膜中に不純物として混入するという問題
点が解決され、また上記吸着分子の影響による膜形成室
内排気の長時間化の問題も解決される。また、収容室1
4内の水銀蒸気量を収容室14内の圧力をモニターしな
がら制御することで膜堆積速度を制御できる点は第1の
実施例と同様である。
According to this embodiment, it is possible to attach and detach the substrate 2 without exposing the inside of the film forming chamber 1 to the atmosphere, so that oxygen, nitrogen, moisture, etc. in the atmosphere are adsorbed to the walls of the film forming chamber, etc. This solves the problem of contamination as impurities in the deposited film, and also solves the problem of prolonged exhaust time in the film forming chamber due to the influence of the adsorbed molecules. Also, containment room 1
This embodiment is similar to the first embodiment in that the film deposition rate can be controlled by controlling the amount of mercury vapor in the storage chamber 14 while monitoring the pressure in the storage chamber 14.

第3図は、本発明の第3の実施例に係わる光CVD装置
を模式的に示す概略構成図である。図中前記第2図と同
等部位には同一記号を付しである。
FIG. 3 is a schematic configuration diagram schematically showing an optical CVD apparatus according to a third embodiment of the present invention. In the figure, parts equivalent to those in FIG. 2 are given the same symbols.

本実施例においては基板2を含む基板ホルダー3の搬送
機構11の他に膜形成部において膜形成室1と収容室1
4を分離している光導入窓16も窓搬送機構21により
搬送可能となっており、チャック17によりチャックさ
れる。
In this embodiment, in addition to the transport mechanism 11 for the substrate holder 3 containing the substrate 2, a film forming chamber 1 and a storage chamber 1 are provided in the film forming section.
The light introduction window 16 that separates the 4 is also transportable by the window transport mechanism 21, and is chucked by the chuck 17.

収容室14及び予備排気室9,19は常時、排気ポンプ
12により真空排気されており、光導入窓16がチャッ
クされていない場合、収容室14は膜形成室1とともに
排気ポンプ8により5 X 10−’ (Torr3以
下に排気されている。
The storage chamber 14 and the preliminary evacuation chambers 9 and 19 are always evacuated by the exhaust pump 12, and when the light introduction window 16 is not chucked, the storage chamber 14 and the film forming chamber 1 are evacuated by the exhaust pump 8 to form a 5×10 -' (Exhaust to less than 3 Torr.

膜形成時には収容差14は予備排気室9,14とともに
排気ポンプ12により真空排気されており、ガス供給部
30からの水銀蒸気を含んだ不活性ガスの導入により膜
堆積速度を制御するのは第1および第2の実施例と同様
である。
During film formation, the accommodation gap 14 is evacuated together with the preliminary evacuation chambers 9 and 14 by the exhaust pump 12, and the film deposition rate is controlled by introducing an inert gas containing mercury vapor from the gas supply section 30. This is similar to the first and second embodiments.

本実施例によれば前記第1、第2の実施例と同じ効果を
得る他に、膜形成室lを大気にさらすことなく光導入窓
16の装着・脱着が可能となる。非晶質シリコン膜等の
紫外光を吸収する膜を形成する場合、基板2上に膜堆積
が行なわれると同時に光導入窓16の膜形成室1側にも
膜堆積が行なわれ、堆積膜厚が増加するにともない基板
2上での光照度が低下し膜形成速度が低下するという問
題があある。この問題を解決するための一手法として光
導入窓16の膜形成室1側にたとえばフオンプリンオイ
ルを塗布することで光導入窓16への膜堆積を防止する
という方法がある。しかし、この方法によれば膜形成を
行なうたびに光導入窓16を脱着し再度、フオンプリン
オイルを塗布し直さなればならf、 第1〜第2の実施
例においてはその都度膜形成室1を大気にさらすことに
なり大気中の酸素、窒素、水分等が膜形成室1内壁等に
吸着し堆積膜中に不純物として混入したり、上記吸着分
子の影響による膜形成室内排気の長時間化という問題が
ある。
According to this embodiment, in addition to obtaining the same effects as those of the first and second embodiments, the light introducing window 16 can be attached and detached without exposing the film forming chamber l to the atmosphere. When forming a film that absorbs ultraviolet light, such as an amorphous silicon film, the film is deposited on the substrate 2 and at the same time, the film is also deposited on the film forming chamber 1 side of the light introduction window 16, and the deposited film thickness increases. There is a problem in that as the amount increases, the light illuminance on the substrate 2 decreases and the film formation rate decreases. One method for solving this problem is to prevent film deposition on the light introduction window 16 by applying, for example, Fonpurin oil to the film forming chamber 1 side of the light introduction window 16. However, according to this method, the light introduction window 16 has to be removed and reapplied with fluoroplastic oil each time a film is formed. As a result, oxygen, nitrogen, moisture, etc. in the atmosphere may be adsorbed to the inner wall of the film forming chamber 1 and mixed into the deposited film as impurities, or the exhaustion of the film forming chamber may be prolonged due to the influence of the adsorbed molecules. There is a problem.

本実施例によれば前述のとうり膜形成室1を大気にさら
すことなく光導入窓16の装着・脱着が可能となるため
、上記の問題点は解決される。
According to this embodiment, it is possible to attach and detach the light introduction window 16 without exposing the film forming chamber 1 to the atmosphere, so that the above-mentioned problem is solved.

なお、本発明は上述した実施例に限定されるものではな
い。光源は低圧水素ランプに限るものではなく、重水素
ランプ、エキシマレーザ−等でも構わない。また、ヒー
ターは抵抗加熱に限るものではなく、ハロゲンランプ等
でもよい。さらに、膜形成、堆積膜のエツチング、基板
上の金属電極のエツチングにおける圧力、ガス流量、基
板温度及び印加電力等の条件は必要とする膜厚、膜質。
Note that the present invention is not limited to the embodiments described above. The light source is not limited to a low-pressure hydrogen lamp, but may also be a deuterium lamp, excimer laser, or the like. Further, the heater is not limited to resistance heating, and may be a halogen lamp or the like. Furthermore, conditions such as pressure, gas flow rate, substrate temperature, and applied power during film formation, etching of deposited films, and etching of metal electrodes on the substrate depend on the required film thickness and film quality.

エツチング量等により適宜定めれば良い。It may be determined as appropriate depending on the amount of etching, etc.

また、原料ガスはモノシラン(Si114)に限るもの
ではなく、高次シラン(例えばシラン(siaus) 
rトリシラン(si211s) ) 、メチルシラン系
ガス(例えばジメチルシラン(S i H□(CI(2
)、 )或いはゲルマン系ガス(例えばゲルマン(Ga
H4))でもよい。混合ガスとして、ジボラン(B21
16) 、フォスフイン(PH2) 。
In addition, the raw material gas is not limited to monosilane (Si114), but also higher-order silane (for example, silane (siaus)).
rtrisilane (si211s)), methylsilane-based gas (e.g. dimethylsilane (S i H□(CI(2
), ) or germane gas (e.g. germane (Ga
H4)) may be used. As a mixed gas, diborane (B21
16), phosphine (PH2).

アセチレン(c2n2)等を含んでもよい。さらに、形
成する膜厚は、a−5iに限るものではなく、シリコン
酸化膜やシリコン窒化膜化合物半導体(例えばGaAs
、 Zn5e等)等でもよい。シリコン酸化膜やシリコ
ン窒化膜等を形成する場合には、亜酸化窒素(N20)
、アンモニア(NH3)等をモノシラン(Si11.)
等と混合して用いればよい。また、水銀を含まない直接
励起でもよい。
It may also contain acetylene (c2n2) and the like. Furthermore, the thickness of the film to be formed is not limited to a-5i, but also silicon oxide film, silicon nitride film, compound semiconductor (e.g. GaAs
, Zn5e, etc.). When forming silicon oxide films, silicon nitride films, etc., use nitrous oxide (N20).
, ammonia (NH3) etc. to monosilane (Si11.)
It may be used in combination with etc. Alternatively, direct excitation without mercury may be used.

〔発明の効果〕〔Effect of the invention〕

本発明によれば、光CVD装置において同一のチャンバ
ーを用いて膜堆積速度を制御することが可能となり、厚
膜形成時は高速成膜により高スルーブツトを保ち、また
薄膜形成時は低速成膜により緻密な1漠を膜厚制御性良
く、厚膜と厚膜の積層構造膜を形成することができる。
According to the present invention, it is possible to control the film deposition rate using the same chamber in a photo-CVD apparatus, maintaining high throughput by high-speed film formation when forming thick films, and maintaining high throughput by forming thin films at low speed. It is possible to form a laminated structure film of thick films with good controllability of film thickness in a dense area.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の第1の実施例に係わる光C■D装置を
模式的に示す概略構成図、第2図、第3図は本発明の他
の実施例に係わる光CVD装置を模式的に示す概略構成
図である。 1・・・膜形成室      2・・・基板3・・・基
板ホルダー    4・・試料台5.17・・・チャッ
ク     6・・ヒーター7・・・原料ガス供給系 
  8,12・・・排気ポンプ9.19・・・予備排気
室    10.20・・・ゲートバルブ11.21・
・・搬送機構    13・・・低圧水銀ランプ(光源
)14・・・収容室       15・・・反射板1
6・・・光学窓       18.22・・・レール
30・・・ガス供給系 代理人 弁理士 則 近 憲 佑 同  松山光之 第 図
FIG. 1 is a schematic configuration diagram schematically showing an optical CD device according to a first embodiment of the present invention, and FIGS. 2 and 3 are schematic diagrams showing optical CVD devices according to other embodiments of the present invention. FIG. 1... Film formation chamber 2... Substrate 3... Substrate holder 4... Sample stage 5.17... Chuck 6... Heater 7... Raw material gas supply system
8,12...Exhaust pump 9.19...Preliminary exhaust chamber 10.20...Gate valve 11.21.
...Transport mechanism 13...Low pressure mercury lamp (light source) 14...Accommodation chamber 15...Reflector plate 1
6...Optical window 18.22...Rail 30...Gas supply system agent Patent attorney Noriyuki Ken Yudo Mitsuyuki Matsuyama Diagram

Claims (3)

【特許請求の範囲】[Claims] (1)光の照射により原料ガスを光励起分解し、被処理
基板上にCVD膜を堆積する光CVD装置において、光
源が収容される収容室内に水銀蒸気を含む不活性ガスを
導入する手段と該収容室内を真空排気する手段とを有す
ることを特徴とする光CVD装置。
(1) In a photo-CVD apparatus that photo-excited and decomposes a source gas by irradiation with light and deposits a CVD film on a substrate to be processed, a means for introducing an inert gas containing mercury vapor into a housing chamber in which a light source is housed; 1. An optical CVD apparatus comprising means for evacuating a storage chamber.
(2)前記被処理基板を前記膜形成室が大気にさらされ
ることなく装着および脱着する手段を具備してなる事を
特徴とする請求項1記載の光CVD装置。
(2) The photo-CVD apparatus according to claim 1, further comprising means for attaching and detaching the substrate to be processed without exposing the film forming chamber to the atmosphere.
(3)前記膜形成室内に光源からの光を導入するための
光導入窓を前記膜形成室が大気にさらされることなく装
着および脱着および搬送する手段を具備してなる事を特
徴とする請求項2記載の光CVD装置。
(3) A claim characterized in that the film forming chamber is equipped with means for attaching, detaching, and transporting a light introduction window for introducing light from a light source into the film forming chamber without exposing the film forming chamber to the atmosphere. Item 2. Optical CVD apparatus according to item 2.
JP24382288A 1988-09-30 1988-09-30 Photo-assisted cvd apparatus Pending JPH0294430A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP24382288A JPH0294430A (en) 1988-09-30 1988-09-30 Photo-assisted cvd apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP24382288A JPH0294430A (en) 1988-09-30 1988-09-30 Photo-assisted cvd apparatus

Publications (1)

Publication Number Publication Date
JPH0294430A true JPH0294430A (en) 1990-04-05

Family

ID=17109443

Family Applications (1)

Application Number Title Priority Date Filing Date
JP24382288A Pending JPH0294430A (en) 1988-09-30 1988-09-30 Photo-assisted cvd apparatus

Country Status (1)

Country Link
JP (1) JPH0294430A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087475A (en) * 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc Method and device for manufacturing semiconductor device
JP2011142335A (en) * 2008-09-03 2011-07-21 Hitachi Kokusai Electric Inc Method and device for manufacturing semiconductor device
US10932323B2 (en) * 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010087475A (en) * 2008-09-03 2010-04-15 Hitachi Kokusai Electric Inc Method and device for manufacturing semiconductor device
US7955948B2 (en) 2008-09-03 2011-06-07 Hitachi Kokusai Electric Inc. Manufacturing method of semiconductor device
JP2011142335A (en) * 2008-09-03 2011-07-21 Hitachi Kokusai Electric Inc Method and device for manufacturing semiconductor device
US10932323B2 (en) * 2015-08-03 2021-02-23 Alta Devices, Inc. Reflector and susceptor assembly for chemical vapor deposition reactor

Similar Documents

Publication Publication Date Title
US6150265A (en) Apparatus for forming materials
US4181751A (en) Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
US5217559A (en) Apparatus and method for in-situ deep ultraviolet photon-assisted semiconductor wafer processing
JPH0752718B2 (en) Thin film formation method
JPH049369B2 (en)
JPH07321046A (en) Device and method for thin film formation
JPH0496226A (en) Manufacture of semiconductor device
JPH0294430A (en) Photo-assisted cvd apparatus
US5990006A (en) Method for forming materials
JP2758247B2 (en) Organic metal gas thin film forming equipment
JPS63317675A (en) Plasma vapor growth device
JPH0322411A (en) Photo assisted cvd device
JPS61119028A (en) Photo-chemical vapor deposition equipment
JP2654456B2 (en) Manufacturing method of high quality IGFET
JPS629189B2 (en)
JPH01191410A (en) Photo assisted cvd system
Houzay et al. Thermal and photon-assisted interaction of ammonia, silane and oxygen with indium phosphide substrates
JPH0689455B2 (en) Thin film formation method
JPS6118125A (en) Thin film forming apparatus
US20070026690A1 (en) Selective frequency UV heating of films
JPH06158327A (en) Thin film depositing method
JP4291193B2 (en) Optical processing apparatus and processing apparatus
JPH01730A (en) Method of forming multilayer thin film
JPH05308064A (en) 'on the spot' elimination method and device of silicon natural oxide film
JPS6390138A (en) Method for cleaning semiconductor surface