JP7454561B2 - Euv光源中の放射源材料の汚染を軽減するための装置及び方法 - Google Patents

Euv光源中の放射源材料の汚染を軽減するための装置及び方法 Download PDF

Info

Publication number
JP7454561B2
JP7454561B2 JP2021516958A JP2021516958A JP7454561B2 JP 7454561 B2 JP7454561 B2 JP 7454561B2 JP 2021516958 A JP2021516958 A JP 2021516958A JP 2021516958 A JP2021516958 A JP 2021516958A JP 7454561 B2 JP7454561 B2 JP 7454561B2
Authority
JP
Japan
Prior art keywords
gas
container
optical axis
focus
target material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2021516958A
Other languages
English (en)
Other versions
JP2022503823A (ja
Inventor
マ,ユエ
ラベッツスキ,ドズミトリ
ラフォージ,アンドリュー,デビッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2022503823A publication Critical patent/JP2022503823A/ja
Application granted granted Critical
Publication of JP7454561B2 publication Critical patent/JP7454561B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas
    • H05G2/005X-ray radiation generated from plasma being produced from a liquid or gas containing a metal as principal radiation generating component
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/008X-ray radiation generated from plasma involving a beam of energy, e.g. laser or electron beam in the process of exciting the plasma

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Epidemiology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Public Health (AREA)
  • Toxicology (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • X-Ray Techniques (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

関連出願の相互参照
[0001] 本出願は、2018年10月22日に出願されAPPARATUS FOR AND METHOD OF REDUCING CONTAMINATION FROM SOURCE MATERIAL IN AN EUV LIGHT SOURCEと題される米国特許出願第62/748,735号(その全体が参照により本明細書に援用される)の優先権を主張する。
[0002] 本開示は、容器中の放射源又はターゲット材料の放電又はレーザアブレーションによって発生するプラズマから極端紫外線(「EUV」)放射を発生させるための装置及び方法に関する。このような用途では、例えば、半導体フォトリソグラフィ及び検査に使用するための放射を収集し方向付けるために、光学素子が使用される。
[0003] 極端紫外線、例えば、約50nm以下の波長を有し(軟X線と呼ばれる場合もある)約13.5nmの波長の放射線を含む電磁波は、シリコンウェーハなどの基板中に非常に小さなフィーチャを形成するためのフォトリソグラフィプロセスに使用することができる。
[0004] EUV放射を発生させる方法は、ターゲット材料をプラズマ状態に変換することを含む。ターゲット材料は、好ましくは、電磁スペクトルのEUV部分に1つ以上の輝線を有する少なくとも1つ元素、例えば、キセノン、リチウム、又はスズを含む。ターゲット材料は固体、液体、又は気体であってよい。レーザ生成プラズマ(「LPP」)と呼ばれることが多いこのような方法の1つでは、必要なプラズマは、必要な線発光元素を有するターゲット材料に照射するレーザビームを用いることによって発生させることができる。
[0005] LPP技術の1つは、ターゲット材料液滴の流れを発生させることと、少なくとも一部の液滴に1つ以上のレーザ放射パルスを照射することとを含む。このようなLPP源では、レーザエネルギーが少なくとも1つのEUV発光元素を有するターゲット材料中に結合して、数十eVの電子温度を有する高イオン化プラズマを発生することによって、EUV放射が得られる。
[0006] このプロセスの場合、プラズマは、典型的には密封容器、例えば、真空チャンバの中で発生し、結果として得られるEUV放射は、様々な種類の計測装置を用いて監視される。EUV放射の発生に加えて、プラズマの発生に使用されるプロセスは、典型的にはプラズマチャンバ中に望ましくない副生成物も生成し、そのようなものとしては、帯域外の放射、高エネルギーイオン、及びデブリ、例えば、残留ターゲット材料の原子及び/又は塊/微小滴を挙げることができる。
[0007] プラズマから高エネルギー放射があらゆる方向に放出される。一般的な配列の1つでは、放射の少なくとも一部を中間位置に収集し、方向付けし、ある配置では集中させるために、近垂直入射ミラー(「集光ミラー」又は単に「コレクタ」と呼ばれることが多い)が配置される。収集された放射は、中間位置から、一連の光学系、レチクル、検出器、及び最終的にシリコンウェーハまで中継することができる。
[0008] スペクトルのEUV部分では、コレクタ、イルミネータ、及び投影光ボックスを含むシステム中の光学素子に反射光学系を使用する必要がある一般に考えられている。これらの反射光学系は、言及した垂直入射光学系として、又は斜入射光学系として実現可能である。関与する波長において、コレクタは、有利には、多層ミラー(「MLM」)として実現される。その名称が示すように、このMLMは、一般に、基礎又は基板の上の材料の交互層(MLMスタック)で構成される。システムの光学系は、MLMとして実現されない場合でも、コーティングされた光学素子として構成することもできる。
[0009] 光学素子、及び、特にコレクタは、EUV放射を収集し方向を変えるために、プラズマを有する容器中に配置する必要がある。チャンバ内の環境は、光学素子には有害であり、そのためそれらの有用寿命は、例えば反射率の低下によって制限される。この環境中の光学素子は、ターゲット材料の高エネルギーイオン又は粒子に曝露されうる。本質的にレーザ蒸発プロセスからのデブリであるターゲット材料の粒子は、光学素子の露出面を汚染することがある。ターゲット材料の粒子は、MLM表面を物理的に損傷し局所的に加熱することもある。
[0010] 一部のシステムでは、デブリを軽減するためのバッファガスとして約0.5~約3mbarの範囲内の圧力のHガスが真空チャンバ中に使用される。ガスが存在しないと、減圧において、照射領域から放出されるターゲット材料のデブリからコレクタを十分に保護することが困難となる。水素は、約13.5nmの波長を有するEUV放射に対して比較的透明であり、そのため、約13.5nmにおいてより高い吸収を示すHe、Ar、又はその他のガスなどの別の候補のガスよりも好ましい。
[0011] Hガスは、プラズマによって生じたターゲット材料の高エネルギーのデブリ(イオン、原子、及びクラスター)を減速するために真空チャンバ中に導入される。デブリはガス分子との衝突によって減速する。このために、デブリの軌道とは反対でありコレクタから離れることもできるHガスの流れが使用される。これは、コレクタの光学コーティング上の堆積、注入、及びスパッタリングのターゲット材料の損傷を軽減する役割を果たす。
[0012] さらに、記載されるもののような放射源の最も困難な問題の1つは、残留ターゲット材料の管理である。ターゲット材料を変換するプロセスによって、粒子が生じ、照射位置と表面との間に遮るものがない経路が存在する表面上、及び残留ターゲット材料が取り込まれたガスの排出経路中に、残留ターゲット材料が堆積する。例えば、このガスが、チャンバ中に存在するベーンの上部を越えて、機械的ポンプまで圧送されれば、すぐに材料は低温の金属部品の上に堆積する。ターゲット材料がスズであれば、これによってスズウールが成長することがあり、これはコレクタ光学系上に落下して、排出経路を詰まらせる場合がある。
[0013] さらに、ターゲット材料の一例としてスズを使用する場合、スズの分散を制御する技術の1つは、スズの融点より高温まで加熱された表面上の蒸気又は粒子からスズを捕捉することを伴う。そこでスズは溶融し(又は溶融したままであり)、捕捉容器まで流される。しかし、液体スズは、EUVチャンバ中で見られるように、水素ラジカルの存在下で吹き出す又は「噴出する」傾向にあり、この噴出したスズはコレクタにぶつかることがある。これはコレクタの劣化の主要な要因である。
[0014] スズの噴出は、Hラジカル(H)が液体スズ中に拡散し、そこでHラジカルの一部が再結合して水素ガスとなり、液体スズ中で泡を形成する場合に起こる。溶融スズの表面上でのHの飽和(その気相中のHの特定の分圧におけるHの溶解度によって評価される)と;H泡中の毛管圧と;溶融スズの表面張力(これは溶融スズの温度に関連する)と;気相中のH分圧の間とのパラメータの間で平衡に到達するまで、Hラジカルが液体スズ中に供給され続け、そこで再結合すると、泡が成長する。さらに、Hの再結合によって、溶融スズ中のH泡が破裂する。H泡が破裂することで、溶融スズの小球が放出又は噴出される。
[0015] 放射源容器からのスズデブリは、EUV放射源から中間焦点を通ってスキャナまで通ることができ、これによって、例えば、その寿命がEUVシステムの生産性及び所有コストにとって重要な高価な光学素子であるスキャナ中のイルミネータの汚染が生じることがある。前述のように、スズ汚染の形態の1つは、放射源容器中の中間焦点付近の壁からの溶融スズの放出又は「噴出」である。2017年3月28日に発行され“Lithographic Apparatus and Method of Manufacturing a Device”と題される米国特許第9,606,445号(その内容全体が参照により本明細書に援用される)に開示されるように、スズデブリがスキャナへの到達を防止するために使用される技術の1つは、中間焦点において動的ガスロックを用いて、スズ汚染を抑制することを含む。
[0016] EUV光を発生させるプロセスでは、ターゲット材料が容器の壁の上に堆積することもある。容器の壁上でのターゲット材料の堆積の制御は、製造中に配置されるEUV放射源の容認できる長寿命を実現するために重要である。また、照射位置からのターゲット材料の流束の管理は、廃棄ターゲット材料減少システムが意図する通りに機能することを保証するために重要である。
[0017] 実施形態の基本的な理解を得るために、1つ以上の実施形態の簡単な概要を以下に示す。この概要は、考慮されるすべての実施形態の広範な概説ではなく、すべての実施形態の重要又は不可欠な要素を特定することを意図するものでもなく、何れか又はすべての実施形態の範囲の境界を設定するものでもない。以下に提供されるより詳細な説明への導入として、1つ以上の実施形態の一部の概念を簡単な形態で提供することが唯一の目的である。
[0018] 一実施形態の一態様によると、水素ラジカルと反応し、それらを消費する活性ガスを導入することによって、溶融ターゲット材料の堆積物に入りその中で水素泡を形成することができる水素ラジカルの数を減少させることで、溶融ターゲット材料の噴出が妨害される、極端紫外線を発生するための放射源及び方法が開示される。
[0019] 一実施形態の別の一態様によると、EUV放射を発生させるための装置であって、容器と、容器内に配置される集光ミラーであって、光軸と、容器中及び光軸上に位置する主焦点と、光軸上の中間焦点とを有する集光ミラーと、少なくとも1つの排出口であって、主焦点と中間焦点との間の光軸上の第1の位置のそばの容器の側壁中に配置される少なくとも1つの排出口と、少なくとも1つのインレットであって、水素ラジカルと結合するガスの供給源と流体連通して配置され、中間焦点と第1の位置との間の光軸上の第2の位置のそばの側壁中に配置されるように適合した少なくとも1つのインレットと、を含む装置が開示される。このガスは酸素を含むことができる。このガスは分子酸素を含むことができる。このガスは酸素ラジカルを含むことができる。このガスはメタンを含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNF中の1つのラジカルを含むことができる。ガスの分圧は、約10E-4mbar~約10E-2mbarの範囲内であってよい。
[0020] 一実施形態の別の一態様によると、EUV放射を発生させるための装置であって、容器と、容器内に配置される集光ミラーであって、光軸と、容器中及び光軸上に位置する主焦点と、光軸上の中間焦点とを有する集光ミラーと、少なくとも1つの排出口であって、主焦点と中間焦点との間の光軸上の第1の位置のそばの容器の側壁中に配置される少なくとも1つの排出口と、少なくとも1つのインレットであって、水素ラジカルと結合するガスの供給源と流体連通して配置され、主焦点よりも排出口に近い側壁中に配置されるように適合した少なくとも1つのインレットと、を含む装置が開示される。このガスは酸素を含むことができる。このガスは分子酸素を含むことができる。このガスは酸素ラジカルを含むことができる。このガスはメタンを含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNF中の1つのラジカルを含むことができる。ガスの分圧は、約10E-4mbar~約10E-2mbarの範囲内であってよい。
[0021] 一実施形態の別の態様によると、容器中に反射光学素子を有し、反射光学素子が主焦点を有するEUV放射源中の容器中のある領域中の水素ラジカルの分圧を低下させる方法であって、水素ラジカルを消費する水素ラジカルとの反応に関与させるために活性ガスを上記領域中に導入するステップと、上記領域と主焦点との間の位置で容器から活性ガスと反応生成物とを排出するステップと、を含む方法が開示される。このガスはメタンを含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含むことができる。このガスは、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含むことができる。ガスの分圧は約10E-4mbar~約10E-2mbarの範囲内であってよい。
[0022] 本発明のさらなる実施形態、特徴、及び利点、並びに種々の実施形態の構造及び操作が、添付の図面を参照しながら以下に詳細に記載される。
[0023]一実施形態の一態様によるレーザ生成プラズマEUV放射源システムの全体的な広い概念の縮尺通りではない概略図である。 [0024]レーザ生成プラズマEUV放射源システム中に使用される容器及び排出システムの可能な配置の1つを示す縮尺通りではない図である。 [0025]一実施形態の一態様による容器及び排出システムの可能な配置の1つの縮尺通りではない切欠概略図である。 [0026]消費されたターゲット材料からの汚染源の可能な分布の縮尺通りではない切欠概略図である。 [0027]一実施形態の一態様による容器及び排出システム及びガスインレットの可能な配置の1つの縮尺通りではない切欠概略図である。 [0028]一実施形態の一態様によるターゲット材料の噴出による汚染を減少させる方法のフローチャートである。
[0029] 本発明のさらなる特徴及び利点、並びに本発明の種々の実施形態の構造及び操作が、添付の図面を参照しながら以下に詳細に記載される。本発明が、本明細書に記載の特定の実施形態に限定されるものではないことに留意されたい。このような実施形態は、説明のみを目的として本明細書に提供される。さらなる実施形態は、本明細書に含まれる教示に基づけば関連分野の当業者には明らかとなるであろう。
[0030] 図面を参照しながら、これより種々の実施形態が記載され、全体にわたって同様の参照番号が同様の要素に言及するために使用される。以下の記載において、説明の目的で、多数の特定の詳細が、1つ以上の実施形態の十分な理解を進めるために記載される。しかし、一部又はすべての場合で、以下に記載の何れかの実施形態は、以下に記載の特定の設計の詳細を採用せずに実施できることは明らかであろう。別の場合では、1つ以上の実施形態の説明を容易にするために、周知の構造及び装置がブロック図で示される。
[0031] しかしながら、このような実施形態をより詳細に説明する前に、本発明の実施形態が実施されうる環境の例を示すことが有益である。以下の説明及び請求項において、用語「上」、「下」、「上部」、「底部」、「垂直」、「水平」、及び類似の用語を使用することができる。これらの用語は、別に示されるのでなければ、相対的方向のみを示すことが意図され、重力を基準とした何れかの方向を示すことを意図するものではない。
[0032] 最初に図1を参照すると、本発明の一実施形態の一態様による代表的なEUV放射源、例えば、レーザ生成プラズマEUV放射源10の概略図が示されている。図示されるように、EUV放射源10はパルス又は連続レーザ放射源22を含むことができ、これは例えば10.6μm又は1μmにおける放射のビーム12を生成するパルスガス放電COレーザ放射源であってよい。パルスガス放電COレーザ放射源は、高出力及び高パルス繰り返し数で動作するDC又はRF励起を有することができる。
[0033] EUV放射源10は、ターゲット材料を液滴又は連続液体流の形態で送出するためのターゲット送出システム24も含む。この例では、ターゲット材料は液体であるが、固体又は気体であってもよい。ターゲット材料は、別の材料を使用することができるが、スズ又はスズ化合物で構成されてよい。図示されるシステムでは、ターゲット材料送出システム24によって、ターゲット材料の液滴14が、真空チャンバ26の内部から、コレクタ30の主焦点(PF)の照射領域まで導入され、そこでターゲット材料に照射されてプラズマを生成することができる。真空チャンバ26にはライナーを設けることができる。ある場合では、ターゲット材料が照射領域に向かう又は照射領域から離れるように操作できるように、ターゲット材料上に電荷が配置される。本明細書において使用される場合、照射領域は、ターゲット材料の照射を行うことができる又は照射が意図される領域であり、照射が実際には行われない時点でさえも照射領域であることに留意されたい。EUV光源は、ビーム操作システム32を含むこともできる。
[0034] 図示されるシステムでは、液滴14が実質的に水平方向に移動するように構成要素が配置されている。レーザ放射源22から照射領域に向かう方向、すなわちビーム12の名目上の伝播方向をZ軸とすることができる。液滴14がターゲット材料送出システム24から照射領域までに通る経路をX軸とすることができる。したがって、図1を見る場合は、XZ面に対して垂直に見ている。EUV放射源10の方向は、好ましくは図示されるように重量に対して回転し、矢印Gは、重力方向の下に関する好ましい方向を示している。この方向は、EUV放射源には適用されるが、スキャナなどの光学的に下流の構成要素は必ずしもそうではない。また、液滴14が実質的に水平に移動するシステムが示されているが、液滴が、垂直に移動する、又は重力を基準として両端の値を含めて90度(水平)と0度(垂直)との間のある角度で移動する別の配置を使用できることは、当業者によって理解されるであろう。
[0035] EUV放射源10は、EUV光源コントローラシステム60、レーザ発射制御システム65を、ビーム操作システム32とともに含むこともできる。EUV放射源10は、ターゲット液滴の絶対位置、又は、例えば照射領域を基準とした相対位置を示す出力を発生し、この出力をターゲット位置検出フィードバックシステム62に供給する1つ以上の液滴撮像装置70を含むことができるターゲット位置検出システムなどの検出器を含むこともできる。
[0036] 図1中に示されるように、ターゲット材料送出システム24は、ターゲット送出制御システム90を含むことができる。ターゲット送出制御システム90は、照射領域を通過するターゲット液滴14の経路を調節するために、信号、例えば前述のターゲットエラー、又はシステムコントローラ60によって得られるターゲットエラーから誘導されるある量に応答して動作可能である。これは、例えば、ターゲット送出機構92がターゲット液滴14を放出する場所を再配置することによって行うことができる。液滴放出点は、例えば、ターゲット送出機構92を傾けることによって、又はターゲット送出機構92を横方向に並進させることによって、再配置することができる。ターゲット送出機構92は、チャンバ26中まで延在し、好ましくはターゲット材料及びガス供給源が外部から供給されて、ターゲット送出機構92中のターゲット材料が加圧下に置かれる。
[0037] 図1を続けると、放射源10は、1つ以上の光学素子を含むこともできる。以下の議論では、このような光学素子の一例としてコレクタ30が使用されるが、この議論は別の光学素子にも同様に適用される。コレクタ30は、例えば、熱的に誘発される層間拡散を効果的に素子するために各界面に堆積される追加の薄いバリア層、例えばBC、ZrC、Si、又はCを有するMLMとして実施される垂直入射リフレクタであってよい。アルミニウム(Al)又はケイ素(Si)などの別の基板材料を使用することもできる。コレクタ30は、レーザ放射12が通過して照射領域まで到達できるように中央アパーチャを有する長楕円の形態であってよい。コレクタ30は、例えば、記載のように照射領域に主焦点PFを有し、コレクタ30の光軸上OAに中間焦点IFを有する楕円の形状であってよく、ここで、EUV放射は、EUV放射源10から出力し、例えば、集積回路リソグラフィスキャナ50に入力することができ、そこでこの放射は、例えば、レチクル又はマスク54を用いて周知の方法でシリコンウェーハ加工物52の加工に使用される。シリコンウェーハ加工物52は次に、集積回路デバイスを得るために周知の方法でさらに加工される。
[0038] 図2の中が塗りつぶされた二重の矢印は、デブリが伝播する方向を示している。輪郭線の矢印は、H流の好ましい配置を示している。アウトレット42は、Hが通ってチャンバ26を出る排出口として機能する。矢印Gは、重力の方向を示している。図3Aは、この配列の概略図である。図3A中に示されるように、水素は、コレクタ30の中央アパーチャ中に配置されたインレット44と、中間焦点IF付近の位置からのチャンバ26の上部とから、チャンバ26中に流れる。コレクタ30のその光軸OA上の主焦点PFの位置も示されている。水素は、コレクタ30から離れて流れ、アウトレット42を通過する。チャンバ26の上部から入る水素もアウトレット42を通って流れる。
[0039] 記載されるように、スズの噴出は、Hラジカル(H)が液体スズ中に拡散し、そこでHラジカルの一部が再結合して水素ガスとなり、液体スズ中で泡を形成する場合に起こる。溶融スズは、泡が破裂するときに放出される。液体スズの気化していない小球のサイズ、速度、及び方向性などの条件に依存するが、一部の噴出するスズは、動的ガスロックが存在する場合でさえも、中間焦点を通過してイルミネータ及びスキャナ中の別の重要な部品まで到達することがある。これは、図3B中に概略的に示されている。図3B中、溶融スズの塊70がチャンバ26の壁の上に形成される。チャンバ26中の水素ラジカルは、溶融スズのこれらの塊70に浸透し、それによって泡72を形成する。これらの泡72は、破裂してスズの粒子74を放出する傾向を有する。これらの粒子74の一部は、チャンバ26から漏れ出して、下流の構成要素を汚染することがある。
[0040] 噴出は、溶融スズと水素ラジカルHとの2つの成分が存在することで生じる。スズ噴出流束はH流束に直接関連するので、噴出流束を減少させる方法の1つは、中間焦点を含めたその周囲の領域中のHの分圧を低下させることである。主焦点を含めたその周囲の領域中のHの存在は、コレクタの清浄化に有益であるので、Hは、主としてIF付近の領域で減少させ、PF付近の領域ではより少ない程度で低下させると有益となる。Hの分圧がIF付近で低下すると、溶融スズに到達するH流束も減少し、それとともにスズ噴出流束も減少する。
[0041] 別の言い方をすると、以下の比率がHの分圧と関連する:
[0042] R1=Hが発生の比率(これは一般に、特定のEUV強度及びH圧の場合に比較的一定となる)
[0043] R2=Hが到達する比率(容器中の他の箇所から対象の領域まで)
[0044] R3=Hが溶融スズに入る正味の比率
[0045] R4=容器の壁の上でHが再結合する比率
[0046] R5=ラジカル間の衝突のためにHが再結合する比率
[0047] R6=Hが圧送される比率。
[0048] 気相中のHの分圧は、(R1+R2)-(R3+R4+R5+R6)に比例する。本明細書に開示されるシステムでは、Hの分圧は、少なくとも1つのさらなるH減少機構を組み込むことによって低下し、それによってスズ噴出の程度が低下する。このさらなるH減少機構は、気相中のHの反応である。したがって、R7=気相中の追加の反応によりHが減少する比率である場合、気相中のHの分圧は、(R1+R2)-(R3+R4+R5+R6+R7)に比例するようになる。
[0049] 気相中のHの反応によるHの減少に加えて、別のH減少/低下機構が存在しうる。これは、活性ガスが存在することによって、Snで覆われた表面においてHが減少する比率である。注入される活性ガスは、Sn液体層と反応して液体スズの表面上に保護膜を形成するように選択することができる。この保護膜(例えばある種の酸化物)は、表面に衝突するHと反応して、Hが液体Sn層中に拡散する量を効率的に減少させる生成物を形成する。別の方法で考えると、これは、H泡の形成が減少又は抑制されるような方法で、Sn液体層中に溶解してSn液体層の性質を変化させる活性ガスと見なすことができる。
[0050] EUV放射源の作動中のH分圧は、典型的には約1.3mbar~約2.2mbarの範囲であり、Hの質量分率はHの質量分率よりもはるかに低く、そのためEUV/H相互作用によって発生するHの分圧は、約1mbarをはるかに下回ると推測できる。化学量論的には、対象の領域中に注入される非常に少量の活性ガス種が、Hと反応して、その領域中のかなりの量のHを「奪う」のに十分となることができ、したがって、全体的なHの減少に対するR6の寄与が大きくなりうる。
[0051] 活性ガスは、CO、CO、O、メタン、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、NFなどの周知の化学種の何れか1つ又は組み合わせであってよい。別の候補となるガスは、W.E.Jones,“The Kinetics of Atomic Hydrogen Reactions in Gas Phase,”Chemical Rev.,Vol.73,Number 5,(October 1973),pp.407-440(その内容全体が参照により本明細書に援用される)に記載される。
[0052] 一例としてOを用いると、種々のO汚染レベルにおけるH環境中のスズのエッチングにHを用いる実験から得られた結果から、1.0E-2mbar(8mTorr)のO分圧において、Oが存在しない場合と比較して、エッチング速度の8分の1への減少が確認されることが示され、これは、Oの非存在下でスズのエッチングに利用可能であった大部分のHがOによって消費されることを示している。1.7E-2mbarのO分圧においては、スズをエッチングするHは残っていない。J.Sporre et al.,“Collector optic in-situ Sn removal using hydrogen plasma,”Extreme Ultraviolet (EUV) Lithography IV,Vol.8679,June 5,2013(その内容全体が参照により本明細書に援用される)を参照されたい。
[0053] Hを「奪う」ことにおけるOの有効性を利用して、O含有ガス用のインレットをIF付近の領域中に設け、その領域のH分圧を低下させることによって、IFを通過するスズを減少させることができる。このことは図4中に示されている。図示されるように、アウトレット42と中間焦点IFとの間の領域にガスインレット46が設けられる。ガスインレット46は、活性ガスを導入するために使用される。小さい矢印で活性ガスの流れが示されている。活性ガスは望ましくは、約10E-4mbar~約10E-2mbarの範囲内の分圧を有することができる。
[0054] この場合も、Oの代わりに、又はこれに加えて、EUV透過率に対して悪影響を有さないのであれば、Hと反応して消費させる(R7を増加させる)高反応性のあらゆる別のガス又はガスの組み合わせを使用することができる。HとOとの混合物中の1.8mbarの全圧力で正規化されたO分圧の関数としてのEUV透過率に関して、例えば、1.0E-2mbarにおいて、>90%の透過率が依然として維持される。実際には、透過率に対する影響は、大部分で活性ガス種を含まずに水素が依然として存在するEUV経路長全体に沿ってではなく、局所的にのみ活性ガス種が存在する場合には、より少なくなる。
[0055] 活性ガスの別の一例はメタンCHである。以下の反応においてメタンがHと反応する
[0056] CH+H=CH +H
[0057] また、この反応生成物の1つのCH は、次に以下のようにスズと反応する:
[0058] Sn+4CH =Sn(CH
[0059] Sn(CH(テトラメチルスズ)は容器中の条件下で気体であるので、スタンナンと同様に排出することができる。このように、CHは、Hを奪い、さらに、スズのエッチングが可能な反応物を生成する。この理由と、軽量であるため特定の添加量の場合にEUV透過率に対する悪影響が最も少ないという理由で、CHは、活性ガスとしての魅力的な選択の1つである。安価であり、容易に利用可能であり、真空堆積プロセス中に一般的に使用されるガスでもあるという理由で、CHは、活性ガスとしての魅力的な選択の1つである。メタンガスの分圧は、約10E-4mbar~約10E-2mbarの範囲内であってよい。
[0060] このような何れかの指定のガスは、分子の代わりにラジカルの形態で対象の領域中に注入できることにも留意されたい。
[0061] ガス排出口42がIFとPFとの間に位置する場合、中間焦点IFと排出口42との間の領域、すなわちIF領域中に導入される活性ガスは、主焦点PFを含めたその周囲の領域、すなわちPF領域に到達する前に排出される。活性ガスが目標領域を通り過ぎないように、活性ガスは、カーテン流の形態で壁に沿って排出口に向けて比較的遅い流入速度で注入することができる。一般に、活性ガスを導入するためのシステムの設計において、活性ガス種が希望しない場所には確実に到達しないことが望ましい。
[0062] 一実施形態の別の一態様によると、溶融スズ中の泡の形成と、その結果として、溶融スズがEUV放射源から漏れる可能性のある領域での溶融スズの放出とを減少させるために、水素ラジカルを減少させる方法が本明細書に開示される。このような方法の一例を図5中に示す。ステップS50では、活性ガスを第1の領域中に導入して、そこにある水素ラジカルと反応させて消費させる。上記の開示のように、この第1の領域は、中間焦点を含めたその周囲のIF領域であってよい。ステップS52では、活性ガス及び反応生成物が、主焦点におけるプラズマ生成に到達して干渉することがないような方法で、第1の領域とチャンバ中のコレクタの主焦点との間の位置で、活性ガス及び反応生成物がチャンバから排出される。
[0063] 以上の説明は、1つ以上の実施形態の例を含んでいる。当然ながら、上述の実施形態を記載するために構成要素又は方法のあらゆる考えられる組み合わせを記載することは不可能であるが、種々の実施形態の多くのさらなる組み合わせ及び並べ換えが可能であることは当業者には認識されるであろう。したがって、記載の実施形態は、添付の請求項の意図及び範囲に入るこのようなすべての変更、修正、及び変形を含むことが意図される。さらに、「包含する」(include)という用語が、詳細な説明又は請求項の何れかで使用される程度において、このような用語は、請求項において移行句として使用される場合に「含む」(comprising)が解釈されるように「含む」(comprising)という用語と類似の方法で包括的となることが意図される。さらに、記載の態様及び/又は実施形態の要素が、単数形で記載又は請求される場合があるが、単数形に対する制限が明示されるのでなければ、複数形も考慮される。さらに、特に指定のない限り、何れかの態様及び/又は実施形態のすべて又は一部は、何れかの他の態様及び/又は実施形態のすべて又は一部とともに利用することができる。
[0064] 実施については、以下の条項を用いてさらに記載することができる:
1.EUV放射発生させる装置であって:
容器と;
容器内に配置される集光ミラーであって、光軸と、容器中及び光軸上に位置する主焦点と、光軸上の中間焦点とを有する集光ミラーと;
少なくとも1つの排出口であって、主焦点と中間焦点との間の光軸上の第1の位置のそばの容器の側壁中に配置される少なくとも1つの排出口と;
少なくとも1つのインレットであって、水素ラジカルと結合するガスの供給源と流体連通して配置され、中間焦点と第1の位置との間の光軸上の第2の位置のそばの側壁中に配置されるように適合した少なくとも1つのインレットと、
を含む、装置。
2.ガスが酸素を含む、条項1に記載の装置。
3.ガスが分子酸素を含む、条項2に記載の装置。
4.ガスが酸素ラジカルを含む、条項2に記載の装置。
5.ガスがメタンを含む、条項1に記載の装置。
6.ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含む、条項1に記載の装置。
7.ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含む、条項1に記載の装置。
8.ガスの分圧が約10E-4mbar~約10E-2mbarの範囲内である、条項1に記載の装置。
9.EUV放射を発生させる装置であって:
容器と;
容器内に配置される集光ミラーであって、光軸と、容器中及び光軸上に位置する主焦点と、光軸上の中間焦点とを有する集光ミラーと;
少なくとも1つの排出口であって、主焦点と中間焦点との間の光軸上の第1の位置のそばの容器の側壁中に配置される少なくとも1つの排出口と;
少なくとも1つのインレットであって、水素ラジカルと結合するガスの供給源と流体連通して配置され、主焦点よりも排出口に近い側壁中に配置されるように適合した少なくとも1つのインレットと、
を含む、装置。
10.ガスが酸素を含む、条項9に記載の装置。
11.ガスが分子酸素を含む、条項10に記載の装置。
12.ガスが酸素ラジカルを含む、条項10に記載の装置。
13.ガスがメタンを含む、条項9に記載の装置。
14.ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含む、条項9に記載の装置。
15.ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含む、条項9に記載の装置。
16.ガスの分圧が約10E-4mbar~約10E-2mbarの範囲内である、条項9に記載の装置。
17.容器中に反射光学素子を有するEUV放射源中の容器中のある領域中の水素ラジカルの分圧を低下させる方法であって、反射光学素子が主焦点を有し、上記方法は、
水素ラジカルとの反応に関与させるために活性ガスを上記領域中に導入し、上記領域では水素ラジカルが消費されるステップと、
上記領域と主焦点との間の位置で容器から活性ガスと反応生成物とを排出するステップと、を含む方法。
18.活性ガスが酸素を含む、条項17に記載の方法。
19.活性ガスが分子酸素を含む、条項17に記載の方法。
20.活性ガスが酸素ラジカルを含む、条項17に記載の方法。
21.活性ガスがメタンを含む、条項17に記載の方法。
22.活性ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含む、条項17に記載の方法。
23.活性ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含む、条項17に記載の方法。
24.活性ガスの分圧が約10E-4mbar~約10E-2mbarの範囲内である、条項17に記載の方法。
25.インレットに連結したガスの供給源をさらに含む、条項1に記載の装置。
26.インレットに連結したガスの供給源をさらに含む、条項9に記載の装置。
[0063] その他の実施は、請求項の範囲内である。

Claims (15)

  1. 溶融ターゲット材料及び水素ガスが供給される容器と、
    前記容器内に配置された集光ミラーであって、光軸と、前記容器中及び前記光軸上に位置する主焦点と、前記光軸上の中間焦点とを有する集光ミラーと、
    前記容器内に供給された溶融ターゲット材料にレーザ放射を照射するレーザ放射源と、
    前記主焦点と前記中間焦点との間の前記光軸上の第1の位置の近傍の前記容器の側壁中に配置された少なくとも1つの排出口と、
    水素ラジカルと結合するガスの供給源と流体連通して配置された少なくとも1つのインレットであって、前記中間焦点と前記第1の位置との間の前記光軸上の第2の位置の近傍の前記側壁中に配置された少なくとも1つのインレットと、
    を含む、EUV放射を発生させる装置。
  2. 前記ガスが酸素を含む、請求項1に記載の装置。
  3. 前記ガスが分子酸素を含む、請求項2に記載の装置。
  4. 前記ガスが酸素ラジカルを含む、請求項2に記載の装置。
  5. 前記ガスがメタンを含む、請求項1に記載の装置。
  6. 前記ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つの分子を含む、請求項1に記載の装置。
  7. 前記ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含む、請求項1に記載の装置。
  8. 溶融ターゲット材料及び水素ガスが供給される容器と、
    前記容器内に配置された集光ミラーであって、光軸と、前記容器中及び前記光軸上に位置する主焦点と、前記光軸上の中間焦点とを有する集光ミラーと、
    前記容器内に供給された溶融ターゲット材料にレーザ放射を照射するレーザ放射源と、
    前記主焦点と前記中間焦点との間の前記光軸上の第1の位置の近傍の前記容器の側壁中に配置された少なくとも1つの排出口と、
    水素ラジカルと結合するガスの供給源と流体連通して配置された少なくとも1つのインレットであって、前記主焦点よりも排出口に近い前記側壁中に配置された少なくとも1つのインレットと、
    を含む、EUV放射を発生させる装置。
  9. 前記ガスが酸素を含む、請求項8に記載の装置。
  10. 前記ガスがメタンを含む、請求項8に記載の装置。
  11. 前記ガスが、CO、CO、ハロゲン、アセトン蒸気、HS、NO、N、NH、HO、ヒドラジン、及びNFの中の1つのラジカルを含む、請求項8に記載の装置。
  12. 前記ガスの分圧が約10E-4mbar~約10E-2mbarの範囲内である、請求項8に記載の装置。
  13. 溶融ターゲット材料及び水素ガスが供給される容器中に反射光学素子を有するEUV放射源中の容器中のある領域中の水素ラジカルの分圧を低下させる方法であって、前記反射光学素子が主焦点を有し、
    前記方法が、
    水素ラジカルとの反応に関与させるために活性ガスを前記領域中に導入し、前記領域では前記水素ラジカルが消費されるステップと、
    上記領域と主焦点との間の位置で前記容器から活性ガスと反応生成物とを排出するステップと、
    を含む方法。
  14. 前記活性ガスが酸素を含む、請求項13に記載の方法。
  15. 前記活性ガスがメタンを含む、請求項13に記載の方法。
JP2021516958A 2018-10-22 2019-10-21 Euv光源中の放射源材料の汚染を軽減するための装置及び方法 Active JP7454561B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862748735P 2018-10-22 2018-10-22
US62/748,735 2018-10-22
PCT/US2019/057256 WO2020086478A1 (en) 2018-10-22 2019-10-21 Apparatus for and method of reducing contamination from source material in an euv light source

Publications (2)

Publication Number Publication Date
JP2022503823A JP2022503823A (ja) 2022-01-12
JP7454561B2 true JP7454561B2 (ja) 2024-03-22

Family

ID=68542766

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021516958A Active JP7454561B2 (ja) 2018-10-22 2019-10-21 Euv光源中の放射源材料の汚染を軽減するための装置及び方法

Country Status (5)

Country Link
US (2) US11874608B2 (ja)
JP (1) JP7454561B2 (ja)
CN (1) CN113039868A (ja)
NL (1) NL2024042A (ja)
WO (1) WO2020086478A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220106751A (ko) * 2019-11-27 2022-07-29 에이에스엠엘 네델란즈 비.브이. 광학 시스템을 위한 억제제 물질
US11823811B2 (en) 2021-01-21 2023-11-21 Massachusetts Institute Of Technology High-density cryogenic wiring for superconducting qubit control

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008108945A (ja) 2006-10-26 2008-05-08 Ushio Inc 極端紫外光光源装置
JP2018500601A (ja) 2014-12-16 2018-01-11 ケーエルエー−テンカー コーポレイション プラズマベース光源

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6724460B2 (en) 2001-11-19 2004-04-20 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, device manufactured thereby, cleaning unit and method of cleaning contaminated objects
JP2005332972A (ja) 2004-05-20 2005-12-02 Nikon Corp 光学素子、光学装置、及び半導体デバイスの製造方法
US7355672B2 (en) * 2004-10-04 2008-04-08 Asml Netherlands B.V. Method for the removal of deposition on an optical element, method for the protection of an optical element, device manufacturing method, apparatus including an optical element, and lithographic apparatus
US7518128B2 (en) * 2006-06-30 2009-04-14 Asml Netherlands B.V. Lithographic apparatus comprising a cleaning arrangement, cleaning arrangement and method for cleaning a surface to be cleaned
TWI402628B (zh) * 2007-08-31 2013-07-21 Cymer Inc 控管極遠紫外線(euv)光微影裝置腔室間之氣體流動的系統
JP5705592B2 (ja) 2010-03-18 2015-04-22 ギガフォトン株式会社 極端紫外光生成装置
NL2011237A (en) 2012-08-03 2014-02-04 Asml Netherlands Bv Lithographic apparatus and method.
US9557650B2 (en) 2013-09-09 2017-01-31 Asml Netherlands B.V. Transport system for an extreme ultraviolet light source
US9301382B2 (en) * 2013-12-02 2016-03-29 Asml Netherlands B.V. Apparatus for and method of source material delivery in a laser produced plasma EUV light source
DE102014114572A1 (de) 2014-10-08 2016-04-14 Asml Netherlands B.V. EUV-Lithographiesystem und Betriebsverfahren dafür
US9776218B2 (en) 2015-08-06 2017-10-03 Asml Netherlands B.V. Controlled fluid flow for cleaning an optical element
US9888554B2 (en) * 2016-01-21 2018-02-06 Asml Netherlands B.V. System, method and apparatus for target material debris cleaning of EUV vessel and EUV collector
DE102016125695A1 (de) 2016-12-23 2018-01-25 Asml Netherlands B.V. Verfahren zum Betrieb eines EUV – Lithographiesystems zur Vermeidung des chemischen Angriffs von Komponenten des EUV – Lithographiesystems durch Wasserstoff
NL2020238A (en) 2017-01-06 2018-07-23 Asml Netherlands Bv Guiding device and associated system
US10495987B2 (en) * 2017-09-28 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Radiation source apparatus, EUV lithography system, and method for decreasing debris in EUV lithography system
NL2022644A (en) 2018-03-05 2019-09-10 Asml Netherlands Bv Prolonging optical element lifetime in an euv lithography system

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008108945A (ja) 2006-10-26 2008-05-08 Ushio Inc 極端紫外光光源装置
JP2018500601A (ja) 2014-12-16 2018-01-11 ケーエルエー−テンカー コーポレイション プラズマベース光源

Also Published As

Publication number Publication date
US20240103387A1 (en) 2024-03-28
WO2020086478A1 (en) 2020-04-30
CN113039868A (zh) 2021-06-25
US11874608B2 (en) 2024-01-16
JP2022503823A (ja) 2022-01-12
US20210325791A1 (en) 2021-10-21
NL2024042A (en) 2020-05-07

Similar Documents

Publication Publication Date Title
JP6043789B2 (ja) レーザ生成プラズマ光源内の緩衝ガス流安定化のためのシステム及び方法
JP5552051B2 (ja) レーザ生成プラズマeuv光源のためのガス管理システム
JP5597993B2 (ja) レーザ生成プラズマeuv光源
US8212228B2 (en) Extreme ultra violet light source apparatus
US9000404B2 (en) Systems and methods for optics cleaning in an EUV light source
TWI669027B (zh) 以電漿為基礎之照射裝置、檢測系統及光微影系統
US20240103387A1 (en) Apparatus for and method of reducing contamination from source material in an euv light source
JP6687691B2 (ja) 放射源およびリソグラフィのための方法
US10877190B2 (en) Extreme ultraviolet radiation source
CN110967937A (zh) 操作极紫外光产生装置的方法及极紫外辐射产生装置
RU2658314C1 (ru) Высокояркостный источник эуф-излучения и способ генерации излучения из лазерной плазмы
KR20200133740A (ko) Euv 광원에서 부스러기를 제어하기 위한 장치 및 방법
WO2024094431A1 (en) Extreme ultraviolet light source obscuration bar and methods
CN117999857A (zh) 用于主动加热euv光源中的基板的装置和方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210526

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221014

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231004

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231222

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240213

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240311

R150 Certificate of patent or registration of utility model

Ref document number: 7454561

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150