JP7346698B2 - Large-area high-density plasma processing chamber for flat panel displays - Google Patents

Large-area high-density plasma processing chamber for flat panel displays Download PDF

Info

Publication number
JP7346698B2
JP7346698B2 JP2022501126A JP2022501126A JP7346698B2 JP 7346698 B2 JP7346698 B2 JP 7346698B2 JP 2022501126 A JP2022501126 A JP 2022501126A JP 2022501126 A JP2022501126 A JP 2022501126A JP 7346698 B2 JP7346698 B2 JP 7346698B2
Authority
JP
Japan
Prior art keywords
diffuser
plate
plates
lid plate
orifice
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022501126A
Other languages
Japanese (ja)
Other versions
JP2022540470A (en
Inventor
スハール アンウォー,
ジーヴァン プラカシュ セケイラ,
ユイ ルン ウー,
ジョゼフ クデラ,
カール, エー. ソレンセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022540470A publication Critical patent/JP2022540470A/en
Priority to JP2023144657A priority Critical patent/JP2024012276A/en
Application granted granted Critical
Publication of JP7346698B2 publication Critical patent/JP7346698B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Description

[0001] 本開示の実施形態は、広くは、プラズマ化学気相堆積(PECVD)チャンバなどのプロセスチャンバに関する。特に、本開示の実施形態は、プロセスチャンバ用のリッドアセンブリに関する。 [0001] Embodiments of the present disclosure generally relate to process chambers, such as plasma enhanced chemical vapor deposition (PECVD) chambers. In particular, embodiments of the present disclosure relate to lid assemblies for process chambers.

[0002] ソーラーパネルやフラットパネルディスプレイの製造では、半導体基板、ソーラーパネル基板、及び液晶ディスプレイ(LCD)、並びに/又は有機発光ダイオード(OLED)基板などの基板上に薄膜を堆積させて、その基板上に電子デバイスを形成するために、数多くのプロセスが採用されている。堆積は、一般に、温度制御された基板支持体上に配置された基板を有するチャンバの中に前駆体ガスを導入することによって実現される。前駆体ガスは、典型的には、チャンバの上部付近に配置されたガス分配プレートを通して導かれる。チャンバ内の前駆体ガスは、チャンバに結合された1以上の高周波(RF)源からチャンバ内に配置された導電性シャワーヘッドにRF電力を印加することによって、プラズマの中にエネルギー供給(例えば、励起)されてもよい。励起されたガスは、反応して、温度制御された基板支持体上に配置された基板の表面上に材料の層を形成する。 [0002] In the manufacture of solar panels and flat panel displays, thin films are deposited onto substrates such as semiconductor substrates, solar panel substrates, and liquid crystal display (LCD) and/or organic light emitting diode (OLED) substrates. A number of processes have been employed to form electronic devices thereon. Deposition is generally accomplished by introducing a precursor gas into a chamber with a substrate disposed on a temperature-controlled substrate support. The precursor gas is typically directed through a gas distribution plate located near the top of the chamber. Precursor gases within the chamber are energized (e.g., excitation). The excited gas reacts to form a layer of material on the surface of the substrate disposed on the temperature controlled substrate support.

[0003] 電子デバイスを形成するための基板のサイズは、今日では、通常、表面積が1平方メートルを超えている。これらの基板にわたる膜厚の均一性を実現することは困難である。膜厚の均一性は、基板のサイズが大きくなるにつれて更に難しくなる。伝統的に、プラズマは、ガス原子をイオン化し、堆積ガスのラジカルを生成するための従来のチャンバ内で生成される。それらは、容量結合電極構成を使用して、このサイズの基板上に膜層を堆積させるのに有用である。最近、丸い基板又はウエハ上への堆積において歴史的に利用されてきた誘導結合プラズマ構成への関心が、これらの大きな基板用の堆積プロセスに使用するために探求されている。しかし、誘導結合は、構造的支持構成要素として誘電材料を利用する。これらの誘電材料は、これらのより大きな基板向けに従来のチャンバで使用されているように、大気側であるチャンバの大面積構造部分の一方の側に対して大気圧が存在し、他方の側に減圧条件が存在することによって生じる構造的な荷重に耐える、構造的強度を有していない。したがって、誘導結合プラズマシステムは、大面積基板のプラズマプロセス用に開発されつつある。しかし、プロセスの均一性、例えば、大きな基板にわたる堆積厚さの均一性が所望のようにならない。 [0003] The size of substrates for forming electronic devices today typically exceeds one square meter in surface area. Achieving film thickness uniformity across these substrates is difficult. Film thickness uniformity becomes more difficult as the size of the substrate increases. Traditionally, a plasma is generated in a conventional chamber to ionize gas atoms and generate deposition gas radicals. They are useful for depositing film layers on substrates of this size using capacitively coupled electrode configurations. Recently, interest in inductively coupled plasma configurations, which have historically been utilized in deposition on round substrates or wafers, has been explored for use in deposition processes for these large substrates. However, inductive coupling utilizes dielectric materials as structural support components. These dielectric materials are used in conventional chambers for these larger substrates, such that atmospheric pressure is present on one side of the large-area structural portion of the chamber, which is the atmospheric side, and on the other side. does not have the structural strength to withstand the structural loads caused by the presence of reduced pressure conditions. Therefore, inductively coupled plasma systems are being developed for plasma processing of large area substrates. However, process uniformity, eg, uniformity of deposition thickness over large substrates, is not as desired.

[0004] したがって、当該技術分野で必要とされるのは、基板の堆積表面全体にわたって膜厚の均一性を改善するように構成された大面積基板について使用するためのチャンバのリッドアセンブリである。 [0004] Accordingly, what is needed in the art is a chamber lid assembly for use with large area substrates that is configured to improve film thickness uniformity across the deposition surface of the substrate.

[0005] 本明細書で説明される実施形態は、チャンバの内部空間内のプラズマ密度及びガス分布を独立して制御するためのチャンバのリッドプレートを提供する。一実施形態では、リッドアセンブリが、複数のディフューザプレートを備えるガス分配アセンブリを含み、ディフューザプレートの一部分は誘電体プレートによって分離され、複数のディフューザプレートの各々は、第1の面内に形成された溝、及び溝の面と第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含む。 [0005] Embodiments described herein provide a chamber lid plate for independently controlling plasma density and gas distribution within the interior space of the chamber. In one embodiment, the lid assembly includes a gas distribution assembly with a plurality of diffuser plates, a portion of the diffuser plates are separated by a dielectric plate, and each of the plurality of diffuser plates is formed in a first plane. a groove and one or more orifice holes formed between a surface of the groove and a second surface opposite the first surface.

[0006] 別の一実施形態では、リッドプレートが、複数のディフューザプレートを備えるガス分配アセンブリを含み、複数のディフューザプレートの一部分は、複数の誘電体プレート及び複数の分離プレートによって分離され、複数のディフューザプレートの各々は、第1の面内に形成された溝、及び溝の面と第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含む。 [0006] In another embodiment, a lid plate includes a gas distribution assembly comprising a plurality of diffuser plates, a portion of the plurality of diffuser plates is separated by a plurality of dielectric plates and a plurality of separation plates, and a plurality of Each of the diffuser plates includes a groove formed in a first surface and one or more orifice holes formed between a surface of the groove and a second surface opposite the first surface.

[0007] 更に別の一実施形態では、リッドプレートが、複数のディフューザプレートを備えるガス分配アセンブリを含み、複数のディフューザプレートは、複数の内側ディフューザプレート、及び複数の内側ディフューザプレートの両側にある外側ディフューザプレートを備え、複数の内側ディフューザプレートは、1以上の誘電体プレート及び複数の分離プレートによって分離され、複数のディフューザプレートの各々は、第1の面内に形成された溝、及び溝の面と第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含む。 [0007] In yet another embodiment, the lid plate includes a gas distribution assembly comprising a plurality of diffuser plates, the plurality of diffuser plates including a plurality of inner diffuser plates and an outer diffuser plate on opposite sides of the plurality of inner diffuser plates. a plurality of inner diffuser plates separated by one or more dielectric plates and a plurality of separation plates, each of the plurality of diffuser plates having a groove formed in a first surface and a surface of the groove; and a second surface opposite the first surface.

[0008] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかしながら、添付図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容され得ることに留意されたい。 [0008] In order that the features of the present disclosure described above may be understood in detail, a more specific description of the present disclosure briefly summarized above may be obtained by reference to the embodiments, and some embodiments. are illustrated in the accompanying drawings. It should be noted, however, that the accompanying drawings depict only exemplary embodiments and therefore should not be considered as limiting the scope of the disclosure, as other equally valid embodiments may also be tolerated.

[0009] 一実施形態によるチャンバの概略断面図である。[0009] FIG. 2 is a schematic cross-sectional view of a chamber according to one embodiment. [0010] 一実施形態によるプレートの概略断面図である。[0010] FIG. 2 is a schematic cross-sectional view of a plate according to one embodiment. [0011] 一実施形態によるプレートの概略斜視図である。[0011] FIG. 2 is a schematic perspective view of a plate according to one embodiment. [0012] 一実施形態によるプレートの負の斜視図(negative perspective view)である。[0012] FIG. 3 is a negative perspective view of a plate according to one embodiment. [0013] 一実施形態によるプレートの概略下面図である。[0013] FIG. 2 is a schematic bottom view of a plate according to one embodiment. [0014] リッドプレートの一実施態様の概略下面図である。[0014] FIG. 2 is a schematic bottom view of one embodiment of a lid plate. [0015] 図5のリッドプレートの断面図である。[0015] FIG. 6 is a cross-sectional view of the lid plate of FIG. 5. 図5のリッドプレートの断面図である。6 is a sectional view of the lid plate of FIG. 5. FIG. [0016] 図6Aからのリッドプレートの拡大断面図である。[0016] FIG. 6B is an enlarged cross-sectional view of the lid plate from FIG. 6A. [0017] ディフューザプレートの裏側面の平面図である。[0017] FIG. 3 is a plan view of the back side of the diffuser plate. [0018] ディフューザプレートの様々な構成を示す、図8からの断面図である。[0018] FIG. 9 is a cross-sectional view from FIG. 8 showing various configurations of the diffuser plate. ディフューザプレートの様々な構成を示す、図8からの断面図である。9 is a cross-sectional view from FIG. 8 showing various configurations of the diffuser plate; FIG. ディフューザプレートの様々な構成を示す、図8からの断面図である。9 is a cross-sectional view from FIG. 8 showing various configurations of the diffuser plate; FIG. [0019] リッドプレートの別の一実施態様の概略下面図である。[0019] FIG. 3 is a schematic bottom view of another embodiment of the lid plate.

[0020] 理解し易くするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及びフィーチャは、更なる記述がなくても、他の実施形態に有益に組み込むことができると考えられている。 [0020] For ease of understanding, where possible, the same reference numbers have been used to refer to the same elements common to the figures. It is contemplated that elements and features of one embodiment may be beneficially incorporated into other embodiments without further description.

[0021] 本明細書で説明される実施形態は、チャンバの内部空間内のプラズマ密度及びガス分布を独立して制御するためのチャンバのリッドアセンブリを提供する。リッドアセンブリは、プラズマ生成システム及びガス分配アセンブリを含む。プラズマ生成システムは、減圧に対して配向された下面、及び大気圧に対して配向されるように動作可能な上面を有する、複数の誘電体プレートを含む。1以上のコイルが、複数の誘電体プレート上又はその上に配置される。ガス分配アセンブリは、第1のディフューザ及び第2のディフューザを含む。第1のディフューザは、第2のディフューザの複数の第2のチャネルと交差する複数の第1のチャンネルを含む。 [0021] Embodiments described herein provide a chamber lid assembly for independently controlling plasma density and gas distribution within the interior space of the chamber. The lid assembly includes a plasma generation system and a gas distribution assembly. The plasma generation system includes a plurality of dielectric plates having lower surfaces oriented with respect to reduced pressure and upper surfaces operable to be oriented with respect to atmospheric pressure. One or more coils are disposed on or above the plurality of dielectric plates. The gas distribution assembly includes a first diffuser and a second diffuser. The first diffuser includes a plurality of first channels intersecting a plurality of second channels of the second diffuser.

[0022] 図1は、本明細書で説明される実施形態から利益を受け得る、PECVDチャンバなどのチャンバ100の概略断面図である。適切なチャンバは、カリフォルニア州サンタクララのカリフにあるアプライドマテリアルズ社(Applied Materials, Inc.)から入手することができる。以下で説明されるシステムは、例示的なチャンバであり、他の製造業者からのチャンバを含む他のチャンバが、本開示の態様を実現するために使用されてもよく、又は修正されてもよいことを理解されたい。チャンバ100は、チャンバ本体104、リッドアセンブリ106、及び基板支持アセンブリ108を含む。リッドアセンブリ106は、チャンバ本体104の上端に配置されている。 [0022] FIG. 1 is a schematic cross-sectional view of a chamber 100, such as a PECVD chamber, that may benefit from embodiments described herein. Suitable chambers are available from Applied Materials, Inc., Calif., Santa Clara, California. The systems described below are exemplary chambers; other chambers, including chambers from other manufacturers, may be used or modified to implement aspects of the present disclosure. I hope you understand that. Chamber 100 includes a chamber body 104, a lid assembly 106, and a substrate support assembly 108. Lid assembly 106 is located at the upper end of chamber body 104.

[0023] 基板支持アセンブリ108は、チャンバ本体104の内部空間内に少なくとも部分的に配置されている。基板支持アセンブリ108は、基板支持体110及びシャフト112を含む。基板支持体110は、基板102を支持するための支持面118を有する。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、基板102が、約1平方メートル以上の表面積を有する基板などの大面積基板である。しかし、基板102は、何らかの特定のサイズ又は形状に限定されない。一態様では、用語「基板」が、例えば、フラットパネルディスプレイの製造に使用されるガラス又はポリマー基板などの、任意の多角形、正方形、矩形、湾曲、又は他の非円形のワークピースを指す。 [0023] Substrate support assembly 108 is disposed at least partially within the interior space of chamber body 104. Substrate support assembly 108 includes a substrate support 110 and a shaft 112. Substrate support 110 has a support surface 118 for supporting substrate 102. In one embodiment, which may be combined with other embodiments described herein, substrate 102 is a large area substrate, such as a substrate having a surface area of about 1 square meter or more. However, substrate 102 is not limited to any particular size or shape. In one aspect, the term "substrate" refers to any polygonal, square, rectangular, curved, or other non-circular workpiece, such as, for example, a glass or polymer substrate used in the manufacture of flat panel displays.

[0024] 基板支持体110は、典型的には、加熱要素(図示せず)を含む。基板支持体110は、チャンバ本体104を通って延びるシャフト112によって、チャンバ本体104の内部空間内で移動可能に配置されている。その場合、シャフト112は、基板支持体駆動システム114に接続されている、基板支持体駆動システム114は、持ち上げられた処理位置(図示されている)と、チャンバ本体104を通して形成された開口部116を通る、チャンバ本体104の内部空間への、及び、からの基板の移送を容易にする、下げられた位置との間で、基板支持体110を移動させる。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、基板支持体駆動システム114が、シャフト112及び基板支持体110を回転させる。 [0024] Substrate support 110 typically includes a heating element (not shown). Substrate support 110 is movably disposed within the interior space of chamber body 104 by a shaft 112 extending through chamber body 104 . In that case, the shaft 112 is connected to a substrate support drive system 114 that connects the raised processing position (as shown) and the opening 116 formed through the chamber body 104. The substrate support 110 is moved to and from a lowered position that facilitates transfer of the substrate to and from the interior space of the chamber body 104 through the substrate support 110 . In one embodiment that may be combined with other embodiments described herein, substrate support drive system 114 rotates shaft 112 and substrate support 110.

[0025] リッドアセンブリ106は、チャンバ本体104の上端に配置されたリッドプレート122を含む。リッドプレート122は、ガス分配アセンブリ124及びプラズマ生成システム126を含む。ガス分配アセンブリ124は、リッドプレート122内に配置された第1のディフューザ128の1以上の第1のディフューザ入口130を含む。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、リッドプレート122が、アルミニウム含有材料を含む。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、ガス分配アセンブリ124が、リッドプレート122内に配置された第2のディフューザ136に結合された1以上の第2のディフューザ入口(図3A及び図3Bで示されている)を含む。1以上の第1のディフューザ入口130は、第1のガス源134に結合可能である。1以上の第1のディフューザ入口130の各々は、第1ディフューザ128の第1のチャネル(図3Bで示されている)と流体連通している。1以上の第2のディフューザ入口(図3A及び図3Bで示されている)は、第2のガス源138に結合可能である。1以上の第2のディフューザ入口(図3A及び図3Bで示されている)の各々は、第2のディフューザ136の第2のチャネル(図3Bで示されている)と流体連通している。幾つかの実施形態では、第1のガス源134によって提供されるガスが、第2のガス源138によって提供されるガスと同じである。 [0025] Lid assembly 106 includes a lid plate 122 located at the upper end of chamber body 104. Lid plate 122 includes a gas distribution assembly 124 and a plasma generation system 126. Gas distribution assembly 124 includes one or more first diffuser inlets 130 for a first diffuser 128 disposed within lid plate 122 . In one embodiment that may be combined with other embodiments described herein, lid plate 122 includes an aluminum-containing material. In one embodiment that may be combined with other embodiments described herein, the gas distribution assembly 124 includes one or more second diffusers coupled to a second diffuser 136 disposed within the lid plate 122. including an inlet (shown in FIGS. 3A and 3B). One or more first diffuser inlets 130 can be coupled to a first gas source 134. Each of the one or more first diffuser inlets 130 is in fluid communication with a first channel (shown in FIG. 3B) of the first diffuser 128. One or more second diffuser inlets (shown in FIGS. 3A and 3B) can be coupled to a second gas source 138. Each of the one or more second diffuser inlets (shown in FIGS. 3A and 3B) is in fluid communication with a second channel (shown in FIG. 3B) of the second diffuser 136. In some embodiments, the gas provided by first gas source 134 is the same as the gas provided by second gas source 138.

[0026] 第1のディフューザ128は、第1のガス源134から、リッドプレート122の下面160と基板支持体110との間の処理領域120に、1以上の第1のガスを供給する。1以上の第1のガスは、第1のディフューザ128の各第1のチャネル(図3Bで示されている)の複数の第1の孔(図4で示されている)を通して、処理領域120に提供される。質量流量制御(MFC)デバイスなどの流量コントローラ141が、1以上の第1のディフューザ入口130の各々と第1のガス源134との間に配置されて、第1のガス源134から各第1のチャネル(図3Bで示されている)への第1のガスの流量を制御し、したがって、処理領域120内の第1のガス流の独立した制御を提供する。1以上の第2のガスは、第2のディフューザ136の各第2のチャネル(図3Bで示されている)の複数の第2の孔(図4で示されている)を通して、処理領域120に提供される。流量コントローラ141は、1以上の第2のディフューザ入口(図3A及び図3Bで示されている)の各々と第2のガス源138との間に配置されて、第2のガス源138から各第2のチャネル(図3Bで示されている)への第2のガスの流量を制御し、したがって、処理領域120内の第2のガス流の独立した制御を提供する。ポンプ155が、処理領域120と流体連通している。ポンプ155は、処理領域120内の圧力を制御し、処理領域120からガス及び副生成物を排出するように動作可能である。一実施形態では、第1のガスと第2のガスの各々が同じガスである。 [0026] The first diffuser 128 supplies one or more first gases from the first gas source 134 to the processing region 120 between the lower surface 160 of the lid plate 122 and the substrate support 110. The one or more first gases are introduced into the processing region 120 through a plurality of first holes (shown in FIG. 4) in each first channel (shown in FIG. 3B) of the first diffuser 128. provided to. A flow controller 141 , such as a mass flow control (MFC) device, is disposed between each of the one or more first diffuser inlets 130 and the first gas source 134 to provide direct flow from the first gas source 134 to each first gas source 134 . of the first gas into the channels (shown in FIG. 3B), thus providing independent control of the first gas flow within the processing region 120. The one or more second gases enter the processing region 120 through a plurality of second holes (shown in FIG. 4) in each second channel (shown in FIG. 3B) of the second diffuser 136. provided to. A flow controller 141 is disposed between each of the one or more second diffuser inlets (shown in FIGS. 3A and 3B) and the second gas source 138 to Controls the flow rate of the second gas into the second channel (shown in FIG. 3B), thus providing independent control of the second gas flow within the processing region 120. A pump 155 is in fluid communication with the processing region 120. Pump 155 is operable to control pressure within processing region 120 and pump gases and byproducts from processing region 120 . In one embodiment, each of the first gas and the second gas is the same gas.

[0027] プラズマ生成システム126は、リッドプレート122内に平行に配置された1以上の空洞140を含む。1以上の空洞140の各々は、複数の誘電体プレート150用の凹部(図2から図4で示されている)を含む。1以上の空洞140の各々は、複数の誘電体プレート150上又はその上に配置された1以上のコイル142を含む。複数の誘電体プレート150は、1以上の空洞140内の大気圧の存在と、チャンバ本体104の内部空間内の減圧の存在と、によって生成される構造的負荷に耐える構造的強度を有する物理的バリアを提供する。複数の誘電体プレート150の各々は、下面151、及び下面151の反対側に方向付けられた上面153を含む。下面151は、処理領域120に対して(すなわち、向けて)方向付けられている。それによって、誘電体プレート150の各々の下面151は、減圧などの処理領域120内の第1の圧力に曝露される。上面153は、処理領域120とは反対に(すなわち、離れるように)方向付けられている。それによって、誘電体プレート150の各々の上面153は、大気圧などの処理領域120の外側の第2の圧力に曝露される。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、第1の圧力と第2の圧力が異なっている。 [0027] Plasma generation system 126 includes one or more cavities 140 arranged in parallel within lid plate 122. Each of the one or more cavities 140 includes recesses (shown in FIGS. 2-4) for a plurality of dielectric plates 150. Each of the one or more cavities 140 includes one or more coils 142 disposed on or above the plurality of dielectric plates 150. The plurality of dielectric plates 150 are made of a physical material having the structural strength to withstand the structural loads generated by the presence of atmospheric pressure within the one or more cavities 140 and the presence of reduced pressure within the interior space of the chamber body 104. Provide a barrier. Each of the plurality of dielectric plates 150 includes a lower surface 151 and an upper surface 153 oriented opposite the lower surface 151. Lower surface 151 is oriented relative to (ie, toward) processing region 120 . Thereby, the lower surface 151 of each dielectric plate 150 is exposed to a first pressure within the processing region 120, such as a vacuum. Top surface 153 is oriented opposite (ie, away from) processing region 120 . The top surface 153 of each dielectric plate 150 is thereby exposed to a second pressure outside of the processing region 120, such as atmospheric pressure. In one embodiment that may be combined with other embodiments described herein, the first pressure and the second pressure are different.

[0028] 本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、誘電体プレートが、、酸化アルミニウム(Al2O3)、窒化アルミニウム(AlN)、石英、二酸化ジルコニウム(ZrO2)、窒化ジルコニウム(ZrN)、及びガラス材料のうちの少なくとも1つを含む。各コイル142は、電源152に接続された電気入力端子144、及びアース154に接続された電気出力端子146を有する。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、各コイル142が、コイル142のインピーダンスなどの電気特性を調整するための整合回路を有する整合ボックス148を介して電源152に接続されている。各コイル142は、1以上の第1のガスと第2のガスのうちの少なくとも1つを誘導結合プラズマの中にエネルギー供給する電磁場を生成するように構成されている。それぞれの電源152に対して1以上の空洞140の各々の各コイル142を独立して接続することにより、各コイル142に提供される電力レベル及び周波数の独立した制御が可能になる。各コイル142に提供される電力レベル及び周波数の独立した制御により、誘導結合プラズマの密度を、各コイル142に対応するプロセスゾーン156a、156b、156c、156d(集合的にプロセスゾーン156と称される)内で独立して制御することが可能になる。コントローラ158は、チャンバ100に結合され、処理中にチャンバ100の諸態様を制御するように構成されている。 [0028] In one embodiment that may be combined with other embodiments described herein, the dielectric plate comprises aluminum oxide (Al 2 O 3 ), aluminum nitride (AlN), quartz, zirconium dioxide (ZrO 2 ), zirconium nitride (ZrN), and a glass material. Each coil 142 has an electrical input terminal 144 connected to a power source 152 and an electrical output terminal 146 connected to ground 154. In one embodiment, which may be combined with other embodiments described herein, each coil 142 connects to the power source 152 via a matching box 148 having a matching circuit to adjust electrical characteristics such as the impedance of the coil 142. It is connected to the. Each coil 142 is configured to generate an electromagnetic field that energizes at least one of the one or more first gases and the second gas into an inductively coupled plasma. Independently connecting each coil 142 of each of one or more cavities 140 to a respective power source 152 allows independent control of the power level and frequency provided to each coil 142. Independent control of the power level and frequency provided to each coil 142 allows the density of the inductively coupled plasma to be adjusted to the process zones 156a, 156b, 156c, 156d (collectively referred to as process zones 156) corresponding to each coil 142. ) can be controlled independently. Controller 158 is coupled to chamber 100 and configured to control aspects of chamber 100 during processing.

[0029] 図2は、リッドプレート122の概略断面図である。図2は、ガス分配アセンブリ124の第1のディフューザ128の1以上の第1のディフューザ入口130、並びに、プラズマ生成システム126の複数の誘電体プレート150用の、1以上の空洞140、各コイル142、各電気入力端子144、各電気出力端子146、及び凹部201を示している。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、リッドアセンブリ106が、熱交換器(図示せず)に結合可能な複数の流体チャネル(図3Bで示されている)を含む、熱交換システムを含む。冷却器などの熱交換器は、複数の流体チャネル(図3Bで示されている)の流体入口202及び流体出口204を介して各流体チャネルと流体連通している。それによって、リッドプレート122は、所定の温度に維持されている。各コイル142は、1以上のターン(turn)を有する。 [0029] FIG. 2 is a schematic cross-sectional view of the lid plate 122. FIG. 2 shows one or more first diffuser inlets 130 of a first diffuser 128 of a gas distribution assembly 124, as well as one or more cavities 140, each coil 142, for a plurality of dielectric plates 150 of a plasma generation system 126. , each electrical input terminal 144, each electrical output terminal 146, and the recess 201 are shown. In one embodiment that may be combined with other embodiments described herein, the lid assembly 106 includes a plurality of fluid channels (as shown in FIG. 3B) that can be coupled to a heat exchanger (not shown). including heat exchange systems. A heat exchanger, such as a cooler, is in fluid communication with each fluid channel via fluid inlet 202 and fluid outlet 204 of the plurality of fluid channels (shown in FIG. 3B). Thereby, the lid plate 122 is maintained at a predetermined temperature. Each coil 142 has one or more turns.

[0030] 図3Aは、複数の誘電体プレート150及び各コイル142を有さないリッドプレート122の概略斜視図である。図3Bは、複数の誘電体プレート150及びコイル142を有さないリッドプレート122の負の斜視図である。リッドプレート122は、複数の第1のチャネル302を含む。第1のチャネル302の各々は、リッドプレート122内に配置されるか又は形成されている。複数の第1のチャネル302の各第1のチャネルは、凹部201のうちの1つに隣接して配置されている。凹部201の各々は、リッドプレート122内に配置された2つの隣接する第1のチャネル302の間にある。第1のチャネル302の各々は、1以上の第1のディフューザ入口130のうちの少なくとも1つの第1のディフューザ入口と流体連通している。 [0030] FIG. 3A is a schematic perspective view of the lid plate 122 without the plurality of dielectric plates 150 and each coil 142. FIG. 3B is a negative perspective view of lid plate 122 without multiple dielectric plates 150 and coils 142. FIG. Lid plate 122 includes a plurality of first channels 302. Each of the first channels 302 is located or formed within the lid plate 122. Each first channel of the plurality of first channels 302 is disposed adjacent one of the recesses 201. Each of the recesses 201 is between two adjacent first channels 302 located within the lid plate 122. Each of the first channels 302 is in fluid communication with at least one first diffuser inlet of the one or more first diffuser inlets 130.

[0031] 本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、リッドプレート122が、リッドプレート122内に配置されるか又は形成された複数の第2のチャネル304を含む。複数の第2のチャネル304の各第2のチャネルは、1以上の空洞140のうちの2つの隣接する空洞140の間に配置されている。第2のチャネル304の各々は、リッドプレート122内に形成された1以上の第2のディフューザ入口306のうちの少なくとも1つの第2のディフューザと流体連通している。本明細書で説明される他の実施形態と組み合わされ得る別の一実施形態では、リッドプレート122が、熱交換器(図示せず)に結合可能な熱交換システムの複数の流体チャネル308を含む。冷却器などの熱交換器は、流体入口202及び流体出口204を介して複数の流体チャネル308と流体連通している。複数の流体チャネル308は、1以上の空洞140と凹部201の外側の凹部とに隣接して配置されている。 [0031] In one embodiment that may be combined with other embodiments described herein, the lid plate 122 includes a plurality of second channels 304 disposed or formed within the lid plate 122. . Each second channel of the plurality of second channels 304 is disposed between two adjacent cavities 140 of the one or more cavities 140. Each of the second channels 304 is in fluid communication with at least one second diffuser of one or more second diffuser inlets 306 formed within the lid plate 122 . In another embodiment that may be combined with other embodiments described herein, the lid plate 122 includes a plurality of fluid channels 308 of a heat exchange system that can be coupled to a heat exchanger (not shown). . A heat exchanger, such as a cooler, is in fluid communication with the plurality of fluid channels 308 via fluid inlet 202 and fluid outlet 204. A plurality of fluid channels 308 are disposed adjacent one or more cavities 140 and a recess external to recess 201 .

[0032] 図4は、リッドプレート122の概略下面図である。図4で示されているように、第1のチャネル302の各々と第2のチャネル304の各々とは交差している。本明細書で説明される他の実施形態と組み合わされ得る一実施形態では、第1のチャネル302の各々が、第2のチャネル304の各々と直交している。誘電体プレート150の各々は、第1のチャネル302に隣接して配置され、第2のチャネル304のうちの少なくとも1つに隣接して配置されている。複数の第1のチャネル302の各第1のチャネルは、リッドプレート122を通って延びる複数の第1の孔402を含む。流量コントローラ141は、第1のガス源134から複数の第1の孔402を通る第1のガスの流量を制御する。第1のガスの流量を制御することにより、複数の第1のチャネル302の各第1のチャネルに対応する、処理領域120の第1のゾーン406a、406b、406c、406d、406e、406f、406g、406h、406i(集合的に第1のゾーン406と称される)内の第1のガス流の独立した制御が提供される。本明細書で説明される他の実施形態と組み合わされ得る、第2のディフューザ136を有する一実施形態では、複数の第2のチャネル304の各第2のチャネルが、リッドプレート122を通って延びる複数の第2の孔404を含む。流量コントローラ141は、第2のガス源138から複数の第2の孔404を通る第2のガスの流量を制御する。第2のガスの流量を制御することにより、複数の第2のチャネル304の各第2のチャネルに対応する、処理領域120の第2のゾーン、408a、408b、408c(集合的に第2のゾーン408と称される)内の第2のガス流を独立して制御することができる。 [0032] FIG. 4 is a schematic bottom view of the lid plate 122. As shown in FIG. 4, each of the first channels 302 and each of the second channels 304 intersect. In one embodiment that may be combined with other embodiments described herein, each of the first channels 302 is orthogonal to each of the second channels 304. Each of the dielectric plates 150 is disposed adjacent to the first channel 302 and disposed adjacent to at least one of the second channels 304. Each first channel of the plurality of first channels 302 includes a plurality of first holes 402 extending through the lid plate 122. The flow controller 141 controls the flow rate of the first gas from the first gas source 134 through the plurality of first holes 402 . A first zone 406a, 406b, 406c, 406d, 406e, 406f, 406g of the processing region 120 corresponding to each first channel of the plurality of first channels 302 by controlling the flow rate of the first gas. , 406h, 406i (collectively referred to as first zone 406). In one embodiment having a second diffuser 136, which may be combined with other embodiments described herein, each second channel of the plurality of second channels 304 extends through the lid plate 122. A plurality of second holes 404 are included. Flow controller 141 controls the flow rate of second gas from second gas source 138 through second plurality of holes 404 . By controlling the flow rate of the second gas, the second zones 408a, 408b, 408c (collectively the second The second gas flow within zone 408 (referred to as zone 408) can be independently controlled.

[0033] 図5は、リッドプレート122の一実施態様の概略下面図である。図5のリッドプレート122は、リッドプレート122の下面160の構造を概略的に示している。第1のゾーン406及び第2のゾーン408は図示されていないが、リッドプレート122は、上述のように1以上のゾーンを含み得る。 [0033] FIG. 5 is a schematic bottom view of one embodiment of the lid plate 122. The lid plate 122 in FIG. 5 schematically shows the structure of the lower surface 160 of the lid plate 122. As shown in FIG. Although first zone 406 and second zone 408 are not shown, lid plate 122 may include one or more zones as described above.

[0034] リッドプレート122は、外側ディフューザプレート500及び内側ディフューザプレート505として示されている、複数のディフューザプレートを含む。内側ディフューザプレート505の各々は、誘電体プレート150及び/若しくは分離プレート510によって分離されるか、並びに/又はそれらの間に配置されている。外側ディフューザプレート500の各々は、それの片側に、誘電体プレート150及び1以上の分離プレート510を有する。 [0034] Lid plate 122 includes a plurality of diffuser plates, shown as outer diffuser plate 500 and inner diffuser plate 505. Each of the inner diffuser plates 505 is separated by and/or disposed between a dielectric plate 150 and/or a separation plate 510. Each of the outer diffuser plates 500 has a dielectric plate 150 and one or more isolation plates 510 on one side thereof.

[0035] 外側ディフューザプレート500、内側ディフューザプレート505、及び分離プレート510の各々は、アルミニウムなどの導電性材料で作製されてよい。 [0035] Each of outer diffuser plate 500, inner diffuser plate 505, and separation plate 510 may be made of a conductive material such as aluminum.

[0036] この実施形態では、分離プレート510、ならびに、外側ディフューザプレート500及び内側ディフューザプレート505の各々が、それぞれ、複数のファスナ515及び520を含む。ファスナ515及び520の各々は、セラミック材料又は金属材料で作製されてよい。外側ディフューザプレート500と内側ディフューザプレート505の各々は、一体(すなわち、一体構造)であってもよく、又は外側ディフューザプレート500と内側ディフューザプレート505の各々は、複数の部品を含んでもよい。同様に、誘電体プレート150は、単一の材料片を含んでもよく、又は複数のプレートを含んでもよい。誘電体プレート150が複数のプレートである実施形態では、誘電体プレート150の各々が、ファスナ(図示せず)を使用してリッドプレート122に結合されてもよく、並びに/又は分離プレート510及び/若しくは外側ディフューザプレート500と内側ディフューザプレート505とに結合されてもよい。 [0036] In this embodiment, separation plate 510 and each of outer diffuser plate 500 and inner diffuser plate 505 include a plurality of fasteners 515 and 520, respectively. Each of fasteners 515 and 520 may be made of ceramic or metallic materials. Each of the outer diffuser plate 500 and the inner diffuser plate 505 may be integral (ie, unitary construction), or each of the outer diffuser plate 500 and the inner diffuser plate 505 may include multiple parts. Similarly, dielectric plate 150 may include a single piece of material or may include multiple plates. In embodiments where dielectric plate 150 is a plurality of plates, each dielectric plate 150 may be coupled to lid plate 122 using fasteners (not shown) and/or may be coupled to isolation plate 510 and/or Alternatively, it may be coupled to the outer diffuser plate 500 and the inner diffuser plate 505.

[0037] 外側ディフューザプレート500と内側ディフューザプレート505の各々は、1以上のオリフィス孔525(例えば、第1の孔402)を含む。1以上のオリフィス孔525の各々は、第1のチャネル302(図3Bでも示されている)のうちの対応する1つと流体連通している。幾つかの実施形態では、分離プレート510の各々が、1以上のオリフィス孔530(例えば、第2の孔404)を含む。分離プレート510の1以上のオリフィス孔530の各々は、第2のチャネル304(図3Bでも示されている)のうちの対応する1つと流体連通している。 [0037] Each of outer diffuser plate 500 and inner diffuser plate 505 includes one or more orifice holes 525 (eg, first hole 402). Each of the one or more orifice holes 525 is in fluid communication with a corresponding one of the first channels 302 (also shown in FIG. 3B). In some embodiments, each separation plate 510 includes one or more orifice holes 530 (eg, second hole 404). Each of the one or more orifice holes 530 of the separation plate 510 is in fluid communication with a corresponding one of the second channels 304 (also shown in FIG. 3B).

[0038] 図6A及び図6Bは、図5からのリッドプレート122の断面図である。図6Aでは、外側ディフューザ板プレート505と内側ディフューザプレート500の一部分が、それらの間の分離プレート510の部分と共に示されている。図6Bでは、内側プレート505のうちの1つが、その長さ方向に沿って示されている。 [0038] FIGS. 6A and 6B are cross-sectional views of the lid plate 122 from FIG. 5. In FIG. 6A, a portion of an outer diffuser plate 505 and an inner diffuser plate 500 are shown along with a portion of a separation plate 510 therebetween. In FIG. 6B, one of the inner plates 505 is shown along its length.

[0039] 図7は、図6Aからのリッドプレート122の拡大断面図である。内側ディフューザプレート505のうちの1つ、ならびに2つの分離プレート510の一部分が図示されている。内側ディフューザプレート505は、複数の第1のチャネル302のうちの1つならびに1以上のオリフィス孔525と流体連通する溝700を含む。図示されていないが、内側ディフューザプレート505のうちの他のものも、同様に構成されてよい。加えて、外側ディフューザプレート500は、溝700、ならびに1以上のオリフィス孔525を含む。 [0039] FIG. 7 is an enlarged cross-sectional view of the lid plate 122 from FIG. 6A. One of the inner diffuser plates 505 is shown, as well as a portion of two separation plates 510. Inner diffuser plate 505 includes a groove 700 in fluid communication with one of the plurality of first channels 302 as well as one or more orifice holes 525. Although not shown, other of the inner diffuser plates 505 may be similarly configured. In addition, outer diffuser plate 500 includes a groove 700 as well as one or more orifice holes 525.

[0040] 内側ディフューザプレート505は、ファスナ520によってリッドプレート122の本体705に結合されている。各ファスナ520は、溝700及び1以上のオリフィス孔525の両側にあるそれぞれの皿孔710内に配置されている。同様に、分離プレート510は、ファスナ715(1つだけが図示されている)によって本体705に結合されている。ファスナ715は、皿孔720内に配置されている。ファスナ715及び520は、分離プレート510の(下)面725A及び内側ディフューザプレート505の(下)面725Bまで、それぞれの皿孔内で延在する。面725A及び面725Bは、平面的であるか又は平坦である。それによって、それらの面は、互いに同一平面上にある。加えて、それぞれの皿孔内のファスナ715及び520の延長部は、平坦又は平面的な下面を呈する(すなわち、突出や窪みがない)。それによって、より均一なプラズマ形成が促進される。図示されていないが、誘電体プレート150(すなわち、下面151)の各々も、面725Bと同一平面上にある。 [0040] Inner diffuser plate 505 is coupled to body 705 of lid plate 122 by fasteners 520. Each fastener 520 is disposed within a respective countersink 710 on either side of the groove 700 and one or more orifice holes 525. Similarly, separation plate 510 is coupled to body 705 by fasteners 715 (only one shown). Fastener 715 is positioned within countersink 720 . Fasteners 715 and 520 extend within their respective countersinks to a (lower) surface 725A of separation plate 510 and a (lower) surface 725B of inner diffuser plate 505. Surface 725A and surface 725B are planar or flat. The surfaces are thereby coplanar with each other. Additionally, the extensions of fasteners 715 and 520 within their respective countersinks exhibit flat or planar undersides (i.e., no protrusions or depressions). This promotes more uniform plasma formation. Although not shown, each dielectric plate 150 (ie, lower surface 151) is also coplanar with surface 725B.

[0041] 溝700及び第1のチャネル302は、本体705内に形成された溝735内に配置された弾性シール(elastomeric seal)730によって流体的に密封されている。弾性シール730は、溝700及び第1のチャネル302を取り囲むようにサイズ決定されている。弾性シール730は、細長いOリングであってもよい。弾性シール730は、内側ディフューザプレート505の密封面740に対して圧縮される。密封面740は、面725B及び裏側面745の残り、ならびに内側ディフューザプレート505の他の外面よりも滑らかである。幾つかの実施形態では、密封面740が、約16(二乗平均平方根(RMS))又は16マイクロインチ(平均表面粗さ(Ra))の表面仕上げを含む。 [0041] Groove 700 and first channel 302 are fluidly sealed by an elastomeric seal 730 disposed within a groove 735 formed within body 705. Resilient seal 730 is sized to surround groove 700 and first channel 302 . Resilient seal 730 may be an elongated O-ring. Resilient seal 730 is compressed against sealing surface 740 of inner diffuser plate 505. Sealing surface 740 is smoother than surface 725B and the remainder of back side 745, as well as other outer surfaces of inner diffuser plate 505. In some embodiments, the sealing surface 740 includes a surface finish of approximately 16 (root mean square (RMS)) or 16 microinches (average surface roughness (Ra)).

[0042] 図8は、ディフューザプレート800の裏側面745の平面図である。ディフューザプレート800は、外側ディフューザプレート500のうちの1つであってもよく、又は内側ディフューザプレート505のうちの1つであってもよい。 [0042] FIG. 8 is a plan view of the back side 745 of the diffuser plate 800. Diffuser plate 800 may be one of outer diffuser plates 500 or one of inner diffuser plates 505.

[0043] ディフューザプレート800は、基板(図示せず)の長さ又は幅よりも長い長さ805を含む。一実施例では、長さ805が、約5フィートから約6フィート、又はそれよりも長い。密封面740は、溝700を取り囲むように図示されている。加えて、複数の孔810が、ディフューザプレート800の長さ805に沿って配置されている。各孔810は、ファスナ520(図7で示されている)を受け入れるようになっている。孔810は、ディフューザプレート800の縁部815と密封面740との間に形成されている。各ファスナ520は、ねじ回し、六角キー、TORX(登録商標)の下に市販されているビットと共に使用可能なドライバーなど、と共に使用され得る六角頭部又は凹んだインターフェースなどの工具インターフェースを有する、ねじ又はボルトである。 [0043] Diffuser plate 800 includes a length 805 that is greater than the length or width of a substrate (not shown). In one example, length 805 is about 5 feet to about 6 feet, or longer. A sealing surface 740 is shown surrounding groove 700. Additionally, a plurality of holes 810 are arranged along the length 805 of the diffuser plate 800. Each hole 810 is adapted to receive a fastener 520 (shown in FIG. 7). Hole 810 is formed between edge 815 of diffuser plate 800 and sealing surface 740. Each fastener 520 is a threaded screw having a tool interface, such as a hex head or recessed interface, that can be used with a screwdriver, hex key, driver, etc. that can be used with a bit commercially available under TORX®. Or a bolt.

[0044] オリフィス孔525は、この図面では示されていないが、複数のオリフィス位置825の各々において溝700内に形成されている。長さ820は、オリフィス孔525が溝700に沿って開始及び終了する位置を示している。長さ820は、長さ805よりも短い。オリフィス位置825は、長さ820の範囲内に位置付けられている。オリフィス位置825は、長さ820に沿った等ピッチ又は不等ピッチであってよい。オリフィス位置825の間のピッチは、約0.25インチから約1インチであってよい。 [0044] Although not shown in this figure, an orifice hole 525 is formed within groove 700 at each of a plurality of orifice locations 825. Length 820 indicates where orifice hole 525 begins and ends along groove 700. Length 820 is shorter than length 805. Orifice location 825 is located within length 820. Orifice locations 825 may be at equal or unequal pitches along length 820. The pitch between orifice locations 825 may be about 0.25 inch to about 1 inch.

[0045] 図9A~図9Cは、ディフューザプレート800の様々な構成を示している、図8からの断面図である。特に、図9A~図9Cは、溝700及び/又はオリフィス孔525のプロファイルの変形例を示している。 [0045] FIGS. 9A-9C are cross-sectional views from FIG. 8 showing various configurations of diffuser plate 800. In particular, FIGS. 9A-9C illustrate variations in the profile of groove 700 and/or orifice hole 525.

[0046] 図9Aでは、ディフューザプレート900Aが、示されており、半円形状プロファイルを有する溝700を含む。加えて、3つのオリフィス孔525が、第1の面905と溝700の面910との間に形成されるように示されている。溝700の面910は、半径面(radius surface)又は曲面である。3つのオリフィス孔525が図示されているが、オリフィス孔の数は、図8で示されているオリフィス位置825の各々において、1つから5つであってよく又はそれより多くてよい。 [0046] In FIG. 9A, a diffuser plate 900A is shown and includes a groove 700 having a semi-circular profile. Additionally, three orifice holes 525 are shown formed between first surface 905 and surface 910 of groove 700. Surface 910 of groove 700 is a radius surface or a curved surface. Although three orifice holes 525 are shown, the number of orifice holes may be from one to five or more at each of the orifice locations 825 shown in FIG.

[0047] 図9Aで示されているオリフィス孔525は、中央オリフィス孔915及び2つの外側オリフィス孔920を含む。中央オリフィス孔915の直径と外側オリフィス孔920の直径は、同じであってもよく又は異なっていてもよい。中央オリフィス孔915及び外側オリフィス孔920の一部又は全部の直径は、約0.008インチから約0.04インチであってよい。外側オリフィス孔920の長さは、同じであるか又は実質的に等しくてよい。一方、中央オリフィス孔915の長さは、外側オリフィス孔920のものよりも短い。 [0047] The orifice holes 525 shown in FIG. 9A include a central orifice hole 915 and two outer orifice holes 920. The diameters of central orifice hole 915 and outer orifice hole 920 may be the same or different. The diameter of some or all of central orifice hole 915 and outer orifice hole 920 may be about 0.008 inch to about 0.04 inch. The lengths of the outer orifice holes 920 may be the same or substantially equal. On the other hand, the length of the central orifice hole 915 is shorter than that of the outer orifice hole 920.

[0048] 中央オリフィス孔915は、第1の面905から約90度の角度にある軸925に沿って設けられている。外側オリフィス孔920は、軸925から鋭角930で形成されている。鋭角930は、軸925から約20度から約50度、例えば、約35度から約45度、例えば、約40度であってよい。 [0048] Central orifice hole 915 is provided along axis 925 that is at an angle of approximately 90 degrees from first surface 905. Outer orifice hole 920 is formed at an acute angle 930 from axis 925 . Acute angle 930 may be about 20 degrees to about 50 degrees, such as about 35 degrees to about 45 degrees, such as about 40 degrees, from axis 925.

[0049] 図示されていないが、長さ820に沿って他のオリフィス位置825にある他のオリフィス孔525(図8)は、図9Aで示されている中央オリフィス孔915及び外側オリフィス孔920と、同じであってよく又は異なっていてもよい。加えて、面910は、長さ805(図8)に沿って一定であってよい。しかし、面910は、長さ805に沿って異なっていてもよい。例えば、溝700は、長さ805に沿って、ディフューザプレート800の中央部分でより深くてよく、ディフューザプレート800の端部分においてより浅くてよい。 [0049] Although not shown, other orifice holes 525 (FIG. 8) at other orifice locations 825 along length 820 are similar to the central orifice hole 915 and outer orifice holes 920 shown in FIG. 9A. , may be the same or different. Additionally, surface 910 may be constant along length 805 (FIG. 8). However, surface 910 may vary along length 805. For example, the grooves 700 may be deeper along the length 805 in the central portion of the diffuser plate 800 and shallower in the end portions of the diffuser plate 800.

[0050] 図9Bは、以下の例外を除いて図9Aで示されているディフューザプレート900Aと実質的に同様であるディフューザプレート900Bを示している。溝700は、四角形状プロファイルを有し、外側オリフィス孔920は、フレア付き部分935を含む。フレア付き部分935は、外側オリフィス孔920を溝700の面910に接続する。溝700は、面910から垂直な角度で延在する2つの側面940を含む。 [0050] FIG. 9B shows a diffuser plate 900B that is substantially similar to diffuser plate 900A shown in FIG. 9A with the following exceptions. Groove 700 has a square-shaped profile and outer orifice hole 920 includes a flared portion 935. Flared portion 935 connects outer orifice hole 920 to face 910 of groove 700. Groove 700 includes two sides 940 extending at perpendicular angles from surface 910.

[0051] 図9Cは、以下の例外を除いて図9Aで示されているディフューザプレート900Aと実質的に同様であるディフューザプレート900Cを示している。ディフューザプレート900Cは、オリフィス位置825において単一のオリフィス孔945を含む。ディフューザプレート900Cの構成は、図5で示されている外側ディフューザプレート500として有益に利用され得る。単一のオリフィス孔945は、鋭角930で角度を付けられてよく、基板102(図1で示されている)の中心に向けてガスを誘導することができる。 [0051] FIG. 9C shows a diffuser plate 900C that is substantially similar to the diffuser plate 900A shown in FIG. 9A with the following exceptions. Diffuser plate 900C includes a single orifice hole 945 at orifice location 825. The configuration of diffuser plate 900C may be advantageously utilized as outer diffuser plate 500 shown in FIG. The single orifice hole 945 may be angled at an acute angle 930 to direct gas toward the center of the substrate 102 (shown in FIG. 1).

[0052] 図10は、リッドプレート122の別の一実施態様の概略下面図である。外側ディフューザプレート500及び内側ディフューザプレート505は、他の図面では単一の一体片として示されているが、図10で示されているリッドプレート122は、複数の分割されたディフューザプレートを含む。それらは、第1の複数の外側ディフューザプレート1000及び第2の複数の内側ディフューザプレート1005として示されている。第1の複数の外側ディフューザプレート1000及び第2の複数の内側ディフューザプレート1005は、複数の行1010内に配置されている。各行1010は、他の行1010と実質的に平行である。 [0052] FIG. 10 is a schematic bottom view of another embodiment of the lid plate 122. Although the outer diffuser plate 500 and the inner diffuser plate 505 are shown as a single integral piece in other figures, the lid plate 122 shown in FIG. 10 includes multiple segmented diffuser plates. They are shown as a first plurality of outer diffuser plates 1000 and a second plurality of inner diffuser plates 1005. A first plurality of outer diffuser plates 1000 and a second plurality of inner diffuser plates 1005 are arranged in a plurality of rows 1010. Each row 1010 is substantially parallel to other rows 1010.

[0053] 第1の複数の外側ディフューザプレート1000は、2以上のディフューザセグメント1015を含み、第2の複数の内側ディフューザプレート1005は、2以上のディフューザセグメント1020を含む。ディフューザセグメント1015とディフューザセグメント1020の各々は、長さがより短い点を除いて、図8で示されているディフューザプレート800、ならびに図9A~図9Cで示されているディフューザプレート900A~900Cと同様に構築されてよい。外側ディフューザプレート1000及び内側ディフューザプレート1005のより短い長さは、それらの熱膨張及び熱収縮の効果を最低限に抑えることができる。加えて、ディフューザセグメント1015及び1020の各々を通るガスの流れは、独立して制御されてよい。 [0053] The first plurality of outer diffuser plates 1000 includes two or more diffuser segments 1015 and the second plurality of inner diffuser plates 1005 includes two or more diffuser segments 1020. Diffuser segment 1015 and diffuser segment 1020 are each similar to diffuser plate 800 shown in FIG. 8 and diffuser plates 900A-900C shown in FIGS. 9A-9C, except that they are shorter in length. may be constructed. The shorter lengths of outer diffuser plate 1000 and inner diffuser plate 1005 can minimize their thermal expansion and contraction effects. Additionally, the flow of gas through each of diffuser segments 1015 and 1020 may be independently controlled.

[0054] 要約すると、チャンバの内部空間内のプラズマ密度及びガス分布を独立して制御するためのチャンバのリッドアセンブリが提供される。各コイルに提供される電力レベル及び周波数を独立して制御することにより、誘導結合プラズマの密度を、各コイルに対応するプロセスゾーン内で独立して制御することが可能になる。第1のガスの流量を制御することにより、複数の第1のチャネルの各第1のチャネルに対応する処理領域の第1のゾーン内での第1のガス流の独立した制御が提供される。第2のガスの流量を制御することにより、複数の第2のチャネルの各第2のチャネルに対応する処理領域の第2のゾーン内での第2のガス流の独立した制御が提供される。幾つかの実施形態では、処理領域にわたる均一なガス流が所望され得る。しかし、他の実施形態では、処理領域にわたるガス流は均一でなくてもよい。不均一なガス流は、チャンバの何らかの(1以上の)物理的構造及び/又は幾何学的形状のために所望され得る。 [0054] In summary, a chamber lid assembly is provided for independently controlling plasma density and gas distribution within an interior space of a chamber. Independently controlling the power level and frequency provided to each coil allows the density of the inductively coupled plasma to be independently controlled within the process zone corresponding to each coil. Controlling the flow rate of the first gas provides independent control of the flow of the first gas within a first zone of the processing region corresponding to each first channel of the plurality of first channels. . Controlling the flow rate of the second gas provides independent control of the flow of the second gas within a second zone of the processing region corresponding to each second channel of the plurality of second channels. . In some embodiments, uniform gas flow across the processing region may be desired. However, in other embodiments, the gas flow across the processing region may not be uniform. Non-uniform gas flow may be desired due to some physical structure(s) and/or geometry of the chamber.

[0055] 以上の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲から逸脱せずに本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。
[0055] Although the above description is directed to embodiments of the present disclosure, other embodiments and further embodiments of the present disclosure may be devised without departing from the essential scope of the present disclosure, and the present disclosure The scope of is defined by the following claims.

Claims (16)

複数のディフューザプレートを備えるガス分配アセンブリを備えるリッドプレートであって、
前記ディフューザプレートの一部分は、誘電体プレートによって分離されており、前記複数のディフューザプレートの各々は、第1の面内に形成された溝、及び前記溝の面と前記第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含
前記1以上のオリフィス孔は、前記ディフューザプレートの厚み方向に対してある角度の方向に前記溝の前記面から延在しているオリフィス孔を含む、リッドプレート。
A lid plate comprising a gas distribution assembly comprising a plurality of diffuser plates, the lid plate comprising:
A portion of the diffuser plate is separated by a dielectric plate, and each of the plurality of diffuser plates includes a groove formed in a first surface, and a surface opposite the first surface from the groove surface. one or more orifice holes formed between the second surface of the
The lid plate, wherein the one or more orifice holes include orifice holes extending from the surface of the groove in a direction at an angle to a thickness direction of the diffuser plate.
前記複数のディフューザプレートは、複数の内側ディフューザプレート、及び前記内側ディフューザプレートの両側にある外側ディフューザプレートを更に備える、請求項1に記載のリッドプレート。 The lid plate of claim 1, wherein the plurality of diffuser plates further comprises a plurality of inner diffuser plates and outer diffuser plates on opposite sides of the inner diffuser plates. 前記複数の内側ディフューザプレートの各々は、その長さに沿った複数のオリフィス位置を含み、前記複数のオリフィス位置の各々は、前記1以上のオリフィス孔を有する、請求項2に記載のリッドプレート。 3. The lid plate of claim 2, wherein each of the plurality of inner diffuser plates includes a plurality of orifice locations along its length, and each of the plurality of orifice locations has the one or more orifice holes. 前記外側ディフューザプレートは、その長さに沿った複数のオリフィス位置を含み、前記複数のオリフィス位置の各々は、単一のオリフィス孔を有する、請求項3に記載のリッドプレート。 4. The lid plate of claim 3, wherein the outer diffuser plate includes a plurality of orifice locations along its length, each of the plurality of orifice locations having a single orifice hole. 前記1以上のオリフィス孔は、中央オリフィス孔、及び前記中央オリフィス孔の両側にある2つの外側オリフィス孔を含む、請求項2に記載のリッドプレート。 3. The lid plate of claim 2, wherein the one or more orifice holes include a central orifice hole and two outer orifice holes on either side of the central orifice hole. 前記2つの外側オリフィス孔は、前記中央オリフィス孔に対して角度が付けられている、請求項5に記載のリッドプレート。 6. The lid plate of claim 5, wherein the two outer orifice holes are angled relative to the central orifice hole. 前記溝は、半円形状プロファイルを含む、請求項1に記載のリッドプレート。 The lid plate of claim 1, wherein the groove includes a semicircular profile. 前記溝は、矩形状プロファイルを含む、請求項1に記載のリッドプレート。 The lid plate of claim 1, wherein the groove includes a rectangular profile. 前記溝は、その長さに沿って変化する深さを含む、請求項1に記載のリッドプレート。 The lid plate of claim 1, wherein the groove includes a depth that varies along its length. 複数のディフューザプレートを備えるガス分配アセンブリを備えるリッドプレートであって、前記複数のディフューザプレートの一部分は、複数の誘電体プレート及び複数の分離プレートによって分離されており、前記複数のディフューザプレートの各々は、第1の面内に形成された溝、及び前記溝の面と前記第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含
前記1以上のオリフィス孔は、前記ディフューザプレートの厚み方向に対してある角度の方向に前記溝の前記面から延在しているオリフィス孔を含む、リッドプレート。
A lid plate comprising a gas distribution assembly comprising a plurality of diffuser plates, wherein a portion of the plurality of diffuser plates is separated by a plurality of dielectric plates and a plurality of separation plates, each of the plurality of diffuser plates comprising: , a groove formed in a first surface, and one or more orifice holes formed between a surface of the groove and a second surface opposite the first surface;
The lid plate, wherein the one or more orifice holes include orifice holes extending from the surface of the groove in a direction at an angle to a thickness direction of the diffuser plate.
前記複数のディフューザプレートの各々は、複数の平行な行として方向付けられ、前記複数の分離プレートの各々は、複数の列として方向付けられている、請求項10に記載のリッドプレート。 11. The lid plate of claim 10, wherein each of the plurality of diffuser plates is oriented in a plurality of parallel rows and each of the plurality of separation plates is oriented in a plurality of columns. 前記溝は、半円形状プロファイルを含む、請求項10に記載のリッドプレート。 11. The lid plate of claim 10, wherein the groove includes a semicircular profile. 前記溝は、矩形状プロファイルを含む、請求項10に記載のリッドプレート。 11. The lid plate of claim 10, wherein the groove includes a rectangular profile. 前記溝は、その長さに沿って変化する深さを含む、請求項10に記載のリッドプレート。 11. The lid plate of claim 10, wherein the groove includes a depth that varies along its length. 複数のディフューザプレートを備えるガス分配アセンブリを備えるリッドプレートであって、前記複数のディフューザプレートは、複数の内側ディフューザプレート、及び前記内側ディフューザプレートの両側にある外側ディフューザプレートを備え、前記複数の内側ディフューザプレートは、1以上の誘電体プレート及び複数の分離プレートによって分離されており、前記複数のディフューザプレートの各々は、第1の面内に形成された溝、及び前記溝の面と前記第1の面の反対側の第2の面との間に形成された1以上のオリフィス孔を含
前記1以上のオリフィス孔は、前記ディフューザプレートの厚み方向に対してある角度の方向に前記溝の前記面から延在しているオリフィス孔を含む、リッドプレート。
A lid plate comprising a gas distribution assembly comprising a plurality of diffuser plates, the plurality of diffuser plates comprising a plurality of inner diffuser plates and an outer diffuser plate on either side of the inner diffuser plate, the plurality of inner diffuser plates The plates are separated by one or more dielectric plates and a plurality of separation plates , and each of the plurality of diffuser plates has a groove formed in a first plane, and a groove between the groove plane and the first plane. one or more orifice holes formed between the surface and a second surface opposite the surface;
The lid plate, wherein the one or more orifice holes include orifice holes extending from the surface of the groove in a direction at an angle to a thickness direction of the diffuser plate.
前記角度は鋭角である、請求項1から15のいずれか一項に記載のリッドプレート。 The lid plate according to any one of claims 1 to 15, wherein the angle is an acute angle.
JP2022501126A 2019-07-15 2019-07-15 Large-area high-density plasma processing chamber for flat panel displays Active JP7346698B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023144657A JP2024012276A (en) 2019-07-15 2023-09-06 Large-area high density plasma processing chamber for flat panel display

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/US2019/041759 WO2021010952A1 (en) 2019-07-15 2019-07-15 Large-area high density plasma processing chamber for flat panel displays

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023144657A Division JP2024012276A (en) 2019-07-15 2023-09-06 Large-area high density plasma processing chamber for flat panel display

Publications (2)

Publication Number Publication Date
JP2022540470A JP2022540470A (en) 2022-09-15
JP7346698B2 true JP7346698B2 (en) 2023-09-19

Family

ID=74209842

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2022501126A Active JP7346698B2 (en) 2019-07-15 2019-07-15 Large-area high-density plasma processing chamber for flat panel displays
JP2023144657A Pending JP2024012276A (en) 2019-07-15 2023-09-06 Large-area high density plasma processing chamber for flat panel display

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023144657A Pending JP2024012276A (en) 2019-07-15 2023-09-06 Large-area high density plasma processing chamber for flat panel display

Country Status (4)

Country Link
JP (2) JP7346698B2 (en)
KR (1) KR20220032608A (en)
CN (1) CN114127902A (en)
WO (1) WO2021010952A1 (en)

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001028299A (en) 1999-05-13 2001-01-30 Tokyo Electron Ltd Inductively-coupled plasma treatment apparatus
JP2001168083A (en) 1999-12-07 2001-06-22 Sharp Corp Plasma processor
JP2001274150A (en) 2000-03-24 2001-10-05 Tokyo Electron Ltd Plasma processing system, member for generating and introducing plasma, and slot electrode
JP2004304176A (en) 2003-03-19 2004-10-28 Tosoh Corp Microchannel structure and gas treatment equipment using the same
JP2006310794A (en) 2005-03-30 2006-11-09 Tokyo Electron Ltd Plasma processing apparatus and method therefor
JP2006319127A (en) 2005-05-12 2006-11-24 Tokyo Electron Ltd Apparatus and method for plasma processing
JP2015206076A (en) 2014-04-21 2015-11-19 東京エレクトロン株式会社 Method for forming sealing film and sealing film manufacturing device
JP2019501291A (en) 2015-12-18 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas diffuser with grooved hollow cathode

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100927375B1 (en) * 2007-09-04 2009-11-19 주식회사 유진테크 Exhaust unit, exhaust control method using same, substrate processing apparatus including the exhaust unit
JP5103223B2 (en) * 2008-02-27 2012-12-19 東京エレクトロン株式会社 Microwave plasma processing apparatus and method of using microwave plasma processing apparatus
KR101659303B1 (en) * 2009-07-15 2016-09-23 어플라이드 머티어리얼스, 인코포레이티드 Flow control features of cvd chambers
TWI385272B (en) * 2009-09-25 2013-02-11 Ind Tech Res Inst Gas distribution plate and apparatus using the same

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001028299A (en) 1999-05-13 2001-01-30 Tokyo Electron Ltd Inductively-coupled plasma treatment apparatus
JP2001168083A (en) 1999-12-07 2001-06-22 Sharp Corp Plasma processor
JP2001274150A (en) 2000-03-24 2001-10-05 Tokyo Electron Ltd Plasma processing system, member for generating and introducing plasma, and slot electrode
JP2004304176A (en) 2003-03-19 2004-10-28 Tosoh Corp Microchannel structure and gas treatment equipment using the same
JP2006310794A (en) 2005-03-30 2006-11-09 Tokyo Electron Ltd Plasma processing apparatus and method therefor
JP2006319127A (en) 2005-05-12 2006-11-24 Tokyo Electron Ltd Apparatus and method for plasma processing
JP2015206076A (en) 2014-04-21 2015-11-19 東京エレクトロン株式会社 Method for forming sealing film and sealing film manufacturing device
JP2019501291A (en) 2015-12-18 2019-01-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Gas diffuser with grooved hollow cathode

Also Published As

Publication number Publication date
WO2021010952A1 (en) 2021-01-21
CN114127902A (en) 2022-03-01
KR20220032608A (en) 2022-03-15
JP2022540470A (en) 2022-09-15
JP2024012276A (en) 2024-01-30

Similar Documents

Publication Publication Date Title
US6942753B2 (en) Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
US7270713B2 (en) Tunable gas distribution plate assembly
US9982340B2 (en) Shower head apparatus and method for controlling plasma or gas distribution
JP7049488B2 (en) Shower head support structure
US20020134511A1 (en) Substrate supporting table,method for producing same, and processing system
US20170114462A1 (en) High productivity pecvd tool for wafer processing of semiconductor manufacturing
TWI714652B (en) Flat susceptor with grooves for minimizing temperature profile across a substrate
TW202136569A (en) High density plasma enhanced chemical vapor deposition chamber
US20180016677A1 (en) An improved substrate support
JP7346698B2 (en) Large-area high-density plasma processing chamber for flat panel displays
JP7121446B2 (en) High density plasma chemical vapor deposition chamber
US20180340257A1 (en) Diffuser for uniformity improvement in display pecvd applications
US20230272530A1 (en) Large-area high-density plasma processing chamber for flat panel displays
KR101111042B1 (en) Heating and cooling of substrate support
KR102224586B1 (en) Coating material for processing chambers
JP2011225912A (en) Electrode structure for plasma cvd device, plasma cvd device using the structure, and method of forming film

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220309

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230808

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230906

R150 Certificate of patent or registration of utility model

Ref document number: 7346698

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150