JP7342563B2 - Pattern forming composition and pattern forming method - Google Patents

Pattern forming composition and pattern forming method Download PDF

Info

Publication number
JP7342563B2
JP7342563B2 JP2019172107A JP2019172107A JP7342563B2 JP 7342563 B2 JP7342563 B2 JP 7342563B2 JP 2019172107 A JP2019172107 A JP 2019172107A JP 2019172107 A JP2019172107 A JP 2019172107A JP 7342563 B2 JP7342563 B2 JP 7342563B2
Authority
JP
Japan
Prior art keywords
pattern
group
pattern forming
polymer
forming film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019172107A
Other languages
Japanese (ja)
Other versions
JP2021051107A (en
Inventor
宏樹 田中
泰明 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
New Oji Paper Co Ltd
Oji Holdings Corp
Original Assignee
Oji Holdings Corp
Oji Paper Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oji Holdings Corp, Oji Paper Co Ltd filed Critical Oji Holdings Corp
Priority to JP2019172107A priority Critical patent/JP7342563B2/en
Priority to PCT/JP2020/034708 priority patent/WO2021054284A1/en
Priority to TW109132287A priority patent/TW202119131A/en
Publication of JP2021051107A publication Critical patent/JP2021051107A/en
Priority to JP2023137001A priority patent/JP2023158014A/en
Application granted granted Critical
Publication of JP7342563B2 publication Critical patent/JP7342563B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、パターン形成用組成物及びパターン形成方法に関する。 The present invention relates to a pattern forming composition and a pattern forming method.

半導体等の電子デバイスは微細化による高精細化が要求されている。また、半導体デバイスのパターンについては、形状の多様化も検討されている。このようなパターンの形成方法としては、ダブルパターニング法や、電子線を用いたリソグラフィ法、ナノインプリント法、誘導自己組織化材料(Directed Self Assembly、以下、パターン形成用自己組織化組成物ともいう)を用いた自己組織化によるパターン形成方法が知られている。 Electronic devices such as semiconductors are required to have higher definition through miniaturization. Further, diversification of shapes of semiconductor device patterns is also being considered. Methods for forming such patterns include a double patterning method, a lithography method using an electron beam, a nanoimprint method, and a directed self-assembly material (hereinafter also referred to as a self-assembled composition for pattern formation). A pattern forming method using self-organization is known.

パターン形成用自己組織化組成物は、相分離を行うことで自己組織化を行うため、高価な電子線描画装置が不要で、ダブルパターニング法で見られるパターニングプロセスの複雑化が生じないため、コスト上のメリットがある。パターン形成用自己組織化組成物としては、例えば、ポリスチレン-ポリメチルメタクリレート(PS-PMMA)等のジブロックコポリマーが知られている(例えば、特許文献1)。 Self-assembled compositions for pattern formation self-assemble through phase separation, so there is no need for expensive electron beam lithography equipment, and there is no complication in the patterning process seen in double patterning methods, resulting in lower costs. It has the above advantages. As a self-assembled composition for pattern formation, for example, diblock copolymers such as polystyrene-polymethyl methacrylate (PS-PMMA) are known (for example, Patent Document 1).

パターン形成用自己組織化組成物としては、PS-PMMA以外の材料を用いることも検討されている。例えば、特許文献2には、スチレン系重合体や、アクリル系重合体等を主鎖とし、その末端にヘテロ原子を含む基を有するパターン形成用自己組織化組成物が開示されている。 The use of materials other than PS-PMMA as a self-assembled composition for pattern formation is also being considered. For example, Patent Document 2 discloses a self-assembled composition for pattern formation that has a main chain of a styrene polymer, an acrylic polymer, or the like, and has a group containing a hetero atom at the end of the main chain.

US2012/0241411 A1US2012/0241411 A1 特開2014-5325号公報JP 2014-5325 Publication

上述したようパターン形成用自己組織化組成物を用いてパターンを形成した後には、該パターンを保護膜として、さらにシリコンウエハー基板にパターン形状を加工するエッチング工程が設けられることがある。しかしながら、従来の方法では、基板にパターン形状を加工する際のエッチング加工性が劣る場合があり、問題となっていた。 After forming a pattern using the self-assembled composition for pattern formation as described above, an etching process may be performed to further process the pattern shape onto a silicon wafer substrate using the pattern as a protective film. However, in the conventional method, the etching processability when processing a pattern shape on a substrate may be poor, which has been a problem.

そこで本発明者らは、このような従来技術の課題を解決するために、優れたエッチング加工性を発揮するパターン形成用膜を形成し得るパターン形成用組成物を提供することを目的として検討を進めた。 Therefore, in order to solve the problems of the prior art, the present inventors conducted studies with the aim of providing a pattern-forming composition that can form a pattern-forming film that exhibits excellent etching processability. I proceeded.

上記の課題を解決するために鋭意検討を行った結果、本発明者らは、パターン形成用組成物を構成するポリマーの自由体積半径と、パターン形成用組成物から形成されるパターン形成用膜に導入される金属の原子核半径が、所定条件を満たすようにすることで、基板にパターン形状を加工する際のエッチング加工性が向上することを見出した。
具体的に、本発明は、以下の構成を有する。
As a result of intensive studies to solve the above problems, the present inventors have determined that the free volume radius of the polymer constituting the pattern-forming composition and the pattern-forming film formed from the pattern-forming composition It has been found that by making the nuclear radius of the introduced metal satisfy a predetermined condition, etching processability when forming a pattern on a substrate can be improved.
Specifically, the present invention has the following configuration.

[1] ポリマーを含むパターン形成用組成物であって、
ポリマーの自由体積半径をPrとし、
パターン形成用組成物からパターンを形成する際に導入される金属の原子核半径をMrとした場合、
2≦Pr/Mr≦3.3の条件を満たす、パターン形成用組成物。
[2] ポリマーは、糖誘導体に由来する単位を含む、[1]に記載のパターン形成用組成物。
[3] 糖誘導体に由来する単位は、下記一般式(103)で表される構造及び下記一般式(104)で表される構造から選択される少なくとも一方を含む、[2]に記載のパターン形成用組成物;

Figure 0007342563000001
Figure 0007342563000002
一般式(103)及び(104)中、Rはそれぞれ独立に水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、複数あるRは同一であっても異なっていてもよい;Rは水素原子又はアルキル基を表す;X及びYはそれぞれ独立に単結合又は連結基を表す;rは1以上の整数を表し、*印はrが2以上の場合にRのいずれか1つとの結合部位を表すか、もしくはRに代わってRが結合している酸素原子のいずれか1つとの結合部位を表す。
[4] ポリマーは、下記一般式(105)で表される構造をさらに有する、[1]~[3]のいずれかに記載のパターン形成用組成物;
Figure 0007342563000003
一般式(105)中、Wは炭素原子又はケイ素原子を表し、Wは、-CR-、-O-、-S-又は-SiR-を表す(但し、Rは水素原子又は炭素数が1~5のアルキル基を表し、複数あるRは同一であっても異なっていてもよい);R11は水素原子、炭素数が1以上3以下のアルキル基又は水酸基を表し、R12は水素原子、水酸基、アセチル基、メトキシカルボニル基、アリール基、アリル基、グリシジルエーテル基、グリシジルエステル基、イソシアネートエステル基又はピリジル基を表す。
[5] ポリマーは、糖誘導体に由来する単位を含み、ポリマーにおける糖誘導体に由来する単位の含有率は60~90質量%である、[1]~[4]のいずれかに記載のパターン形成用組成物。
[6] パターン形成用マスク材料である、[1]~[5]のいずれかに記載のパターン形成用組成物。
[7] ポリマーを含むパターン形成用組成物を基板上に塗布し、パターン形成用膜を形成する工程と、
パターン形成用膜の少なくとも一部に金属を導入する工程と、を含むパターン形成方法であって、
ポリマーの自由体積半径をPrとし、
金属の原子核半径をMrとした場合、
2≦Pr/Mr≦3.3の条件を満たす、パターン形成方法。
[8] パターン形成用膜を形成する工程の後に、パターン形成用膜にパターンを形成する工程をさらに含む、[7]に記載のパターン形成方法。
[9] 金属を導入する工程の後に、エッチング工程をさらに含む、[7]又は[8]に記載のパターン形成方法。 [1] A pattern-forming composition containing a polymer,
Let the free volume radius of the polymer be Pr,
When the nuclear radius of the metal introduced when forming a pattern from the pattern forming composition is Mr,
A pattern forming composition that satisfies the condition of 2≦Pr/Mr≦3.3.
[2] The pattern-forming composition according to [1], wherein the polymer includes units derived from a sugar derivative.
[3] The pattern according to [2], wherein the unit derived from a sugar derivative includes at least one selected from a structure represented by the following general formula (103) and a structure represented by the following general formula (104). Forming composition;
Figure 0007342563000001
Figure 0007342563000002
In general formulas (103) and (104), R 1 each independently represents a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group; Certain R 1 may be the same or different; R 5 represents a hydrogen atom or an alkyl group; X 1 and Y 1 each independently represent a single bond or a connecting group; r represents an integer of 1 or more; When r is 2 or more, the mark * represents the bonding site with any one of R1 , or the bonding site with any one of the oxygen atoms to which R1 is bonded instead of R1 . represent.
[4] The pattern forming composition according to any one of [1] to [3], wherein the polymer further has a structure represented by the following general formula (105);
Figure 0007342563000003
In general formula (105), W 1 represents a carbon atom or a silicon atom, and W 2 represents -CR 2 -, -O-, -S- or -SiR 2 - (provided that R is a hydrogen atom or a carbon R represents a hydrogen atom, an alkyl group having 1 to 3 carbon atoms, or a hydroxyl group; R 12 represents an alkyl group having 1 to 5 carbon atoms; represents a hydrogen atom, a hydroxyl group, an acetyl group, a methoxycarbonyl group, an aryl group, an allyl group, a glycidyl ether group, a glycidyl ester group, an isocyanate ester group, or a pyridyl group.
[5] The pattern formation according to any one of [1] to [4], wherein the polymer includes units derived from a sugar derivative, and the content of the units derived from the sugar derivative in the polymer is 60 to 90% by mass. Composition for use.
[6] The pattern forming composition according to any one of [1] to [5], which is a pattern forming mask material.
[7] A step of applying a pattern-forming composition containing a polymer onto a substrate to form a pattern-forming film;
A pattern forming method comprising the step of introducing metal into at least a portion of a pattern forming film,
Let the free volume radius of the polymer be Pr,
When the nuclear radius of metal is Mr,
A pattern forming method that satisfies the condition 2≦Pr/Mr≦3.3.
[8] The pattern forming method according to [7], further comprising a step of forming a pattern on the pattern forming film after the step of forming the pattern forming film.
[9] The pattern forming method according to [7] or [8], further comprising an etching step after the step of introducing metal.

本発明によれば、パターン形成用組成物からパターン形成用膜を形成した後に、基板にパターン形状を加工する際のエッチング加工性を高めることができる。 According to the present invention, it is possible to improve etching processability when processing a pattern shape on a substrate after forming a pattern forming film from a pattern forming composition.

図1は、基板にパターンを形成する工程を説明する概略図である。FIG. 1 is a schematic diagram illustrating a process of forming a pattern on a substrate.

以下において、本発明について詳細に説明する。以下に記載する構成要件の説明は、代表的な実施形態や具体例に基づいてなされることがあるが、本発明はそのような実施形態に限定されるものではない。なお、本明細書において置換・無置換を明記していない置換基については、その基に任意の置換基を有していてもよい意味である。 In the following, the present invention will be explained in detail. Although the constituent elements described below may be explained based on typical embodiments and specific examples, the present invention is not limited to such embodiments. Note that in this specification, substituents that are not specified as substituted or unsubstituted are meant to have the meaning that they may have any substituent.

(パターン形成用組成物)
本発明は、ポリマーを含むパターン形成用組成物に関する。本発明のパターン形成用組成物から形成されるパターン形成用膜には金属が導入されるが、このとき、パターン形成用膜に導入される金属の原子核半径をMrとし、本発明のパターン形成用組成物に含まれるポリマーの自由体積半径をPrとした場合、2≦Pr/Mr≦3.3の条件を満たす。このように、本発明は、パターン形成工程において、導入される金属の原子核半径(Mr)に対して、上記条件を満たすような自由体積半径(Pr)を有するポリマーを含むパターン形成用組成物に関するものである。
(Pattern forming composition)
The present invention relates to pattern-forming compositions containing polymers. A metal is introduced into the pattern forming film formed from the pattern forming composition of the present invention, and at this time, the atomic nucleus radius of the metal introduced into the pattern forming film is Mr, When the free volume radius of the polymer contained in the composition is Pr, the condition of 2≦Pr/Mr≦3.3 is satisfied. As described above, the present invention relates to a pattern forming composition containing a polymer having a free volume radius (Pr) that satisfies the above conditions with respect to the nuclear radius (Mr) of the introduced metal in the pattern forming step. It is something.

ここで、パターン形成用膜に導入される金属の原子核半径(Mr)は、E Clementi, D L Raimondi, W P Reinhardt (1963) J Chem Phys.38:2686から引用される値である。なお、パターン形成用膜に導入される金属がAlといった金属酸化物などである場合においても金属元素(Al)の原子核半径が上記条件を満たしていればよい。 Here, the nuclear radius (Mr) of the metal introduced into the pattern forming film is determined by E Clementi, D L Raimondi, W P Reinhardt (1963) J Chem Phys. 38:2686. Note that even when the metal introduced into the pattern forming film is a metal oxide such as Al 2 O 3 , it is sufficient that the nuclear radius of the metal element (Al) satisfies the above conditions.

また、ポリマーの自由体積半径(Pr)は、以下のようにして測定される値である。まず、ポリマー3質量%、p-トルエンスルホン酸0.3質量%となるようPGMEAに溶解して、ポリマー溶液サンプルを得る。そして、ポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングする。膜厚が500nmとなるように塗布した後、ホットプレート上において230℃で5分間焼成し、パターン形成用膜を形成する。次いで、形成したパターン形成用膜について陽電子消滅寿命を測定することで、ポリマーの自由体積半径(Pr)を算出する。具体的には、パターン形成用膜を陽電子消滅寿命測定装置に設置する。陽電子線源として22Naベースの陽電子ビームを用い、γ線検出器としてBaF製シンチレーターと光電子増倍管を用い、以下の条件で陽電子消滅寿命を測定する。なお、陽電子消滅寿命測定装置としては、例えば、フジ・インバック製の小型陽電子ビーム発生装置PALS-200Aを用いることができる。
装置定数:263~272ps,24.55ps/ch
ビーム強度:1.5keV
測定深さ:0~25μm(推定)
測定温度:室温
測定雰囲気:真空
総カウント数:約5000000カウント
試料前処理:室温で真空脱気
以上により得られた陽電子消滅寿命曲線を非線形最小二乗プログラムPOSITRONFITにより解析し、平均自由体積半径を算出し、ポリマーの自由体積半径(Pr)とする。
Moreover, the free volume radius (Pr) of the polymer is a value measured as follows. First, a polymer solution sample is obtained by dissolving the polymer in PGMEA at a concentration of 3% by mass and 0.3% by mass of p-toluenesulfonic acid. The polymer solution sample is then spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 500 nm, it is baked on a hot plate at 230° C. for 5 minutes to form a pattern forming film. Next, the free volume radius (Pr) of the polymer is calculated by measuring the positron annihilation lifetime of the formed pattern forming film. Specifically, the pattern-forming film is placed in a positron annihilation lifetime measuring device. Using a 22Na-based positron beam as a positron beam source and a BaF 2 scintillator and a photomultiplier tube as a γ-ray detector, the positron annihilation lifetime is measured under the following conditions. As the positron annihilation lifetime measuring device, for example, a small positron beam generator PALS-200A manufactured by Fuji Invac can be used.
Device constants: 263-272ps, 24.55ps/ch
Beam intensity: 1.5keV
Measurement depth: 0-25μm (estimated)
Measurement temperature: Room temperature Measurement atmosphere: Vacuum Total number of counts: Approximately 5,000,000 counts Sample pretreatment: Vacuum degassing at room temperature The positron annihilation lifetime curve obtained above was analyzed using the nonlinear least squares program POSITRONFIT, and the mean free volume radius was calculated. , the free volume radius of the polymer (Pr).

好ましいポリマーの自由体積半径(Pr)は、パターン形成用膜に導入される金属の原子核半径によって異なるが、例えば、ポリマーの自由体積半径(Pr)は、0.10nm以上であることが好ましく、0.20nm以上であることがより好ましく、0.25nm以上であることがさらに好ましい。また、ポリマーの自由体積半径(Pr)は、0.50nm以下であることが好ましく、0.40nm以下であることがより好ましく、0.35nm以下であることがさらに好ましい。ポリマーの自由体積半径(Pr)を上記範囲内とするためには、例えば、ポリマーを構成する糖誘導体に由来する単位の含有率を調整したり、糖部分の重合度や糖鎖の長さを調整したり、糖誘導体に由来する単位以外の他の構成単位の含有率を適宜調整することが考えられる。 The preferred free volume radius (Pr) of the polymer varies depending on the nuclear radius of the metal introduced into the pattern forming film, but for example, the free volume radius (Pr) of the polymer is preferably 0.10 nm or more, and 0. The thickness is more preferably .20 nm or more, and even more preferably 0.25 nm or more. Further, the free volume radius (Pr) of the polymer is preferably 0.50 nm or less, more preferably 0.40 nm or less, and even more preferably 0.35 nm or less. In order to keep the free volume radius (Pr) of the polymer within the above range, for example, the content of units derived from sugar derivatives constituting the polymer may be adjusted, or the degree of polymerization of the sugar moiety and the length of the sugar chain may be adjusted. It is conceivable to adjust the content of other structural units other than the units derived from the sugar derivative as appropriate.

Pr/Mrの値は、2以上であればよく、2.1以上であることが好ましく、2.2以上であることがより好ましく、2.3以上であることがさらに好ましく、2.4以上であることが特に好ましい。また、Pr/Mrの値は、3.3以下であればよく、3.2以下であることが好ましく、3.1以下であることがより好ましく、3.0以下であることがさらに好ましい。Pr/Mrの値を上記範囲内とすることにより、パターン形成用膜への金属の浸透性を高めることができ、これにより高強度のパターン形成用膜を形成することができる。このため、パターン形成用組成物からパターン形成用膜を形成した後に、基板にパターン形状を加工する際のエッチング加工性をより効果的に高めることができる。 The value of Pr/Mr may be 2 or more, preferably 2.1 or more, more preferably 2.2 or more, even more preferably 2.3 or more, 2.4 or more. It is particularly preferable that Further, the value of Pr/Mr should just be 3.3 or less, preferably 3.2 or less, more preferably 3.1 or less, and even more preferably 3.0 or less. By setting the value of Pr/Mr within the above range, the permeability of metal into the pattern-forming film can be increased, thereby making it possible to form a high-strength pattern-forming film. Therefore, after forming a pattern-forming film from the pattern-forming composition, etching processability when processing a pattern shape on a substrate can be more effectively improved.

ここで、エッチング加工性は、エッチング選択比を算出することで評価でき、エッチング選択比は例えば、以下のようにして算出できる。エッチング選択比を算出するためには、まず、ポリマー3質量%、p-トルエンスルホン酸0.3質量%となるようPGMEAに溶解して、ポリマー溶液サンプルを得る。そして、ポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングする。膜厚が300nmとなるように塗布した後、ホットプレート上において230℃で1分間焼成し、パターン形成用膜を形成する。次いで、ArFエキシマレーザー露光機にてラインアンドスペース(ライン幅100nm、スペース幅100nm)の形状となるようにマスクし、市販のArFレジストを用いて露光を行う。その後、ホットプレート上において105℃で1分間焼成した後、現像液を浸漬することで、ラインアンドスペースパターンを作製する。次にこのパターンサンプルを、ICPプラズマエッチング装置(東京エレクトロン社製)にて、基板を酸素プラズマ処理(100sccm、4Pa、100W、60秒間)することで、パターン形成用膜にラインアンドスペースパターンを形成する。その後、このパターン形成用膜を、ALD(原子層堆積装置:PICUSAN社製 SUNALE R-100B)に入れ、95℃にてTMA(トリメチルアルミニウム、Al(CH)ガスを300秒導入した後、水蒸気を150秒導入する。この操作を3回繰り返すことで、パターン形成用膜にAlを導入する。このパターンをマスクとして、六フッ化エタン(C)とArガスを使用しICPプラズマエッチング装置(東京エレクトロン社製)でプラズマ処理(100sccm、0.4Pa、200W、120秒間)を行ないシリコン酸化膜のドライエッチング加工を行う。そしてプラズマ処理前後のシリコン酸化膜のパターン形成されている断面を走査型電子顕微鏡(SEM)JSM7800F(日本電子製)で、加速電圧1.5kV、エミッション電流37.0μA、倍率100,000倍で観察し、それぞれ金属導入されたパターン形成用膜の厚みと、シリコン酸化膜部へ加工された深さを測定し、下記の式によりエッチング選択比を算出する。
エッチング選択比=シリコン酸化膜への加工深さ/(プラズマ処理前パターン形成用膜の厚み-プラズマ処理後パターン形成用膜の厚み)
エッチング選択比は2.0より大きいことが好ましく、2.5以上であることがより好ましく、3.0以上であることがさらに好ましく、5.0以上であることが一層好ましく、10.0以上であることが特に好ましい。本明細書においては、エッチング選択比が上記範囲内である場合に、基板にパターン形状を加工する際のエッチング加工性が良好であると判定できる。なお、エッチング加工性が良好である場合、一般的には、基板の深堀りが可能となる。
Here, the etching processability can be evaluated by calculating the etching selectivity, and the etching selectivity can be calculated as follows, for example. In order to calculate the etching selectivity ratio, first, a polymer solution sample is obtained by dissolving the polymer in PGMEA at 3% by mass and 0.3% by mass in p-toluenesulfonic acid. The polymer solution sample is then spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 300 nm, it is baked on a hot plate at 230° C. for 1 minute to form a pattern forming film. Next, it is masked in a line-and-space (line width 100 nm, space width 100 nm) shape using an ArF excimer laser exposure machine, and exposed using a commercially available ArF resist. Thereafter, the film is baked on a hot plate at 105° C. for 1 minute, and then immersed in a developer to form a line and space pattern. Next, this pattern sample is subjected to oxygen plasma treatment (100 sccm, 4 Pa, 100 W, 60 seconds) on the substrate using an ICP plasma etching device (manufactured by Tokyo Electron) to form a line and space pattern on the pattern forming film. do. Thereafter, this pattern-forming film was placed in ALD (atomic layer deposition apparatus: SUNALE R-100B manufactured by PICUSAN), and TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas was introduced for 300 seconds at 95°C. , water vapor is introduced for 150 seconds. By repeating this operation three times, Al 2 O 3 is introduced into the pattern forming film. Using this pattern as a mask, plasma treatment (100 sccm, 0.4 Pa, 200 W, 120 seconds) was performed using an ICP plasma etching device (manufactured by Tokyo Electron) using hexafluoroethane (C 2 F 6 ) and Ar gas to remove silicon. Perform dry etching of the oxide film. The patterned cross section of the silicon oxide film before and after plasma treatment was then observed using a scanning electron microscope (SEM) JSM7800F (manufactured by JEOL) at an acceleration voltage of 1.5 kV, an emission current of 37.0 μA, and a magnification of 100,000 times. Then, the thickness of the pattern forming film into which the metal was introduced and the depth of processing into the silicon oxide film portion were measured, and the etching selectivity was calculated using the following formula.
Etching selectivity = Processing depth to silicon oxide film / (Thickness of pattern forming film before plasma treatment - Thickness of pattern forming film after plasma treatment)
The etching selectivity ratio is preferably larger than 2.0, more preferably 2.5 or more, even more preferably 3.0 or more, even more preferably 5.0 or more, and 10.0 or more. It is particularly preferable that In this specification, when the etching selection ratio is within the above range, it can be determined that the etching processability when processing a pattern shape on a substrate is good. Note that when the etching processability is good, it is generally possible to dig deeply into the substrate.

本発明においては、パターン形成用膜に金属を導入した際の最大金属含有率(atom%)は、15atom%以上であることが好ましく、20atom%以上であることがより好ましく、25atom%以上であることがさらに好ましい。なお、パターン形成用膜に金属を導入した際の最大金属含有率(atom%)は以下のようにして算出する。まず、ポリマー3質量%、p-トルエンスルホン酸0.3質量%となるようPGMEAに溶解して、ポリマー溶液サンプルを得る。そして、ポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングする。膜厚が300nmとなるように塗布した後、ホットプレート上において230℃で5分間焼成し、パターン形成用膜を形成する。次いで、パターン形成用膜を、ALD(原子層堆積装置:PICUSAN社製 SUNALE R-100B)に入れ、95℃にてTMA(トリメチルアルミニウム、Al(CH)ガスを300秒導入した後、水蒸気を150秒導入する。この操作を3回繰り返すことで、パターン形成用膜にAlを導入する。Al導入後のパターン形成用膜を、XPS装置(Thermo Fisher Scientific社製 Nexsa XPS System )に設置し、XPS分析(X線光電子分光分析)で膜厚方向でのAl元素の濃度プロファイルを得る。なお、Al導入後のパターン形成用膜の膜厚は、サンプル表面にピンセットで傷をつけてシリコン基板表面を露出させることで段差を形成し、この段差部分を触診式段差計(株式会社小坂製作所製 型番:ET-4000)にて測定することで求める。すなわち、最大金属含有率(atom%)とは、パターン形成用膜の厚み方向において、最も多く金属が含有されている厚みにおける金属含有率である。 In the present invention, the maximum metal content (atom%) when introducing metal into the pattern forming film is preferably 15 atom% or more, more preferably 20 atom% or more, and 25 atom% or more. It is even more preferable. Note that the maximum metal content (atom %) when metal is introduced into the pattern forming film is calculated as follows. First, a polymer solution sample is obtained by dissolving the polymer in PGMEA at a concentration of 3% by mass and 0.3% by mass of p-toluenesulfonic acid. The polymer solution sample is then spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 300 nm, it is baked on a hot plate at 230° C. for 5 minutes to form a pattern forming film. Next, the pattern forming film was placed in ALD (atomic layer deposition apparatus: SUNALE R-100B manufactured by PICUSAN), and after introducing TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas at 95° C. for 300 seconds, Introduce water vapor for 150 seconds. By repeating this operation three times, Al 2 O 3 is introduced into the pattern forming film. The pattern-forming film after introduction of Al 2 O 3 was installed in an XPS device (Nexsa XPS System manufactured by Thermo Fisher Scientific), and the concentration profile of Al element in the film thickness direction was determined by obtain. The thickness of the pattern-forming film after introducing Al 2 O 3 is determined by scratching the sample surface with tweezers to expose the silicon substrate surface to form a step, and measuring this step with a palpable step meter (stock company). Obtained by measuring with Kosaka Seisakusho Model Number: ET-4000). That is, the maximum metal content (atom %) is the metal content at the thickness where the most metal is contained in the thickness direction of the pattern forming film.

パターン形成用膜に導入する金属としては、Li、Be、Na、Mg、Al、Si、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Ge、As、Rb、Sr、Y、Zr、Nb、Mo、Ru、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Bi、Po、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Luなどが挙げられる。中でも、パターン形成用膜に導入する金属としては、Al、B、Si、Sn、Te、Zr、Wを用いることが好ましい。 Metals introduced into the pattern forming film include Li, Be, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, and Ge. , As, Rb, Sr, Y, Zr, Nb, Mo, Ru, Pd, Ag, Cd, In, Sn, Sb, Te, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Pt , Au, Hg, Tl, Pb, Bi, Po, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, Lu, etc. Among these, it is preferable to use Al, B, Si, Sn, Te, Zr, and W as the metal introduced into the pattern forming film.

本発明のパターン形成用組成物は、パターン形成用マスク材料であることが好ましい。すなわち、本発明のパターン形成用組成物から形成されるパターン形成用膜は、基板をエッチングする際の保護膜となることが好ましい。本発明のパターン形成用組成物から形成されるパターン形成用膜は、ナノインプリント法に必要なガス透過性に対しても効果を期待できる。このようなパターン形成用膜はエッチング工程の後に剥離除去されてもよい。 The pattern forming composition of the present invention is preferably a pattern forming mask material. That is, the pattern forming film formed from the pattern forming composition of the present invention preferably serves as a protective film when etching a substrate. The pattern-forming film formed from the pattern-forming composition of the present invention can also be expected to have an effect on the gas permeability required for nanoimprinting. Such a pattern forming film may be peeled off and removed after the etching process.

また、本発明のパターン形成用組成物は、パターン形成用自己組織化組成物であってもよい。本明細書における自己組織化(Directed Self-Assembly)とは、外的要因からの制御のみに起因せず、自発的に組織や構造を構築する現象を指す。例えば、パターン形成用自己組織化組成物を基板上に塗布し、アニーリング等を行うことにより、自己組織化による相分離構造を有する膜(自己組織化膜)を形成し、この自己組織化膜における一部の相を除去することにより、基板上にパターンを形成することができる。このようなパターン形状が保護膜となり、基板に所望のエッチング処理を施すことが可能となる。 Moreover, the pattern-forming composition of the present invention may be a pattern-forming self-assembled composition. The term "directed self-assembly" as used herein refers to a phenomenon in which an organization or structure is spontaneously constructed, not due solely to control from external factors. For example, by applying a self-assembled composition for pattern formation onto a substrate and performing annealing etc., a film having a phase separation structure due to self-assembly (self-assembled film) is formed, and in this self-assembled film, By removing some of the phase, a pattern can be formed on the substrate. Such a pattern shape serves as a protective film, and it becomes possible to perform a desired etching process on the substrate.

(ポリマー)
本発明のパターン形成用組成物はポリマーを含み、該ポリマーは糖誘導体に由来する単位を含むものであることが好ましい。糖誘導体は、単糖由来の糖誘導体であっても、単糖由来の糖誘導体が複数結合した構造であってもよい。また、糖誘導体に由来する単位を含むポリマーにおいて、糖誘導体に由来する単位は、側鎖に糖誘導体由来構造を有する構成単位であってもよく、主鎖に糖誘導体由来構造を有する構成単位であってもよい。
(polymer)
The pattern-forming composition of the present invention preferably contains a polymer, and the polymer preferably contains units derived from a sugar derivative. The sugar derivative may be a sugar derivative derived from a monosaccharide, or may have a structure in which a plurality of sugar derivatives derived from a monosaccharide are bonded. In addition, in a polymer containing a unit derived from a sugar derivative, the unit derived from a sugar derivative may be a constitutional unit having a sugar derivative-derived structure in the side chain, or a constitutional unit having a sugar derivative-derived structure in the main chain. There may be.

糖誘導体に由来する単位は、ペントース誘導体に由来する単位及びヘキソース誘導体に由来する単位から選択される少なくとも一種であることが好ましい。
ペントース誘導体は、公知の単糖類または多糖類のペントースのヒドロキシル基が少なくとも置換基で修飾されたペントース由来の構造であれば、特に制限はない。ペントース誘導体としては、ヘミセルロース誘導体、キシロース誘導体及びキシロオリゴ糖誘導体から選択される少なくとも一種であることが好ましく、ヘミセルロース誘導体及びキシロオリゴ糖誘導体から選択される少なくとも一種であることがより好ましい。
ヘキソース誘導体としては、公知の単糖類または多糖類のヘキソースのヒドロキシル基が少なくとも置換基で修飾されたヘキソース由来の構造であれば、特に制限はない。ヘキソース誘導体としては、グルコース誘導体及びセルロース誘導体から選択される少なくとも一種であることが好ましく、セルロース誘導体であることがより好ましい。
中でも、糖誘導体に由来する単位は、セルロース誘導体に由来する単位、ヘミセルロース誘導体に由来する単位及びキシロオリゴ糖誘導体に由来する単位から選択される少なくとも一種であることが好ましい。
The unit derived from a sugar derivative is preferably at least one type selected from a unit derived from a pentose derivative and a unit derived from a hexose derivative.
The pentose derivative is not particularly limited as long as it has a structure derived from a pentose of a known monosaccharide or polysaccharide in which the hydroxyl group of the pentose is modified with at least a substituent. The pentose derivative is preferably at least one selected from hemicellulose derivatives, xylose derivatives, and xylooligosaccharide derivatives, and more preferably at least one selected from hemicellulose derivatives and xylooligosaccharide derivatives.
The hexose derivative is not particularly limited as long as it has a structure derived from a hexose in which the hydroxyl group of the hexose of a known monosaccharide or polysaccharide is modified with at least a substituent. The hexose derivative is preferably at least one selected from glucose derivatives and cellulose derivatives, and more preferably cellulose derivatives.
Among these, the unit derived from a sugar derivative is preferably at least one selected from a unit derived from a cellulose derivative, a unit derived from a hemicellulose derivative, and a unit derived from a xylo-oligosaccharide derivative.

中でも、糖誘導体に由来する単位は、下記一般式(103)で表される構造及び下記一般式(104)で表される構造から選択される少なくとも一方を含むものであることが好ましい。 Among these, the unit derived from the sugar derivative preferably contains at least one selected from the structure represented by the following general formula (103) and the structure represented by the following general formula (104).

Figure 0007342563000004
Figure 0007342563000004
Figure 0007342563000005
Figure 0007342563000005

一般式(103)及び(104)中、Rはそれぞれ独立に水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、複数あるRは同一であっても異なっていてもよい。Rは水素原子又はアルキル基を表す。X及びYはそれぞれ独立に単結合又は連結基を表す。rは1以上の整数を表し、*印はrが2以上の場合にRのいずれか1つとの結合部位を表すか、もしくはRに代わってRが結合している酸素原子のいずれか1つとの結合部位を表す。 In general formulas (103) and (104), R 1 each independently represents a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group; Certain R 1 may be the same or different. R 5 represents a hydrogen atom or an alkyl group. X 1 and Y 1 each independently represent a single bond or a connecting group. r represents an integer of 1 or more, and the mark * represents a bonding site with any one of R 1 when r is 2 or more, or any oxygen atom to which R 1 is bonded in place of R 1 represents a binding site with one of

一般式(103)及び(104)中、Rは、それぞれ独立に水素原子、フッ素原子、塩素原子、臭素原子、ヨウ素原子、アルキル基、アシル基、アリール基、トリメチルシリル基又はホスホリル基を表し、複数あるRは同一であっても異なっていてもよい。中でも、Rは、それぞれ独立に水素原子又は炭素数1以上3以下のアシル基であることが好ましい。なお、上記のアルキル基には、糖鎖も含まれる。すなわち、一般式(103)及び(104)における糖鎖部分はさらに分岐鎖を有していてもよい。 In general formulas (103) and (104), R 1 each independently represents a hydrogen atom, a fluorine atom, a chlorine atom, a bromine atom, an iodine atom, an alkyl group, an acyl group, an aryl group, a trimethylsilyl group, or a phosphoryl group, A plurality of R 1 's may be the same or different. Among these, R 1 is preferably each independently a hydrogen atom or an acyl group having 1 or more and 3 or less carbon atoms. Note that the above alkyl group also includes a sugar chain. That is, the sugar chain moieties in general formulas (103) and (104) may further have a branched chain.

がアルキル基又はアシル基である場合、その炭素数は、目的に応じて適宜選択することができる。例えば、炭素数は2以上であることが好ましく、200以下であることが好ましく、100以下であることがより好ましく、20以下であることがさらに好ましく、4以下であることが特に好ましい。 When R 1 is an alkyl group or an acyl group, the number of carbon atoms thereof can be appropriately selected depending on the purpose. For example, the number of carbon atoms is preferably 2 or more, preferably 200 or less, more preferably 100 or less, even more preferably 20 or less, and particularly preferably 4 or less.

の具体例としては、例えば、アセチル基、プロパノイル基、ブチリル基、イソブチリル基、バレリル基、イソバレリル基、ピバロイル基、ヘキサノイル基、オクタノイル基、クロロアセチル基、トリフルオロアセチル基、シクロペンタンカルボニル基、シクロヘキサンカルボニル基、ベンゾイル基、メトキシベンゾイル基、クロロベンゾイル基等のアシル基;メチル基、エチル基、プロピル基、ブチル基、t-ブチル基等のアルキル基などが挙げられる。これらの中でも、アセチル基、プロパノイル基、ブチリル基、イソブチリル基が好ましく、アセチル基が特に好ましい。 Specific examples of R 1 include, for example, an acetyl group, a propanoyl group, a butyryl group, an isobutyryl group, a valeryl group, an isovaleryl group, a pivaloyl group, a hexanoyl group, an octanoyl group, a chloroacetyl group, a trifluoroacetyl group, and a cyclopentanecarbonyl group. , cyclohexanecarbonyl group, benzoyl group, methoxybenzoyl group, chlorobenzoyl group, and other acyl groups; and alkyl groups such as methyl group, ethyl group, propyl group, butyl group, and t-butyl group. Among these, acetyl group, propanoyl group, butyryl group, and isobutyryl group are preferred, and acetyl group is particularly preferred.

一般式(103)及び(104)中、Rは水素原子又はアルキル基を表す。中でも、Rは水素原子又は炭素数が1以上3以下のアルキル基であることが好ましく、水素原子又はメチル基であることが特に好ましい。 In general formulas (103) and (104), R 5 represents a hydrogen atom or an alkyl group. Among these, R 5 is preferably a hydrogen atom or an alkyl group having 1 or more and 3 or less carbon atoms, and particularly preferably a hydrogen atom or a methyl group.

一般式(103)及び(104)中、X及びYはそれぞれ独立に単結合または連結基を表す。
が連結基である場合、Xとしては、アルキレン基、-O-、-NH-、カルボニル基などを含む基が挙げられるが、Xは単結合であるか、もしくは炭素数が1以上6以下のアルキレン基であることが好ましく、炭素数が1以上3以下のアルキレン基であることがより好ましい。
が連結基である場合、Yとしては、アルキレン基、フェニレン基、-O-、-C(=O)O-などを含む基が挙げられる。Yはこれらの基を組み合わせた連結基であってもよい。中でもYは下記構造式で表される連結基であることが好ましい。
In general formulas (103) and (104), X 1 and Y 1 each independently represent a single bond or a linking group.
When X 1 is a linking group, examples of X 1 include groups containing an alkylene group, -O-, -NH 2 -, carbonyl group, etc., but X 1 is a single bond or has a carbon number of It is preferably an alkylene group having 1 or more and 6 or less carbon atoms, and more preferably an alkylene group having 1 or more and 3 or less carbon atoms.
When Y 1 is a linking group, examples of Y 1 include groups containing an alkylene group, a phenylene group, -O-, -C(=O)O-, and the like. Y 1 may be a linking group that is a combination of these groups. Among these, Y 1 is preferably a linking group represented by the following structural formula.

Figure 0007342563000006
Figure 0007342563000006

上記構造式中、※印は主鎖側との結合部位を表し、*印は、側鎖の糖単位との結合部位を表す。 In the above structural formula, the * mark represents the bonding site with the main chain side, and the * mark represents the bonding site with the sugar unit of the side chain.

一般式(103)及び(104)中、rは1以上の整数を表し、2以上であってもよく、3以上であってもよい。また、rは、1500以下であることが好ましく、1200以下であることがより好ましく、500以下であることがさらに好ましく、100以下であることがよりさらに好ましく、50以下であることが特に好ましく、10以下であることが最も好ましい。 In general formulas (103) and (104), r represents an integer of 1 or more, may be 2 or more, or may be 3 or more. Further, r is preferably 1500 or less, more preferably 1200 or less, even more preferably 500 or less, even more preferably 100 or less, particularly preferably 50 or less, Most preferably it is 10 or less.

糖単位の平均重合度は、上記rの好ましい範囲と同様である。なお、糖単位の平均重合度は1つの糖部を形成する糖単位数であり、糖部が側鎖構造を有している場合は、側鎖を構成する糖単位数も平均重合度に含まれる。上記の糖単位の平均重合度は下記の測定方法によって算出することができる。
まず、糖誘導体を含む溶液を50℃に保ち15000rpmで15分間遠心分離し不溶物を除去する。その後、上清液の全糖量と還元糖量(共にキシロース換算)を測定する。そして全糖量を還元糖量で割ることで平均重合度が算出される。なお、上記測定方法が採用できない場合は、ゲル浸透クロマトグラフィー、サイズ排除クロマトグラフィー、光散乱法、粘度法、末端基定量法、沈降速度法、MULDI-TOF-MS法、NMRによる構造解析法などを採用してもよい。
糖単位の平均重合度をコポリマー合成後に測定する場合は、H-NMRで糖鎖由来のピーク(3.3-5.5ppm付近)の積分値と、糖誘導体のその他の成分由来のピークの積分値を算出し、各積分値の比より平均重合度を算出する。なお、一般式(103)及び(104)におけるRが水素原子でない場合には、糖鎖由来のピークの代わりに-OR基由来のピークの積分値を使用することもできる(但しこの場合の-OR基のRは糖鎖ではない)。
The average degree of polymerization of the sugar units is the same as the preferred range of r above. The average degree of polymerization of sugar units is the number of sugar units forming one sugar moiety, and if the sugar moiety has a side chain structure, the number of sugar units constituting the side chain is also included in the average degree of polymerization. It will be done. The average degree of polymerization of the sugar units described above can be calculated by the following measuring method.
First, a solution containing a sugar derivative is kept at 50° C. and centrifuged at 15,000 rpm for 15 minutes to remove insoluble matter. Thereafter, the total sugar content and reducing sugar content (both converted to xylose) of the supernatant are measured. The average degree of polymerization is then calculated by dividing the total amount of sugar by the amount of reducing sugar. If the above measurement method cannot be used, gel permeation chromatography, size exclusion chromatography, light scattering method, viscosity method, end group quantitative method, sedimentation velocity method, MULDI-TOF-MS method, structural analysis method by NMR, etc. may be adopted.
When measuring the average degree of polymerization of sugar units after copolymer synthesis, the integrated value of the peak derived from the sugar chain (around 3.3-5.5 ppm) and the peak derived from other components of the sugar derivative in 1 H-NMR are used. The integral value is calculated, and the average degree of polymerization is calculated from the ratio of each integral value. In addition, when R 1 in general formulas (103) and (104) is not a hydrogen atom, the integral value of the peak derived from -OR 1 group can be used instead of the peak derived from the sugar chain (however, in this case, R 1 of the -OR 1 group is not a sugar chain).

一般式(103)及び(104)における*印は、rが2以上の場合にRのいずれか1つとの結合部位を表すか、もしくはRに代わってRが結合している酸素原子のいずれか1つとの結合部位を表す。すなわち、一般式(103)及び(104)における糖単位の重合箇所は、糖単位におけるRもしくは、Rが結合している酸素原子のいずれであってもよく、いずれか1箇所が重合箇所であることが好ましい。なお、Rが置換基を有するアルキル基である場合には、Rは糖鎖であってもよいため、一般式(103)及び(104)における*印の結合部位は1箇所であっても、実際には、糖鎖はさらなる糖鎖からなる側鎖を有する場合もある。 The * mark in general formulas (103) and (104) represents a bonding site with any one of R 1 when r is 2 or more, or an oxygen atom to which R 1 is bonded in place of R 1 represents a binding site with any one of the following. That is, the polymerization site of the sugar unit in general formulas (103) and (104) may be either R 1 in the sugar unit or the oxygen atom to which R 1 is bonded, and either one is the polymerization site. It is preferable that Note that when R 1 is an alkyl group having a substituent, R 1 may be a sugar chain, so the number of bonding sites marked with * in general formulas (103) and (104) is one. However, in reality, a sugar chain may have a side chain consisting of an additional sugar chain.

糖誘導体に由来する単位は上記一般式(103)で表される構造、及び上記一般式(104)で表される構造から選択される少なくとも一方を含むものであるが、上記一般式(103)で表される構造を主に含むものであることが好ましい。これは上記一般式(103)で表される構造が上記一般式(104)で表される構造よりもよりコンパクトであり、ポリマーの自由体積半径のコントロールがしやすくなるためと考えられる。 The unit derived from the sugar derivative contains at least one selected from the structure represented by the above general formula (103) and the structure represented by the above general formula (104), but the unit derived from the above general formula (103) It is preferable that the structure mainly contains the following structure. This is thought to be because the structure represented by the above general formula (103) is more compact than the structure represented by the above general formula (104), making it easier to control the free volume radius of the polymer.

本発明のパターン形成用組成物に含まれるポリマーは、コポリマーであることが好ましい。コポリマーは、ブロックコポリマーであってもよいが、ランダムコポリマーであることが好ましい。ランダムコポリマーを用いることにより、より均質なパターン形成用膜を形成することができる。 The polymer contained in the pattern-forming composition of the present invention is preferably a copolymer. The copolymer may be a block copolymer, but is preferably a random copolymer. By using a random copolymer, a more homogeneous pattern-forming film can be formed.

パターン形成用組成物に含まれるポリマーがコポリマーである場合、ポリマーは、さらに下記一般式(105)で表される構造を有することが好ましい。 When the polymer contained in the pattern-forming composition is a copolymer, the polymer preferably further has a structure represented by the following general formula (105).

Figure 0007342563000007
Figure 0007342563000007

一般式(105)中、Wは炭素原子又はケイ素原子を表す。中でも、Wは炭素原子であることが好ましい。また、一般式(105)中、Wは、-CR-、-O-、-S-又は-SiR-を表す(但し、Rは水素原子又は炭素数が1~5のアルキル基を表し、複数あるRは同一であっても異なっていてもよい)。中でも、Wは-CR-であることが好ましく、-CH-であることがより好ましい。 In general formula (105), W 1 represents a carbon atom or a silicon atom. Among these, W 1 is preferably a carbon atom. In general formula (105), W 2 represents -CR 2 -, -O-, -S- or -SiR 2 - (provided that R represents a hydrogen atom or an alkyl group having 1 to 5 carbon atoms). and multiple R's may be the same or different). Among these, W 2 is preferably -CR 2 -, more preferably -CH 2 -.

一般式(105)中、R11は水素原子、炭素数が1以上3以下のアルキル基又は水酸基を表す。炭素数が1以上3以下のアルキル基は、メチル基であることが好ましく、R11は水素原子又はメチル基であることがより好ましく、水素原子であることがさらに好ましい。 In general formula (105), R 11 represents a hydrogen atom, an alkyl group having 1 to 3 carbon atoms, or a hydroxyl group. The alkyl group having 1 to 3 carbon atoms is preferably a methyl group, R 11 is more preferably a hydrogen atom or a methyl group, and even more preferably a hydrogen atom.

一般式(105)中、R12は水素原子、水酸基、アセチル基、メトキシカルボニル基、アリール基、アリル基、グリシジルエーテル基、グリシジルエステル基、イソシアネートエステル基又はピリジル基を表す。アリル基は、-R-CH=CHで表される基であることが好ましく、グリシジルエーテル基は、-CHO-R-エポキシで表される基であることが好ましく、グリシジルエステル基は、-COO-R-エポキシで表される基であることが好ましく、イソシアネートエストテル基は、-COO-R-NCOで表される基であることが好ましい。ここで、Rは置換基を有してもよいアルキレン基である。置換基を有してもよいアルキレン基としては、例えば、-CH-、-(CH-、-(CH-、-(CH-、-(CH-、-CHOCH-、-(CHOCH-、-(CHOCH-、-(CHOCH-、-(CHOCH-等を挙げることができる。また、置換基を有してもよいアルキレン基はシクロアルキレン基であってもよく、橋かけ環式シクロアルキレン基であってもよい。 In general formula (105), R 12 represents a hydrogen atom, a hydroxyl group, an acetyl group, a methoxycarbonyl group, an aryl group, an allyl group, a glycidyl ether group, a glycidyl ester group, an isocyanate ester group, or a pyridyl group. The allyl group is preferably a group represented by -R 3 -CH=CH 2 , the glycidyl ether group is preferably a group represented by -CH 2 O-R 3 -epoxy, and the glycidyl ether group is preferably a group represented by -CH 2 O-R 3 -epoxy. The group is preferably a group represented by -COO-R 3 -epoxy, and the isocyanate ester group is preferably a group represented by -COO-R 3 -NCO. Here, R 3 is an alkylene group which may have a substituent. Examples of the alkylene group which may have a substituent include -CH 2 -, -(CH 2 ) 2 -, -(CH 2 ) 3 -, -(CH 2 ) 4 -, -(CH 2 ) 5 -, -CH 2 OCH 2 -, -(CH 2 ) 2 OCH 2 -, -(CH 2 ) 3 OCH 2 -, -(CH 2 ) 4 OCH 2 -, -(CH 2 ) 5 OCH 2 -, etc. can be mentioned. Further, the alkylene group which may have a substituent may be a cycloalkylene group or a bridged cyclic cycloalkylene group.

中でも、R12はメトキシカルボニル基、アリール基、グリシジルエーテル基、グリシジルエステル基又はピリジル基であることが好ましく、グリシジルエステル基又はアリール基であることがより好ましく、グリシジルエステル基又はフェニル基であることがさらに好ましい。また、フェニル基は置換基を有するフェニル基であることも好ましい。置換基を有するフェニル基としては、例えば、4-t-ブチルフェニル基、メトキシフェニル基、ジメトキシフェニル基、トリメトキシフェニル基、トリメチルシリルフェニル基、テトラメチルジシリルフェニル基等を挙げることができる。また、R12はナフタレン基であることも好ましい。 Among these, R12 is preferably a methoxycarbonyl group, an aryl group, a glycidyl ether group, a glycidyl ester group, or a pyridyl group, more preferably a glycidyl ester group or an aryl group, and a glycidyl ester group or a phenyl group. is even more preferable. Moreover, it is also preferable that the phenyl group is a phenyl group having a substituent. Examples of the phenyl group having a substituent include 4-t-butylphenyl group, methoxyphenyl group, dimethoxyphenyl group, trimethoxyphenyl group, trimethylsilylphenyl group, and tetramethyldisilylphenyl group. Further, it is also preferable that R 12 is a naphthalene group.

上述したようにR12はフェニル基であることが好ましく、この場合、一般式(105)で表される構造に由来する単位は、スチレン化合物に由来する単位である。スチレン化合物としては、例えば、スチレン、o-メチルスチレン、p-メチルスチレン、エチルスチレン、p-メトキシスチレン、p-フェニルスチレン、2,4-ジメチルスチレン、p-n-オクチルスチレン、p-n-デシルスチレン、p-n-ドデシルスチレン、クロロスチレン、ブロモスチレン、トリメチルシリルスチレン、ヒドロキシスチレン、3,4,5-メトキシスチレン、ペンタメチルジシリルスチレン等が挙げられる。中でも、スチレン化合物は、スチレン及びトリメチルシリルスチレンから選択される少なくとも一種であることが好ましく、スチレンであることがより好ましい。 As mentioned above, R 12 is preferably a phenyl group, and in this case, the unit derived from the structure represented by general formula (105) is a unit derived from a styrene compound. Examples of styrene compounds include styrene, o-methylstyrene, p-methylstyrene, ethylstyrene, p-methoxystyrene, p-phenylstyrene, 2,4-dimethylstyrene, pn-octylstyrene, pn- Examples include decylstyrene, pn-dodecylstyrene, chlorostyrene, bromostyrene, trimethylsilylstyrene, hydroxystyrene, 3,4,5-methoxystyrene, pentamethyldisilystyrene, and the like. Among these, the styrene compound is preferably at least one selected from styrene and trimethylsilylstyrene, and more preferably styrene.

また、R12はグリシジルエステル基であることも好ましい。この場合、一般式(105)で表される構造に由来する単位はグリシジルアクリレート化合物に由来する単位である。グリシジルアクリレート化合物としては、例えば、グリシジルアクリレート、グリシジルメタクリレート、4-ヒドロキシブチルアクリレートグリシジルエーテル、オキシラン‐2‐イルメチル‐2‐エチリデンペンタノエーテ等が挙げられる。中でも、グリシジルアクリレート化合物は、グリシジルメタクリレート、4-ヒドロキシブチルアクリレートグリシジルエーテルから選択される少なくとも一種であることが好ましい。 Further, it is also preferable that R 12 is a glycidyl ester group. In this case, the unit derived from the structure represented by general formula (105) is a unit derived from a glycidyl acrylate compound. Examples of the glycidyl acrylate compound include glycidyl acrylate, glycidyl methacrylate, 4-hydroxybutyl acrylate glycidyl ether, oxiran-2-ylmethyl-2-ethylidene pentanoate, and the like. Among these, the glycidyl acrylate compound is preferably at least one selected from glycidyl methacrylate and 4-hydroxybutyl acrylate glycidyl ether.

ポリマーの重量平均分子量(Mw)は、500以上であることが好ましく、1000以上であることがより好ましく、1500以上であることがさらに好ましい。また、ポリマーの重量平均分子量(Mw)は、100万以下であることが好ましく、50万以下であることがより好ましく、30万以下であることがさらに好ましく、25万以下であることが一層好ましい。なお、ポリマーの重量平均分子量(Mw)は、GPCによるポリスチレン換算で測定された値である。 The weight average molecular weight (Mw) of the polymer is preferably 500 or more, more preferably 1000 or more, and even more preferably 1500 or more. Further, the weight average molecular weight (Mw) of the polymer is preferably 1 million or less, more preferably 500,000 or less, even more preferably 300,000 or less, and even more preferably 250,000 or less. . Note that the weight average molecular weight (Mw) of the polymer is a value measured in terms of polystyrene by GPC.

ポリマーの重量平均分子量(Mw)と数平均分子量(Mn)の比(Mw/Mn)は、1以上であることが好ましい。また、Mw/Mnは、2以下であることが好ましく、1.5以下であることがより好ましく、1.3以下であることがさらに好ましい。Mw/Mnを上記範囲内とすることにより、本発明のパターン形成用組成物は、より精度の高い微細で良好なパターン構造を形成することができる。 The ratio (Mw/Mn) of the weight average molecular weight (Mw) to the number average molecular weight (Mn) of the polymer is preferably 1 or more. Further, Mw/Mn is preferably 2 or less, more preferably 1.5 or less, and even more preferably 1.3 or less. By setting Mw/Mn within the above range, the pattern forming composition of the present invention can form a fine and good pattern structure with higher accuracy.

上述したようにポリマーは、糖誘導体に由来する単位を含み、ポリマーにおける糖誘導体に由来する単位の含有率は60質量%以上であることが好ましく、70質量%以上であることがより好ましい。また、ポリマーにおける糖誘導体に由来する単位の含有率は90質量%以下であることが好ましく、85質量%以下であることがより好ましい。ポリマーにおける糖誘導体に由来する単位の含有率を上記範囲内とすることにより、有機溶媒への溶解度を高めることができる。 As described above, the polymer contains units derived from sugar derivatives, and the content of units derived from sugar derivatives in the polymer is preferably 60% by mass or more, more preferably 70% by mass or more. Furthermore, the content of units derived from sugar derivatives in the polymer is preferably 90% by mass or less, more preferably 85% by mass or less. By controlling the content of units derived from sugar derivatives in the polymer within the above range, the solubility in organic solvents can be increased.

また、ポリマーにおける一般式(105)で表される単位の含有率は、ポリマーの全質量に対して、5質量%以上であってもよく、10質量%以上であってもよい。また、ポリマーにおける一般式(105)で表される単位の含有率は40質量%以下であることが好ましく、30質量%以下であることがより好ましい。なお、ポリマーにおける一般式(105)で表される単位の含有率はH-NMRにより、算出することができる。 Further, the content of the unit represented by general formula (105) in the polymer may be 5% by mass or more, or 10% by mass or more with respect to the total mass of the polymer. Further, the content of units represented by general formula (105) in the polymer is preferably 40% by mass or less, more preferably 30% by mass or less. Note that the content of units represented by general formula (105) in the polymer can be calculated by 1 H-NMR.

なお、ポリマーは、上記構成単位以外に、その他の構成単位を有していてもよい。他の構成単位としては、例えば、乳酸由来単位、シロキサン結合含有単位、アミド結合含有単位、尿素結合含有単位等を挙げることができる。 In addition, the polymer may have other structural units in addition to the above-mentioned structural units. Other structural units include, for example, lactic acid-derived units, siloxane bond-containing units, amide bond-containing units, urea bond-containing units, and the like.

(ポリマーの合成方法)
ポリマーの合成は、リビングラジカル重合やリビングアニオン重合、原子移動ラジカル重合といった公知の重合法で行うことができる。例えばリビングラジカル重合の場合、AIBN(α、α’-アゾビスイソブチロニトリル)といった重合開始剤を用い、モノマーと反応させることによってポリマーを得ることができる。リビングアニオン重合の場合、塩化リチウムの存在下でブチルリチウムとモノマーを反応させることによってポリマーを得ることができる。
(Polymer synthesis method)
The polymer can be synthesized by known polymerization methods such as living radical polymerization, living anion polymerization, and atom transfer radical polymerization. For example, in the case of living radical polymerization, a polymer can be obtained by reacting with a monomer using a polymerization initiator such as AIBN (α,α'-azobisisobutyronitrile). In the case of living anionic polymerization, polymers can be obtained by reacting butyllithium with monomers in the presence of lithium chloride.

上述したような糖誘導体における糖部は、合成で得てもよいが、木本性植物、あるいは草本性植物由来のリグノセルロース等から抽出する工程を組み合わせて得てもよい。糖部を得る場合に木本性植物、あるいは草本性植物由来のリグノセルロース等から抽出する方法を採用する場合は、特開2012-100546号公報等に記載の抽出方法を利用することができる。キシランについては、例えば特開2012-180424号公報に開示されている方法で抽出することができる。また、セルロースについては、例えば特開2014-148629号公報に開示されている方法で抽出することができる。 The sugar moieties in the sugar derivatives described above may be obtained by synthesis, but may also be obtained by a combination of extraction steps from lignocellulose derived from woody plants or herbaceous plants. When employing a method of extracting from lignocellulose derived from woody plants or herbaceous plants to obtain sugar moieties, the extraction method described in JP-A-2012-100546 and the like can be used. Xylan can be extracted, for example, by the method disclosed in JP-A-2012-180424. Furthermore, cellulose can be extracted, for example, by the method disclosed in JP-A-2014-148629.

糖誘導体を得る際には、上記抽出方法を用いた糖部のOH基をアセチル化やハロゲン化などして修飾して用いることが好ましい。例えばアセチル基を導入する場合、無水酢酸と反応させることによりアセチル化した糖誘導体を得ることができる。 When obtaining a sugar derivative, it is preferable to modify the OH group of the sugar moiety using the above extraction method by acetylation, halogenation, or the like. For example, when introducing an acetyl group, an acetylated sugar derivative can be obtained by reacting with acetic anhydride.

一般式(105)で表される構造を有する化合物は合成により形成してもよく、市販品を用いてもよい。一般式(105)で表される構造を有する化合物を合成する場合は、公知の合成方法を採用することができる。また、市販品を用いる場合は、例えば、Amino-terminated PS(Mw=12300Da、Mw/Mn=1.02、ポリマーソース社製)、アリルグリシジルエーテル(東京化成工業社製)、グリシジルアクリレート(東京化成工業社製)、グリシジルメタクリレート(東京化成工業社製)、4-ヒドロキシブチルアクリレートグリシジルエーテル(三菱化学社製)、オキシラン‐2‐イルメチル‐2‐エチリデンペンタノエーテ(Achemica社製)、3,4-エポキシシクロヘキシルメチルメタアクリレート(ダイセル社製)等を用いることができる。 The compound having the structure represented by general formula (105) may be formed by synthesis, or a commercially available product may be used. When synthesizing a compound having the structure represented by general formula (105), a known synthesis method can be employed. In addition, when using commercially available products, for example, Amino-terminated PS (Mw=12300Da, Mw/Mn=1.02, manufactured by Polymer Source Co., Ltd.), allyl glycidyl ether (manufactured by Tokyo Kasei Kogyo Co., Ltd.), glycidyl acrylate (manufactured by Tokyo Kasei Kogyo Co., Ltd.), etc. (manufactured by Kogyo Co., Ltd.), glycidyl methacrylate (manufactured by Tokyo Kasei Kogyo Co., Ltd.), 4-hydroxybutyl acrylate glycidyl ether (manufactured by Mitsubishi Chemical Co., Ltd.), oxirane-2-ylmethyl-2-ethylidene pentanoate (manufactured by Achemica Co., Ltd.), 3,4 -Epoxycyclohexylmethyl methacrylate (manufactured by Daicel Corporation), etc. can be used.

コポリマーは、Macromolecules Vol.36,No.6, 2003を参考に合成することができる。具体的には、DMF、水、アセトニトリル等を含む溶媒に糖誘導体と、一般式(105)で表される構造を有する化合物を入れ、還元剤を添加する。還元剤としては、NaCNBH等を挙げることができる。その後、30℃以上100℃以下で1日以上20日以下撹拌し、必要に応じて還元剤を適宜追加する。水を添加することで沈殿物を得て、固形分を真空乾燥することでコポリマーを得ることができる。 Copolymers are described in Macromolecules Vol. 36, No. 6, 2003 for reference. Specifically, a sugar derivative and a compound having a structure represented by general formula (105) are placed in a solvent containing DMF, water, acetonitrile, etc., and a reducing agent is added. Examples of the reducing agent include NaCNBH 3 and the like. Thereafter, the mixture is stirred at 30° C. or higher and 100° C. or lower for 1 day or more and 20 days or less, and a reducing agent is added as needed. A copolymer can be obtained by adding water to obtain a precipitate and vacuum drying the solid content.

コポリマーの合成方法としては、上記の方法の他に、ラジカル重合、RAFT重合、ATRP重合、クリック反応、NMP重合を用いた合成方法を挙げることができる。
ラジカル重合は開始剤を添加して熱反応や光反応で2個のフリーラジカルを生じさせることで起こる重合反応である。モノマー(例えばスチレンモノマーとキシロオリゴ糖の末端のβ-1位にメタクリル酸を付加した糖メタクリレート化合物)と開始剤(例えばアゾビスブチロニトリル(AIBN)のようなアゾ化合物)を150℃で加熱することでポリスチレン-ポリ糖メタクリレートランダムコポリマーを合成することができる。
RAFT重合は、チオカルボニルチオ基を利用した交換連鎖反応を伴う、ラジカル開始重合反応である。例えばキシロオリゴ糖の末端1位についたOH基をチオカルボニルチオ基に変換し、その後スチレンモノマーを30℃以上100℃以下で反応させてコポリマーを合成する、という手法を取ることができる(Material Matters vol.5, No.1 最新高分子合成 シグマアルドリッチジャパン株式会社)。
ATRP重合は、糖の末端OH基をハロゲン化し、金属錯体[(CuCl、CuCl、CuBr、CuBrもしくはCuI等)+TPMA(tris(2-pyridylmethyl)amine)]、MeTREN(tris[2-(dimethylamino)ethyl]amine)など)、モノマー(例えばスチレンモノマー)、及び、重合開始剤(2,2,5-トリメチル-3-(1-フェニルエトキシ)-4-フェニル-3-アザヘキサン)を反応させることにより、糖コポリマー(例えば糖-スチレンブロックコポリマー)を合成することができる。
NMP重合は、アルコキシアミン誘導体を開始剤として加熱することで、モノマー分子とカップリングと反応を起こしニトロキシドを生じさせる。その後、熱解離によりラジカルが生じることでポリマー化反応が進む。このようなNMP重合は、リビングラジカル重合反応の一種である。モノマー(例えばスチレンモノマーとキシロオリゴ糖の末端のβ-1位にメタクリル酸を付加した糖メタクリレート化合物)とを混合し、2,2,6,6-tetramethylpiperidine 1-oxyl(TEMPO)を開始剤とし、140℃で加熱することでポリスチレン-ポリ糖メタクリレートランダムコポリマーを合成することができる。
クリック反応は、プロパルギル基をもつ糖とCu触媒を用いた1,3-双極アジド/アルキン環化付加反応である。
In addition to the above-mentioned methods, methods for synthesizing the copolymer include methods using radical polymerization, RAFT polymerization, ATRP polymerization, click reaction, and NMP polymerization.
Radical polymerization is a polymerization reaction that occurs by adding an initiator and generating two free radicals through thermal or photoreaction. Monomers (e.g., styrene monomers and sugar methacrylate compounds with methacrylic acid added to the terminal β-1 position of xylooligosaccharides) and initiators (e.g., azo compounds such as azobisbutyronitrile (AIBN)) are heated at 150°C. In this way, a polystyrene-polysaccharide methacrylate random copolymer can be synthesized.
RAFT polymerization is a radical-initiated polymerization reaction that involves an exchange chain reaction utilizing thiocarbonylthio groups. For example, a method can be used in which the OH group attached to the terminal 1-position of xylooligosaccharide is converted into a thiocarbonylthio group, and then a styrene monomer is reacted at a temperature of 30°C to 100°C to synthesize a copolymer (Material Matters vol. .5, No. 1 Latest Polymer Synthesis Sigma-Aldrich Japan Co., Ltd.).
ATRP polymerization halogenates the terminal OH groups of sugars and forms metal complexes [(CuCl, CuCl 2 , CuBr, CuBr 2 or CuI, etc.) + TPMA (tris(2-pyridylmethyl)amine)], MeTREN (tris[2-(dimethylamino) )ethyl]amine), a monomer (e.g. styrene monomer), and a polymerization initiator (2,2,5-trimethyl-3-(1-phenylethoxy)-4-phenyl-3-azahexane). A sugar copolymer (eg, a sugar-styrene block copolymer) can be synthesized by.
In NMP polymerization, an alkoxyamine derivative is used as an initiator and heated to cause coupling and reaction with monomer molecules to produce nitroxide. Thereafter, the polymerization reaction progresses as radicals are generated by thermal dissociation. Such NMP polymerization is a type of living radical polymerization reaction. A monomer (for example, a styrene monomer and a sugar methacrylate compound with methacrylic acid added to the terminal β-1 position of a xylooligosaccharide) is mixed, and 2,2,6,6-tetramethylpiperidine 1-oxyl (TEMPO) is used as an initiator. A polystyrene-polysaccharide methacrylate random copolymer can be synthesized by heating at 140°C.
The click reaction is a 1,3-dipolar azide/alkyne cycloaddition reaction using a sugar with a propargyl group and a Cu catalyst.

(パターン形成用組成物の製造方法)
パターン形成用組成物の製造方法では、上述したポリマーと溶媒を混合することが好ましい。溶媒は、有機溶媒であることが好ましく、有機溶媒としては、例えば、アルコール系溶媒、エーテル系溶媒、ケトン系溶媒、含硫黄系溶媒、アミド系溶媒、エステル系溶媒、炭化水素系溶媒等が挙げられる。これらの溶媒は、単独で又は2種以上を組み合わせて用いてもよい。
(Method for producing pattern-forming composition)
In the method for producing a pattern-forming composition, it is preferable to mix the above-mentioned polymer and a solvent. The solvent is preferably an organic solvent, and examples of the organic solvent include alcohol solvents, ether solvents, ketone solvents, sulfur-containing solvents, amide solvents, ester solvents, and hydrocarbon solvents. It will be done. These solvents may be used alone or in combination of two or more.

アルコール系溶媒としては、例えば、メタノール、エタノール、n-プロパノール、i-プロパノール、n-ブタノール、i-ブタノール、sec-ブタノール、tert-ブタノール、n-ペンタノール、i-ペンタノール、2-メチルブタノール、sec-ペンタノール、tert-ペンタノール、3-メトキシブタノール、n-ヘキサノール、2-メチルペンタノール、sec-ヘキサノール、2-エチルブタノール、sec-ヘプタノール、3-ヘプタノール、n-オクタノール、2-エチルヘキサノール、sec-オクタノール、n-ノニルアルコール、2,6-ジメチル-4-ヘプタノール、n-デカノール、sec-ウンデシルアルコール、トリメチルノニルアルコール、sec-テトラデシルアルコール、sec-ヘプタデシルアルコール、フルフリルアルコール、フェノール、シクロヘキサノール、メチルシクロヘキサノール、3,3,5-トリメチルシクロヘキサノール、ベンジルアルコール、ジアセトンアルコール等;エチレングリコール、1,2-プロピレングリコール、1,3-ブチレングリコール、2,4-ペンタンジオール、2-メチル-2,4-ペンタンジオール、2,5-ヘキサンジオール、2,4-ヘプタンジオール、2-エチル-1,3-ヘキサンジオール、ジエチレングリコール、ジプロピレングリコール、トリエチレングリコール、トリプロピレングリコール、1H,1H-トリフルオロエタノール、1H,1H-ペンタフルオロプロパノール、6-(パーフルオロエチル)ヘキサノール等;を挙げることができる。 Examples of alcoholic solvents include methanol, ethanol, n-propanol, i-propanol, n-butanol, i-butanol, sec-butanol, tert-butanol, n-pentanol, i-pentanol, and 2-methylbutanol. , sec-pentanol, tert-pentanol, 3-methoxybutanol, n-hexanol, 2-methylpentanol, sec-hexanol, 2-ethylbutanol, sec-heptanol, 3-heptanol, n-octanol, 2-ethyl Hexanol, sec-octanol, n-nonyl alcohol, 2,6-dimethyl-4-heptanol, n-decanol, sec-undecyl alcohol, trimethylnonyl alcohol, sec-tetradecyl alcohol, sec-heptadecyl alcohol, furfuryl alcohol , phenol, cyclohexanol, methylcyclohexanol, 3,3,5-trimethylcyclohexanol, benzyl alcohol, diacetone alcohol, etc.; ethylene glycol, 1,2-propylene glycol, 1,3-butylene glycol, 2,4-pentane Diol, 2-methyl-2,4-pentanediol, 2,5-hexanediol, 2,4-heptanediol, 2-ethyl-1,3-hexanediol, diethylene glycol, dipropylene glycol, triethylene glycol, tripropylene Examples include glycol, 1H,1H-trifluoroethanol, 1H,1H-pentafluoropropanol, 6-(perfluoroethyl)hexanol, and the like.

また、多価アルコール部分エーテル系溶媒として、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、エチレングリコールモノヘキシルエーテル、エチレングリコールモノフェニルエーテル、エチレングリコールモノ-2-エチルブチルエーテル、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノプロピルエーテル、ジエチレングリコールモノブチルエーテル、ジエチレングリコールモノヘキシルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールエチルメチルエーテル、プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノエチルエーテル、プロピレングリコールモノプロピルエーテル、プロピレングリコールモノブチルエーテル、ジプロピレングリコールモノメチルエーテル、ジプロピレングリコールモノエチルエーテル、ジプロピレングリコールモノプロピルエーテル等が挙げられる。 In addition, as polyhydric alcohol partial ether solvents, ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monobutyl ether, ethylene glycol monohexyl ether, ethylene glycol monophenyl ether, ethylene glycol mono-2 - Ethyl butyl ether, diethylene glycol monomethyl ether, diethylene glycol monoethyl ether, diethylene glycol monopropyl ether, diethylene glycol monobutyl ether, diethylene glycol monohexyl ether, diethylene glycol dimethyl ether, diethylene glycol ethyl methyl ether, propylene glycol monomethyl ether (PGME), propylene glycol monoethyl ether, propylene Examples include glycol monopropyl ether, propylene glycol monobutyl ether, dipropylene glycol monomethyl ether, dipropylene glycol monoethyl ether, dipropylene glycol monopropyl ether, and the like.

エーテル系溶媒としては、例えば、ジエチルエーテル、ジプロピルエーテル、ジブチルエーテル、ジフェニルエーテル、テトラヒドロフラン(THF)等が挙げられる。 Examples of the ether solvent include diethyl ether, dipropyl ether, dibutyl ether, diphenyl ether, and tetrahydrofuran (THF).

ケトン系溶媒としては、例えば、アセトン、メチルエチルケトン、メチル-n-プロピルケトン、メチル-n-ブチルケトン、ジエチルケトン、メチル-i-ブチルケトン、メチル-n-ペンチルケトン、エチル-n-ブチルケトン、メチル-n-ヘキシルケトン、ジ-i-ブチルケトン、トリメチルノナノン、シクロペンタノン、シクロヘキサノン、シクロヘプタノン、シクロオクタノン、メチルシクロヘキサノン、2,4-ペンタンジオン、アセトニルアセトン、アセトフェノン、フルフラール等が挙げられる。 Examples of ketone solvents include acetone, methyl ethyl ketone, methyl-n-propyl ketone, methyl-n-butyl ketone, diethyl ketone, methyl-i-butyl ketone, methyl-n-pentyl ketone, ethyl-n-butyl ketone, and methyl-n-butyl ketone. -hexylketone, di-i-butylketone, trimethylnonanone, cyclopentanone, cyclohexanone, cycloheptanone, cyclooctanone, methylcyclohexanone, 2,4-pentanedione, acetonyl acetone, acetophenone, furfural and the like.

含硫黄系溶媒としては、例えばジメチルスルホキシドなどが挙げられる。 Examples of the sulfur-containing solvent include dimethyl sulfoxide.

アミド系溶媒としては、例えば、N,N’-ジメチルイミダゾリジノン、N-メチルホルムアミド、N,N-ジメチルホルムアミド、N,N-ジエチルホルムアミド、アセトアミド、N-メチルアセトアミド、N,N-ジメチルアセトアミド、N-メチルプロピオンアミド、N-メチルピロリドン等が挙げられる。 Examples of amide solvents include N,N'-dimethylimidazolidinone, N-methylformamide, N,N-dimethylformamide, N,N-diethylformamide, acetamide, N-methylacetamide, and N,N-dimethylacetamide. , N-methylpropionamide, N-methylpyrrolidone and the like.

エステル系溶媒としては、例えば、ジエチルカーボネート、プロピレンカーボネート、酢酸メチル、酢酸エチル、γ-ブチロラクトン、γ-バレロラクトン、酢酸n-プロピル、酢酸i-プロピル、酢酸n-ブチル、酢酸i-ブチル、酢酸sec-ブチル、酢酸n-ペンチル、酢酸sec-ペンチル、酢酸3-メトキシブチル、酢酸メチルペンチル、酢酸2-エチルブチル、酢酸2-エチルヘキシル、酢酸ベンジル、酢酸シクロヘキシル、酢酸メチルシクロヘキシル、酢酸n-ノニル、アセト酢酸メチル、アセト酢酸エチル、酢酸エチレングリコールモノメチルエーテル、酢酸エチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノメチルエーテル、酢酸ジエチレングリコールモノエチルエーテル、酢酸ジエチレングリコールモノ-n-ブチルエーテル、酢酸プロピレングリコールモノメチルエーテル(PGMEA)、酢酸プロピレングリコールモノエチルエーテル、酢酸プロピレングリコールモノプロピルエーテル、酢酸プロピレングリコールモノブチルエーテル、酢酸ジプロピレングリコールモノメチルエーテル、酢酸ジプロピレングリコールモノエチルエーテル、ジ酢酸グリコール、酢酸メトキシトリグリコール、プロピオン酸エチル、プロピオン酸n-ブチル、プロピオン酸i-アミル、3-メトキシプロピオン酸メチル、シュウ酸ジエチル、シュウ酸ジ-n-ブチル、乳酸メチル、乳酸エチル、乳酸n-ブチル、乳酸n-アミル、マロン酸ジエチル、フタル酸ジメチル、フタル酸ジエチル等が挙げられる。 Examples of ester solvents include diethyl carbonate, propylene carbonate, methyl acetate, ethyl acetate, γ-butyrolactone, γ-valerolactone, n-propyl acetate, i-propyl acetate, n-butyl acetate, i-butyl acetate, and acetic acid. sec-butyl, n-pentyl acetate, sec-pentyl acetate, 3-methoxybutyl acetate, methylpentyl acetate, 2-ethylbutyl acetate, 2-ethylhexyl acetate, benzyl acetate, cyclohexyl acetate, methylcyclohexyl acetate, n-nonyl acetate, acetate Methyl acetate, ethyl acetoacetate, ethylene glycol monomethyl ether acetate, ethylene glycol monoethyl acetate, diethylene glycol monomethyl acetate, diethylene glycol monoethyl acetate, diethylene glycol mono-n-butyl acetate, propylene glycol monomethyl acetate (PGMEA), propylene acetate Glycol monoethyl ether, propylene glycol monopropyl acetate, propylene glycol monobutyl ether, dipropylene glycol monomethyl acetate, dipropylene glycol monoethyl acetate, glycol diacetate, methoxytriglycol acetate, ethyl propionate, n-propionate Butyl, i-amyl propionate, methyl 3-methoxypropionate, diethyl oxalate, di-n-butyl oxalate, methyl lactate, ethyl lactate, n-butyl lactate, n-amyl lactate, diethyl malonate, dimethyl phthalate , diethyl phthalate, and the like.

炭化水素系溶媒としては、例えば、脂肪族炭化水素系溶媒として、n-ペンタン、i-ペンタン、n-ヘキサン、i-ヘキサン、n-ヘプタン、i-ヘプタン、2,2,4-トリメチルペンタン、n-オクタン、i-オクタン、シクロヘキサン、メチルシクロヘキサン等;芳香族炭化水素系溶媒として、ベンゼン、トルエン、キシレン、メシチレン、エチルベンゼン、トリメチルベンゼン、メチルエチルベンゼン、n-プロピルベンゼン、i-プロピルベンゼン、ジエチルベンゼン、i-ブチルベンゼン、トリエチルベンゼン、ジ-i-プロピルベンゼン、n-アミルナフタレン、アニソール等が挙げられる。 Examples of hydrocarbon solvents include aliphatic hydrocarbon solvents such as n-pentane, i-pentane, n-hexane, i-hexane, n-heptane, i-heptane, 2,2,4-trimethylpentane, n-octane, i-octane, cyclohexane, methylcyclohexane, etc.; aromatic hydrocarbon solvents such as benzene, toluene, xylene, mesitylene, ethylbenzene, trimethylbenzene, methylethylbenzene, n-propylbenzene, i-propylbenzene, diethylbenzene, Examples include i-butylbenzene, triethylbenzene, di-i-propylbenzene, n-amylnaphthalene, and anisole.

これらの中でも、酢酸プロピレングリコールモノメチルエーテル(PGMEA)、N,N-ジメチルホルムアミド(DMF)、プロピレングリコールモノメチルエーテル(PGME)、アニソール、エタノール、メタノール、アセトン、メチルエチルケトン、ヘキサン、テトラヒドロフラン(THF)、ジメチルスルホキシド(DMSO)、1H,1H-トリフルオロエタノール、1H,1H-ペンタフルオロプロパノール、6-(パーフルオロエチル)ヘキサノール、酢酸エチル、酢酸プロピル、酢酸ブチル、シクロヘキサノン、フルフラールがより好ましく、PGMEA又はDMFがさらに好ましく、PGMEAがよりさらに好ましい。これらの溶媒は、単独で又は2種以上を組み合わせて用いてもよい。 Among these, propylene glycol monomethyl ether acetate (PGMEA), N,N-dimethylformamide (DMF), propylene glycol monomethyl ether (PGME), anisole, ethanol, methanol, acetone, methyl ethyl ketone, hexane, tetrahydrofuran (THF), dimethyl sulfoxide. (DMSO), 1H,1H-trifluoroethanol, 1H,1H-pentafluoropropanol, 6-(perfluoroethyl)hexanol, ethyl acetate, propyl acetate, butyl acetate, cyclohexanone, and furfural are more preferred, and PGMEA or DMF is more preferred. Preferably, PGMEA is even more preferable. These solvents may be used alone or in combination of two or more.

パターン形成用組成物中のポリマーの含有量は、パターン形成用組成物の全質量に対して、0.1質量%以上であることが好ましく、1質量%以上であることがより好ましい。また、ポリマーの含有量は、パターン形成用組成物の全質量に対して、30質量%以下であることが好ましい。 The content of the polymer in the pattern-forming composition is preferably 0.1% by mass or more, more preferably 1% by mass or more, based on the total mass of the pattern-forming composition. Further, the content of the polymer is preferably 30% by mass or less based on the total mass of the pattern-forming composition.

<任意成分>
パターン形成用組成物を製造する際には、任意成分として、イオン液体をさらに配合してもよい。イオン液体とは、100℃以下で液体であり、かつ、イオンのみから構成される溶媒をいう。イオン液体を構成するイオンは、カチオン部及びアニオン部の少なくとも一方が有機イオンから構成される。
<Optional ingredients>
When producing a pattern-forming composition, an ionic liquid may be further added as an optional component. An ionic liquid is a solvent that is liquid at 100° C. or lower and is composed only of ions. In the ions constituting the ionic liquid, at least one of a cation part and an anion part is composed of an organic ion.

パターン形成用組成物がイオン液体を含むことにより、ポリマーと有機溶剤の相溶性を高めることができる。また、イオン液体は、ブロックコポリマーの相分離を促進する働きも有している。 When the pattern forming composition contains an ionic liquid, the compatibility between the polymer and the organic solvent can be improved. The ionic liquid also has the function of promoting phase separation of the block copolymer.

イオン液体は、カチオン部とアニオン部から成り、イオン液体のカチオン部としては、特に限定されるものではなく、一般的にイオン液体のカチオン部に用いられるものを使用することができる。イオン液体のカチオン部の好ましいものとしては、含窒素芳香族イオン、アンモニウムイオン、フォスフォニウムイオンが挙げられる。 An ionic liquid consists of a cation part and an anion part, and the cation part of an ionic liquid is not particularly limited, and those commonly used for the cation part of ionic liquids can be used. Preferred examples of the cation moiety of the ionic liquid include nitrogen-containing aromatic ions, ammonium ions, and phosphonium ions.

含窒素芳香族カチオンとしては、例えばピリジニウムイオン、ピリダジニウムイオン、ピリミジニウムイオン、ピラジニウムイオン、イミダゾリウムイオン、ピラゾニウムイオン、オキサゾリウムイオン、1,2,3-トリアゾリウムイオン、1,2,4-トリアゾリウムイオン、チアゾリウムイオン、ピペリジニウムイオン、ピロリジニウムイオン等が挙げられる。 Examples of nitrogen-containing aromatic cations include pyridinium ion, pyridazinium ion, pyrimidinium ion, pyrazinium ion, imidazolium ion, pyrazonium ion, oxazolium ion, 1,2,3-triazolium ion, 1, Examples include 2,4-triazolium ion, thiazolium ion, piperidinium ion, and pyrrolidinium ion.

イオン液体のアニオン部としては、ハロゲンイオン、カルボキシレートイオン、ホスフィネートイオン、ホスフェートイオン、ホスホネイトイオン、ビス(トリフルオロメチルスルフォニル)イミドイオン等が挙げられ、ビス(トリフルオロメチルスルフォニル)イミドイオンが好ましい。ハロゲンイオンとしては、クロライドイオン、ブロマイドイオン、ヨウダイドイオンが挙げられ、クロライドイオンが好ましい。カルボキシレートイオンとしては、ホルメートイオン、アセテートイオン、プロピオネートイオン、ブチレートイオン、ヘキサノエートイオン、マレエートイオン、フマレートイオン、オキサレートイオン、レクテートイオン、ピルベートイオン等が挙げられ、ホルメートイオン、アセテートイオン、プロピオネートイオンが好ましい。 Examples of the anion moiety of the ionic liquid include a halogen ion, a carboxylate ion, a phosphinate ion, a phosphate ion, a phosphonate ion, a bis(trifluoromethylsulfonyl)imide ion, and a bis(trifluoromethylsulfonyl)imide ion is preferred. Examples of the halogen ion include chloride ion, bromide ion, and iodide ion, with chloride ion being preferred. Examples of carboxylate ions include formate ion, acetate ion, propionate ion, butyrate ion, hexanoate ion, maleate ion, fumarate ion, oxalate ion, rectate ion, pyruvate ion, etc. , formate ion, acetate ion, and propionate ion are preferred.

パターン形成用組成物は、任意成分として、例えば、界面活性剤等を含むものであってもよい。パターン形成用組成物が界面活性剤を含有することで、パターン形成の基板等への塗布性を向上させることができる。好ましい界面活性剤としては、ノニオン系界面活性剤、フッ素系界面活性剤及びシリコーン系界面活性剤が挙げられる。これらは、単独で又は2種以上を組み合わせて用いてもよい。 The pattern forming composition may contain, for example, a surfactant or the like as an optional component. When the pattern-forming composition contains a surfactant, it is possible to improve the applicability of the pattern-forming composition to a substrate or the like. Preferred surfactants include nonionic surfactants, fluorine surfactants, and silicone surfactants. These may be used alone or in combination of two or more.

また、パターン形成用組成物は、任意成分として、触媒をさらに含んでいてもよい。触媒としては、例えば、p-トルエンスルホン酸、トリフルオロメタンスルホン酸、ピリジニウム-p-トルエンスルホン酸、サリチル酸、スルホサリチル酸、クエン酸、安息香酸、ドデシルベンゼンスルホン酸アンモニウム、ヒドロキシ安息香酸等の酸化合物や、硬化剤として、エチレンジアミン、ジエチレントリアミン、トリエチレンテトラミン、ジエチルアミノプロピルアミン、ジメチルアミノプロピルアミン、m-キシレンジアミン、m-フェニレンジアミン、トリエチルアミン、ベンジルジメチルアミン等が挙げられる。 Moreover, the pattern-forming composition may further contain a catalyst as an optional component. Examples of the catalyst include acid compounds such as p-toluenesulfonic acid, trifluoromethanesulfonic acid, pyridinium-p-toluenesulfonic acid, salicylic acid, sulfosalicylic acid, citric acid, benzoic acid, ammonium dodecylbenzenesulfonate, and hydroxybenzoic acid. Examples of the curing agent include ethylenediamine, diethylenetriamine, triethylenetetramine, diethylaminopropylamine, dimethylaminopropylamine, m-xylenediamine, m-phenylenediamine, triethylamine, and benzyldimethylamine.

本発明のパターン形成用組成物には、ポリマーを構成するモノマー成分が含まれていてもよい。例えば、目的の特性を向上させるためにポリマーを構成する各種モノマーを適宜添加することができる。 The pattern-forming composition of the present invention may contain a monomer component constituting a polymer. For example, various monomers constituting the polymer can be added as appropriate to improve desired properties.

(パターン形成方法)
本発明は、上述したポリマーを含むパターン形成用組成物を基板上に塗布し、パターン形成用膜を形成する工程と、パターン形成用膜の少なくとも一部に金属を導入する工程と、を含むパターン形成方法に関するものでもある。ここで、ポリマーの自由体積半径をPrとし、金属の原子核半径をMrとした場合、2≦Pr/Mr≦3.3の条件を満たす。本発明のパターン形成方法によれば、パターン形成用組成物からパターン形成用膜を形成した後に基板にパターン形状を加工する際のエッチング加工性を高めることができる。
(Pattern formation method)
The present invention provides a pattern-forming composition comprising the steps of: applying a pattern-forming composition containing the above-mentioned polymer onto a substrate to form a pattern-forming film; and introducing a metal into at least a portion of the pattern-forming film. It also concerns the formation method. Here, when the free volume radius of the polymer is Pr and the nuclear radius of the metal is Mr, the condition of 2≦Pr/Mr≦3.3 is satisfied. According to the pattern forming method of the present invention, it is possible to improve etching processability when processing a pattern shape on a substrate after forming a pattern forming film from a pattern forming composition.

本発明のパターン形成方法において用いる基板としては、例えば、ガラス、シリコン、SiN、GaN、AlN等の基板を挙げることができる。また、PET,PE,PEO,PS,シクロオレフィンポリマー、ポリ乳酸、セルロースナノファイバーのような有機材料からなる基板を用いてもよい。また、基板とガイドパターン形成層の間には、異なる材料からなる層を複数層挟んでいても良い。この材料としては、特に特定されるものではないが、例えばSiO、SiN,Al、AlN、GaN、GaAs、W、SOC、SOGなどの無機材料や、市販されている接着剤のような有機材料を挙げることができる。 Examples of the substrate used in the pattern forming method of the present invention include substrates made of glass, silicon, SiN, GaN, AlN, and the like. Further, a substrate made of an organic material such as PET, PE, PEO, PS, cycloolefin polymer, polylactic acid, or cellulose nanofiber may also be used. Further, a plurality of layers made of different materials may be sandwiched between the substrate and the guide pattern forming layer. This material is not particularly specified, but may include inorganic materials such as SiO 2 , SiN, Al 2 O 3 , AlN, GaN, GaAs, W, SOC, and SOG, and commercially available adhesives. Examples include organic materials.

パターン形成用組成物を基板上に塗布してパターン形成用膜を形成する方法としては、特に制限されないが、例えば、使用されるパターン形成用組成物をスピンコート法等によって塗布する方法等が挙げられる。 The method for forming a pattern-forming film by applying a pattern-forming composition onto a substrate is not particularly limited, but examples include a method of applying the pattern-forming composition to be used by a spin coating method or the like. It will be done.

本発明のパターン形成方法は、パターン形成用膜を形成する工程の後に、パターン形成用膜にパターンを形成する工程をさらに含むことが好ましい。パターンを形成する工程においては、まず、図1(a)に示されるように、基板10上にパターン形成用組成物を塗布することでパターン形成用膜20を形成する。そして、図1(b)に示されるように、パターン形成用膜20の一部は、基板10に形成したいパターン形状となるように少なくとも一部が除去される。例えば、パターン形成用膜20上にレジスト膜を積層し、露光及び現像処理を行うことで、図1(b)に示されるようなパターン形状を形成することができる。 The pattern forming method of the present invention preferably further includes a step of forming a pattern on the pattern forming film after the step of forming the pattern forming film. In the process of forming a pattern, first, as shown in FIG. 1(a), a pattern forming film 20 is formed by applying a pattern forming composition onto a substrate 10. Then, as shown in FIG. 1B, at least a portion of the pattern forming film 20 is removed so as to form a pattern desired to be formed on the substrate 10. For example, by stacking a resist film on the pattern forming film 20 and performing exposure and development processing, a pattern shape as shown in FIG. 1(b) can be formed.

パターン形成用膜の一部を除去する方法としては、例えば、ケミカルドライエッチング、ケミカルウェットエッチング(湿式現像)等の反応性イオンエッチング(RIE)、スパッタエッチング、イオンビームエッチング等の物理的エッチング等の公知の方法が挙げられる。パターン形成用膜の除去は、例えば、テトラフルオロメタン、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、パーフルオロエタン(C)、三塩化ホウ素、三フッ化メタン、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、塩素、ヘリウム、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素等のガスを用いたドライエッチングによって行われることが好ましい。 Examples of methods for removing a part of the pattern forming film include reactive ion etching (RIE) such as chemical dry etching and chemical wet etching (wet development), and physical etching such as sputter etching and ion beam etching. Known methods may be used. The pattern forming film can be removed using, for example, tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), perfluoroethane (C 2 F 6 ), boron trichloride, or trifluoride. This can be done by dry etching using gases such as methane, trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, chlorine, helium, sulfur hexafluoride, difluoromethane, nitrogen trifluoride, and chlorine trifluoride. preferable.

また、パターン形成用膜の一部を除去する工程としてケミカルウェットエッチング工程を採用することもできる。ウェットエッチングの手法としては、例えば酢酸と反応させて処理する方法、エタノールやi-プロパノールといったアルコールと水の混合溶液を反応させて処理する方法、UV光又はEB光を照射した後に酢酸又はアルコールで処理する方法などが挙げられる。 Further, a chemical wet etching process can also be employed as a process for removing a part of the pattern forming film. Wet etching methods include, for example, a method of reacting with acetic acid, a method of reacting with a mixed solution of alcohol and water such as ethanol or i-propanol, and a method of treating with acetic acid or alcohol after irradiation with UV light or EB light. Examples include processing methods.

以上のようにしてパターン形成用膜にパターンを形成することができる。形成されるパターンとしては、ラインアンドスペースパターン、ホールパターン又はピラーパターンであることが好ましい。 A pattern can be formed on the pattern forming film as described above. The pattern to be formed is preferably a line and space pattern, a hole pattern, or a pillar pattern.

本発明のパターン形成方法は、パターン形成用膜の少なくとも一部に金属を導入する工程を含む。金属を導入する工程は、パターン形成用膜にパターン形状が形成された後に設けられることが好ましいが、パターン形成用膜にパターン形状が形成される前に金属導入工程が設けられてもよい。パターン形成用膜に導入される金属としては、Li、Be、Na、Mg、Al、Si、K、Ca、Sc、Ti、V、Cr、Mn、Fe、Co、Ni、Cu、Zn、Ga、Ge、As、Rb、Sr、Y、Zr、Nb、Mo、Ru、Pd、Ag、Cd、In、Sn、Sb、Te、Cs、Ba、La、Hf、Ta、W、Re、Os、Ir、Pt、Au、Hg、Tl、Pb、Bi、Po、Ce、Pr、Nd、Pm、Sm、Eu、Gd、Tb、Dy、Ho、Er、Tm、Yb、Luなどが挙げられる。パターン形成用膜に金属を導入する工程は、例えばJornal of Photopolymer Science and Technology Volume29, Number5(2016)653-657に記載されている方法により行うことができる。また、パターン形成用膜に金属を導入する場合には、金属錯体ガスを使用する方法、金属を含む溶液を塗布する方法、あるいは、イオンインプラント法により金属をレジストに導入する方法を採用することができる。 The pattern forming method of the present invention includes the step of introducing metal into at least a portion of the pattern forming film. Although the step of introducing metal is preferably provided after the pattern shape is formed on the pattern forming film, the metal introducing step may be provided before the pattern shape is formed on the pattern forming film. The metals introduced into the pattern forming film include Li, Be, Na, Mg, Al, Si, K, Ca, Sc, Ti, V, Cr, Mn, Fe, Co, Ni, Cu, Zn, Ga, Ge, As, Rb, Sr, Y, Zr, Nb, Mo, Ru, Pd, Ag, Cd, In, Sn, Sb, Te, Cs, Ba, La, Hf, Ta, W, Re, Os, Ir, Examples include Pt, Au, Hg, Tl, Pb, Bi, Po, Ce, Pr, Nd, Pm, Sm, Eu, Gd, Tb, Dy, Ho, Er, Tm, Yb, and Lu. The step of introducing metal into the pattern forming film can be performed, for example, by the method described in Journal of Photopolymer Science and Technology Volume 29, Number 5 (2016) 653-657. In addition, when introducing metal into the pattern forming film, it is possible to adopt a method using a metal complex gas, a method of applying a solution containing metal, or a method of introducing metal into the resist using an ion implant method. can.

パターン形成用膜の少なくとも一部に金属を導入する工程の後には、エッチング工程がさらに設けられることが好ましい。このエッチング工程とは、図1(c)に示されるように、パターニングされたパターン形成用膜を保護膜(マスク)として基板をエッチングする工程である。 It is preferable that an etching step is further provided after the step of introducing metal into at least a portion of the pattern forming film. This etching step is a step in which the substrate is etched using the patterned pattern forming film as a protective film (mask), as shown in FIG. 1(c).

エッチング工程において基板を加工する方法としては、例えば、ケミカルドライエッチング、ケミカルウェットエッチング(湿式現像)等の反応性イオンエッチング(RIE)、スパッタエッチング、イオンビームエッチング等の物理的エッチング等の公知の方法が挙げられる。基板の加工は、例えば、テトラフルオロメタン、パーフルオロシクロブタン(C)、パーフルオロプロパン(C)、トリフルオロメタン、一酸化炭素、アルゴン、酸素、窒素、塩素、六フッ化硫黄、ジフルオロメタン、三フッ化窒素及び三フッ化塩素等のガスを用いたドライエッチングによって行われることが好ましい。 Methods for processing the substrate in the etching process include known methods such as reactive ion etching (RIE) such as chemical dry etching and chemical wet etching (wet development), and physical etching such as sputter etching and ion beam etching. can be mentioned. The substrate can be processed using, for example, tetrafluoromethane, perfluorocyclobutane (C 4 F 8 ), perfluoropropane (C 3 F 8 ), trifluoromethane, carbon monoxide, argon, oxygen, nitrogen, chlorine, sulfur hexafluoride. It is preferable to perform dry etching using a gas such as , difluoromethane, nitrogen trifluoride, or chlorine trifluoride.

また、エッチング工程では、ケミカルウェットエッチング工程を採用することもできる。ウェットエッチングの手法としては、例えば酢酸と反応させて処理する方法、エタノールやi-プロパノールといったアルコールと水の混合溶液を反応させて処理する方法、UV光またはEB光を照射した後に酢酸またはアルコールで処理する方法などが挙げられる。 Further, in the etching process, a chemical wet etching process can also be adopted. Wet etching methods include, for example, a method of reacting with acetic acid, a method of reacting with a mixed solution of alcohol and water such as ethanol or i-propanol, and a method of treating with acetic acid or alcohol after irradiation with UV light or EB light. Examples include processing methods.

以下に実施例と比較例を挙げて本発明の特徴をさらに具体的に説明する。以下の実施例に示す材料、使用量、割合、処理内容、処理手順等は、本発明の趣旨を逸脱しない限り適宜変更することができる。したがって、本発明の範囲は以下に示す具体例により限定的に解釈されるべきものではない。 EXAMPLES The features of the present invention will be explained in more detail below with reference to Examples and Comparative Examples. The materials, usage amounts, proportions, processing details, processing procedures, etc. shown in the following examples can be changed as appropriate without departing from the spirit of the present invention. Therefore, the scope of the present invention should not be interpreted as being limited by the specific examples shown below.

(実施例1)
[コポリマー1の合成]
(アセチル糖メタクリレート1の合成)
キシロース20gを無水酢酸250gと酢酸320gの混合溶液へ添加し、30℃で2時間撹拌した。溶液のおよそ5倍量の冷水を撹拌しながらゆっくりと加え、2時間撹拌したのちに1晩静置した。フラスコ中でTHF400mLにエチレンジアミン1.2gと酢酸01.4gを加えて0℃にした溶液に、析出した結晶10gを加え、4時間撹拌した。これを冷水1Lに注入し、ジクロロメタンで2回抽出した。この抽出物20g、ジクロロメタン300mL及びトリエチルアミン4.8gをフラスコに入れ、-30℃に冷却した。塩化メタクリロイル2.8gを加えて2時間撹拌した。これを冷水300mLに注入し、ジクロロメタンで2回抽出し、溶媒を濃縮することにより、アセチルキシロースメタクリレート1を16.1g得た。得られたアセチルキシロースメタクリレート1の構造は以下のとおりである。
(Example 1)
[Synthesis of copolymer 1]
(Synthesis of acetyl sugar methacrylate 1)
20 g of xylose was added to a mixed solution of 250 g of acetic anhydride and 320 g of acetic acid, and the mixture was stirred at 30° C. for 2 hours. Approximately 5 times the amount of cold water as the solution was slowly added while stirring, stirred for 2 hours, and then left to stand overnight. 10 g of precipitated crystals were added to a solution of 1.2 g of ethylenediamine and 01.4 g of acetic acid added to 400 mL of THF and heated to 0° C. and stirred for 4 hours. This was poured into 1 L of cold water and extracted twice with dichloromethane. 20 g of this extract, 300 mL of dichloromethane, and 4.8 g of triethylamine were placed in a flask and cooled to -30°C. 2.8 g of methacryloyl chloride was added and stirred for 2 hours. This was poured into 300 mL of cold water, extracted twice with dichloromethane, and the solvent was concentrated to obtain 16.1 g of acetyl xylose methacrylate 1. The structure of the obtained acetyl xylose methacrylate 1 is as follows.

Figure 0007342563000008
Figure 0007342563000008

(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレートランダムコポリマーの合成)
アセチル糖メタクリレート12.0g、スチレン(東京化成社製)2.6g、グリシジルメタクリレート(東京化成社製)2.6g、溶媒としてTHF100g、重合開始剤としてアゾビスイソブチロニトリル0.8gをフラスコに入れた後、ガラス容器を密閉し、窒素置換した窒素雰囲気下、78℃に昇温し6.0時間撹拌した。その後、室温に戻し、ガラス容器内を大気下とし、得られた溶液にメタノール300g中に滴下し、重合物を析出させた。その後、析出した重合物を含む溶液を吸引ろ過し、白色のコポリマー1 12gを得た。得られたコポリマー1は以下の構成単位を含む。
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate random copolymer)
In a flask were placed 12.0 g of acetyl sugar methacrylate, 2.6 g of styrene (manufactured by Tokyo Kasei Co., Ltd.), 2.6 g of glycidyl methacrylate (manufactured by Tokyo Kasei Co., Ltd.), 100 g of THF as a solvent, and 0.8 g of azobisisobutyronitrile as a polymerization initiator. After charging, the glass container was sealed, the temperature was raised to 78° C. under a nitrogen atmosphere, and the mixture was stirred for 6.0 hours. Thereafter, the temperature was returned to room temperature, the inside of the glass container was placed under the atmosphere, and 300 g of methanol was added dropwise to the resulting solution to precipitate a polymer. Thereafter, the solution containing the precipitated polymer was suction-filtered to obtain 112 g of white copolymer 1. The obtained copolymer 1 contains the following structural units.

[溶液サンプルの調製]
コポリマー1 3質量%、重合触媒のp-トルエンスルホン酸0.3質量%となるようPGMEAに溶解し、ポリマー溶液サンプルを得た。
[Preparation of solution sample]
Copolymer 1 was dissolved in PGMEA to give 3% by mass and p-toluenesulfonic acid as a polymerization catalyst 0.3% by mass to obtain a polymer solution sample.

(実施例2)
[コポリマー2の合成]
(アセチルキシロースメタクリレート-スチレン-グリシジルメタクリレートランダムコポリマーの合成)
コポリマー1の合成にて、スチレンの添加量を2.6gから2.3gに変更し、グリシジルメタクリレートの添加量を2.6gから0.8gに変更した以外は実施例1と同様の方法にてコポリマー2 12.0gを得た。また、実施例1と同様にしてポリマー溶液サンプルを得た。
(Example 2)
[Synthesis of copolymer 2]
(Synthesis of acetyl xylose methacrylate-styrene-glycidyl methacrylate random copolymer)
Copolymer 1 was synthesized in the same manner as in Example 1, except that the amount of styrene added was changed from 2.6 g to 2.3 g, and the amount of glycidyl methacrylate added was changed from 2.6 g to 0.8 g. 12.0 g of Copolymer 2 was obtained. Further, a polymer solution sample was obtained in the same manner as in Example 1.

(実施例3)
[コポリマー3の合成]
(アセチルキシロースメタクリレート-スチレンランダムコポリマーの合成)
コポリマー1の合成にて、スチレンの添加量を2.6gから2.1gに変更し、グリシジルメタクリレートを添加しなかった以外は実施例1と同様の方法にてコポリマー3 12.0gを得た。また、実施例1と同様にしてポリマー溶液サンプルを得た。
(Example 3)
[Synthesis of copolymer 3]
(Synthesis of acetyl xylose methacrylate-styrene random copolymer)
In the synthesis of Copolymer 1, 12.0 g of Copolymer 3 was obtained in the same manner as in Example 1, except that the amount of styrene added was changed from 2.6 g to 2.1 g, and glycidyl methacrylate was not added. Further, a polymer solution sample was obtained in the same manner as in Example 1.

(実施例4)
[コポリマー4の合成]
(アセチルキシロトリオースメタクリレート-スチレンランダムコポリマーの合成)
コポリマー1の合成にて、キシロースからキシロトリオースに変更した以外は実施例1と同様の方法にてコポリマー4 12.1gを得た。また、実施例1と同様にしてポリマー溶液サンプルを得た。得られたコポリマー4は以下の構成単位を含む。
(Example 4)
[Synthesis of copolymer 4]
(Synthesis of acetyl xylotriose methacrylate-styrene random copolymer)
12.1 g of Copolymer 4 was obtained in the same manner as in Example 1 except that xylose was changed to xylotriose in the synthesis of Copolymer 1. Further, a polymer solution sample was obtained in the same manner as in Example 1. The obtained copolymer 4 contains the following structural units.

[コポリマーの分析]
(単位(l):単位(m):単位(n)の比率)
H-NMRにより、コポリマーを構成する単位(l)と単位(m):単位(n)の比率(質量比)を求めて、算出した。具体的には、ポリマー10mg秤量、重クロロホルム1mLに溶解してNMR用溶液を調製し、得られた溶液をNMRサンプルチューブ(関東化学社)に移し、FT-NMR(JNM-ECZ600R:JEOL社)によりH-NMR測定を行った。
[Analysis of copolymer]
(Ratio of unit (l): unit (m): unit (n))
The ratio (mass ratio) of units (l) and units (m) to units (n) constituting the copolymer was determined and calculated by 1 H-NMR. Specifically, 10 mg of polymer was weighed and dissolved in 1 mL of deuterated chloroform to prepare a solution for NMR, and the obtained solution was transferred to an NMR sample tube (Kanto Kagaku Co., Ltd.), and FT-NMR (JNM-ECZ600R: JEOL Co., Ltd.) 1 H-NMR measurement was carried out.

[自由体積半径の評価]
得られたポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングした。膜厚が500nmとなるように塗布した後、ホットプレート上において230℃で5分間焼成し、パターン形成用膜を形成した。
このようにして形成したパターン形成用膜を、さらに15mm×15mm角に切り出し、フジ・インバック製小型陽電子ビーム発生装置PALS-200A(薄膜対応陽電子消滅寿命測定装置)に設置した。陽電子線源として22Naベースの陽電子ビームを用い、γ線検出器としてBaF製シンチレーターと光電子増倍管を用い、以下の条件で陽電子消滅寿命を測定した。
装置定数:263~272ps,24.55ps/ch
ビーム強度:1.5keV
測定深さ:0~25μm(推定)
測定温度:室温
測定雰囲気:真空
総カウント数:約5000000カウント
試料前処理:室温で真空脱気
以上により得られた陽電子消滅寿命曲線を非線形最小二乗プログラムPOSITRONFITにより解析し、平均自由体積半径を算出した。
[Evaluation of free volume radius]
The resulting polymer solution sample was spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 500 nm, it was baked on a hot plate at 230° C. for 5 minutes to form a pattern forming film.
The pattern-forming film thus formed was further cut into a 15 mm x 15 mm square and placed in a small positron beam generator PALS-200A (thin film compatible positron annihilation life measuring device) manufactured by Fuji Invac. Using a 22Na-based positron beam as a positron beam source and a BaF 2 scintillator and a photomultiplier tube as a γ-ray detector, the positron annihilation lifetime was measured under the following conditions.
Device constants: 263-272ps, 24.55ps/ch
Beam intensity: 1.5keV
Measurement depth: 0-25μm (estimated)
Measurement temperature: Room temperature Measurement atmosphere: Vacuum Total number of counts: Approximately 5,000,000 counts Sample pretreatment: Vacuum degassing at room temperature The positron annihilation lifetime curve obtained above was analyzed using the nonlinear least squares program POSITRONFIT, and the mean free volume radius was calculated. .

[最大金属導入率の評価]
得られたポリマー溶液サンプルを2インチのシリコンウエハー基板上にスピンコーティングした。膜厚が300nmとなるように塗布した後、ホットプレート上において230℃で5分間焼成し、パターン形成用膜を形成した。
このようにして形成したパターン形成用膜を、ALD(原子層堆積装置:PICUSAN社製 SUNALE R-100B)に入れ、95℃にてTMA(トリメチルアルミニウム、Al(CH)ガスを300秒導入した後、水蒸気を150秒導入した。この操作を3回繰り返すことで、パターン形成用膜にAlを導入した。
Al導入後のパターン形成用膜を、XPS装置(Thermo Fisher Scientific社製 Nexsa XPS System )に設置し、XPS分析(X線光電子分光分析)で膜厚方向でのAl元素の濃度プロファイルを得た。なお、Al導入後のパターン形成用膜の膜厚は、サンプル表面にピンセットで傷をつけてシリコン基板表面を露出させることで段差を形成し、この段差部分を触診式段差計(株式会社小坂製作所製 型番:ET-4000)にて測定することで求めた。
[Evaluation of maximum metal introduction rate]
The resulting polymer solution sample was spin coated onto a 2 inch silicon wafer substrate. After coating to a film thickness of 300 nm, it was baked on a hot plate at 230° C. for 5 minutes to form a pattern forming film.
The pattern forming film thus formed was placed in ALD (atomic layer deposition apparatus: SUNALE R-100B manufactured by PICUSAN), and TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas was applied at 95° C. for 300 seconds. After the introduction, water vapor was introduced for 150 seconds. By repeating this operation three times, Al 2 O 3 was introduced into the pattern forming film.
The pattern-forming film after introduction of Al 2 O 3 was installed in an XPS device (Nexsa XPS System manufactured by Thermo Fisher Scientific), and the concentration profile of Al element in the film thickness direction was determined by Obtained. The thickness of the pattern-forming film after introducing Al 2 O 3 is determined by scratching the sample surface with tweezers to expose the silicon substrate surface to form a step, and measuring this step with a palpable step meter (stock company). It was determined by measuring with a model number: ET-4000 (manufactured by Kosaka Seisakusho).

[エッチング選択比測定用サンプルの作製]
得られたポリマー溶液サンプルを、シリコン酸化膜(膜厚2um)付2インチのシリコンウエハー基板上にスピンコーティングした。膜厚が300nmとなるように塗布した後、ホットプレート上で230℃1分間焼成し、パターン形成用膜を形成した。
ArFエキシマレーザー露光機にてラインアンドスペース(ライン幅100nm、スペース幅100nm)の形状となるようにマスクし、市販のArFレジストを用いて露光を行った。その後、ホットプレート上において105℃で1分間焼成した後、現像液を浸漬することで、ラインアンドスペースパターンを作製した。
次にこのパターンサンプルを、ICPプラズマエッチング装置(東京エレクトロン社製)にて、基板を酸素プラズマ処理(100sccm、4Pa、100W、60秒間)することで、フォトレジストが除去され、パターン形成用膜にラインアンドスペースパターンが形成された。その後、コポリマーの金属導入率の評価と同様にして、パターン形成用膜に金属導入した。このパターンをマスクとして、六フッ化エタン(C)とArガスを使用しICPプラズマエッチング装置(東京エレクトロン社製)でプラズマ処理(100sccm、0.4Pa、200W、120秒間)を行ないシリコン酸化膜のドライエッチング加工を行った。
[Preparation of sample for etching selectivity measurement]
The obtained polymer solution sample was spin-coated onto a 2-inch silicon wafer substrate with a silicon oxide film (film thickness: 2 um). After coating to a film thickness of 300 nm, the film was baked at 230° C. for 1 minute on a hot plate to form a pattern forming film.
It was masked in a line-and-space (line width 100 nm, space width 100 nm) shape using an ArF excimer laser exposure machine, and exposure was performed using a commercially available ArF resist. Thereafter, the film was baked on a hot plate at 105° C. for 1 minute, and then immersed in a developer to form a line-and-space pattern.
Next, this pattern sample is subjected to oxygen plasma treatment (100 sccm, 4 Pa, 100 W, 60 seconds) on the substrate using an ICP plasma etching device (manufactured by Tokyo Electron) to remove the photoresist and turn it into a pattern forming film. A line and space pattern was formed. Thereafter, metal was introduced into the pattern forming film in the same manner as in the evaluation of the metal introduction rate of the copolymer. Using this pattern as a mask, plasma treatment (100 sccm, 0.4 Pa, 200 W, 120 seconds) was performed using an ICP plasma etching device (manufactured by Tokyo Electron) using hexafluoroethane (C 2 F 6 ) and Ar gas to remove silicon. The oxide film was dry etched.

[エッチング加工性の評価]
六フッ化エタン(C)とArガスを使用したプラズマ処理前後のシリコン酸化膜のパターン形成されている断面を走査型電子顕微鏡(SEM)JSM7800F(日本電子製)で、加速電圧1.5kV、エミッション電流37.0μA、倍率100,000倍で観察し、それぞれ金属導入されたパターン形成用膜の厚み(図1(b)における厚みcと、図1(c)における厚みc’)と、シリコン酸化膜部へ加工された深さ(図1(c)における深さd)を測定した。そして、下記の式によりエッチング選択比を算出した。
エッチング選択比=シリコン酸化膜への加工深さ/(プラズマ処理前パターン形成用膜の厚み-プラズマ処理後パターン形成用膜の厚み)
そして、エッチング加工性を以下の基準で評価した。
◎:エッチング選択比が10以上であるもの
○:エッチング選択比が2以上10未満であるもの
×:エッチング選択比が2未満であるもの
[Evaluation of etching processability]
A patterned cross section of the silicon oxide film before and after plasma treatment using hexafluoroethane (C 2 F 6 ) and Ar gas was examined using a scanning electron microscope (SEM) JSM7800F (manufactured by JEOL Ltd.) at an accelerating voltage of 1. Observed at 5kV, emission current 37.0μA, and 100,000x magnification, the thickness of the pattern forming film into which the metal was introduced (thickness c in Figure 1(b) and thickness c' in Figure 1(c)) and , the depth of processing into the silicon oxide film portion (depth d in FIG. 1(c)) was measured. Then, the etching selectivity was calculated using the following formula.
Etching selectivity = Processing depth to silicon oxide film / (Thickness of pattern forming film before plasma treatment - Thickness of pattern forming film after plasma treatment)
Then, the etching processability was evaluated based on the following criteria.
◎: Etching selection ratio is 10 or more ○: Etching selection ratio is 2 or more and less than 10 ×: Etching selection ratio is less than 2

(実施例5)
実施例1においてパターン形成用膜に金属を導入する際、TMA(トリメチルアルミニウム、Al(CH)ガスに代えてホウ酸トリメチル(B(OCH)ガスを用いた以外は実施例1と同様に各種評価を行った。
(Example 5)
Example 1 except that trimethyl borate (B(OCH 3 ) 3 ) gas was used instead of TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas when introducing metal into the pattern forming film in Example 1. Various evaluations were performed in the same manner as in 1.

(実施例6)
実施例1においてパターン形成用膜に金属を導入する際、TMA(トリメチルアルミニウム、Al(CH)ガスに代えてトリメチルアンチモン(Sb(CH)ガスを用いた以外は実施例1と同様に各種評価を行った。
(Example 6)
Example 1 except that when introducing metal into the pattern forming film in Example 1, trimethylantimony (Sb(CH 3 ) 3 ) gas was used instead of TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas. Various evaluations were conducted in the same manner.

(比較例1)
実施例1においてパターン形成用膜に金属を導入する際、TMA(トリメチルアルミニウム、Al(CH)ガスに代えてトリメチルインジウム(In(CH)ガスを用いた以外は実施例1と同様に各種評価を行った。
(Comparative example 1)
Example 1 except that trimethylindium (In(CH 3 ) 3 ) gas was used instead of TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas when introducing metal into the pattern forming film in Example 1. Various evaluations were conducted in the same manner.

(比較例2)
実施例1においてパターン形成用膜に金属を導入する際、TMA(トリメチルアルミニウム、Al(CH)ガスに代えてホウ酸トリメチル(B(OCH)ガスを用いた以外は実施例3と同様に各種評価を行った。
(Comparative example 2)
Example 1 except that trimethyl borate (B(OCH 3 ) 3 ) gas was used instead of TMA (trimethylaluminum, Al(CH 3 ) 3 ) gas when introducing metal into the pattern forming film in Example 1. Various evaluations were performed in the same manner as in 3.

Figure 0007342563000011
Figure 0007342563000011

表1において、原子核半径は、E Clementi, D L Raimondi, W P Reinhardt (1963) J Chem Phys. 38:2686の記載に基づいた値である。 In Table 1, the nuclear radius is determined by E Clementi, D L Raimondi, W P Reinhardt (1963) J Chem Phys. This value is based on the description of 38:2686.

実施例では、2≦Pr/Mr≦3.3の条件を満たしていたため、エッチング加工性が良好であった。一方、2≦Pr/Mr≦3.3の条件を満たしていない比較例ではエッチング加工性が劣る結果であった。 In the example, since the condition of 2≦Pr/Mr≦3.3 was satisfied, the etching processability was good. On the other hand, the comparative example that did not satisfy the condition of 2≦Pr/Mr≦3.3 had poor etching processability.

10 基板
20 パターン形成用膜
10 Substrate 20 Pattern forming film

Claims (3)

ポリマーを含むパターン形成用組成物を基板上に塗布し、パターン形成用膜を形成する工程と、
前記パターン形成用膜の少なくとも一部に金属を導入する工程と、を含むパターン形成方法であって、
前記ポリマーの自由体積半径をPrとし、
前記金属の原子核半径をMrとした場合、
2≦Pr/Mr≦3.3の条件を満たす、パターン形成方法。
a step of applying a pattern-forming composition containing a polymer onto a substrate to form a pattern-forming film;
A pattern forming method comprising the step of introducing metal into at least a portion of the pattern forming film,
The free volume radius of the polymer is Pr,
When the nuclear radius of the metal is Mr,
A pattern forming method that satisfies the condition 2≦Pr/Mr≦3.3.
前記パターン形成用膜を形成する工程の後に、前記パターン形成用膜にパターンを形成する工程をさらに含む、請求項に記載のパターン形成方法。 The pattern forming method according to claim 1 , further comprising the step of forming a pattern on the pattern forming film after the step of forming the pattern forming film. 前記金属を導入する工程の後に、エッチング工程をさらに含む、請求項又はに記載のパターン形成方法。 The pattern forming method according to claim 1 or 2 , further comprising an etching step after the step of introducing the metal.
JP2019172107A 2019-09-20 2019-09-20 Pattern forming composition and pattern forming method Active JP7342563B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019172107A JP7342563B2 (en) 2019-09-20 2019-09-20 Pattern forming composition and pattern forming method
PCT/JP2020/034708 WO2021054284A1 (en) 2019-09-20 2020-09-14 Pattern formation composition and pattern formation method
TW109132287A TW202119131A (en) 2019-09-20 2020-09-18 Pattern formation composition and pattern formation method
JP2023137001A JP2023158014A (en) 2019-09-20 2023-08-25 Composition for forming pattern and pattern forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019172107A JP7342563B2 (en) 2019-09-20 2019-09-20 Pattern forming composition and pattern forming method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023137001A Division JP2023158014A (en) 2019-09-20 2023-08-25 Composition for forming pattern and pattern forming method

Publications (2)

Publication Number Publication Date
JP2021051107A JP2021051107A (en) 2021-04-01
JP7342563B2 true JP7342563B2 (en) 2023-09-12

Family

ID=75157708

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019172107A Active JP7342563B2 (en) 2019-09-20 2019-09-20 Pattern forming composition and pattern forming method
JP2023137001A Pending JP2023158014A (en) 2019-09-20 2023-08-25 Composition for forming pattern and pattern forming method

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023137001A Pending JP2023158014A (en) 2019-09-20 2023-08-25 Composition for forming pattern and pattern forming method

Country Status (1)

Country Link
JP (2) JP7342563B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2023063237A1 (en) * 2021-10-11 2023-04-20 学校法人早稲田大学 Underlayer film-forming composition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03174724A (en) * 1989-09-01 1991-07-29 Toshiba Corp Method of forming pattern

Also Published As

Publication number Publication date
JP2021051107A (en) 2021-04-01
JP2023158014A (en) 2023-10-26

Similar Documents

Publication Publication Date Title
EP2859023B1 (en) Neutral layer polymer composition for directed self assembly and processes thereof
JP7184036B2 (en) Underlayer film forming composition, pattern forming method and pattern forming underlayer film forming copolymer
JP6627997B2 (en) Self-assembled composition for pattern formation and pattern formation method
JP7268672B2 (en) Underlayer film forming composition, pattern forming method, copolymer and monomer for underlayer film forming composition
JP2023158014A (en) Composition for forming pattern and pattern forming method
JP2023107809A (en) Pattern-forming material, pattern-forming method, and monomer for pattern-forming material
WO2021002351A1 (en) Pattern formation method, resist material, and pattern formation device
JP7347066B2 (en) Pattern forming composition and pattern forming method
JP6813028B2 (en) Pattern formation method, base material and laminate
WO2021054284A1 (en) Pattern formation composition and pattern formation method
JP7338271B2 (en) Resist material and pattern forming method
JP6801829B1 (en) Resist material and pattern formation method
TWI798753B (en) Resin composition for forming phase-separated structure, method for producing structure containing phase-separated structure, and block copolymer
JP6825741B1 (en) Resist material and pattern formation method
JP6811957B2 (en) A resin composition for forming a phase-separated structure, and a method for producing a structure containing the phase-separated structure.

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230612

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230801

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230814

R150 Certificate of patent or registration of utility model

Ref document number: 7342563

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150