JP7329391B2 - Substrate processing method and substrate processing system - Google Patents

Substrate processing method and substrate processing system Download PDF

Info

Publication number
JP7329391B2
JP7329391B2 JP2019152565A JP2019152565A JP7329391B2 JP 7329391 B2 JP7329391 B2 JP 7329391B2 JP 2019152565 A JP2019152565 A JP 2019152565A JP 2019152565 A JP2019152565 A JP 2019152565A JP 7329391 B2 JP7329391 B2 JP 7329391B2
Authority
JP
Japan
Prior art keywords
substrate
wafer
etching
thickness
substrate processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019152565A
Other languages
Japanese (ja)
Other versions
JP2021034533A (en
Inventor
理 大川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2019152565A priority Critical patent/JP7329391B2/en
Priority to TW109127002A priority patent/TWI836128B/en
Priority to CN202010820747.7A priority patent/CN112420506A/en
Priority to KR1020200104610A priority patent/KR20210023750A/en
Publication of JP2021034533A publication Critical patent/JP2021034533A/en
Application granted granted Critical
Publication of JP7329391B2 publication Critical patent/JP7329391B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02019Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02002Preparing wafers
    • H01L21/02005Preparing bulk and homogeneous wafers
    • H01L21/02008Multistep processes
    • H01L21/0201Specific process step
    • H01L21/02013Grinding, lapping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring

Description

本開示は、基板処理方法及び基板処理システムに関する。 The present disclosure relates to a substrate processing method and a substrate processing system.

特許文献1には、シリコン単結晶インゴットをスライスして得られたウェーハのエッチング方法が開示されている。このエッチング方法では、エッチング液供給ノズルを複数設け、ウェーハ上面の中央部と外周部とで供給するエッチング液の流量を変えることでウェーハ面内のエッチング量を制御し、ウェーハ上面を効率的に高い平坦度にすることを図っている。 Patent Document 1 discloses a method for etching a wafer obtained by slicing a silicon single crystal ingot. In this etching method, a plurality of etchant supply nozzles are provided, and the flow rate of the etchant supplied to the central portion and the peripheral portion of the upper surface of the wafer is changed to control the amount of etching within the wafer surface, thereby efficiently increasing the height of the upper surface of the wafer. We are trying to make it flat.

国際公開2007/088755号公報International Publication 2007/088755

本開示にかかる技術は、基板に対するウェットエッチングを適切に行い、基板厚みの面内均一性を向上させる。 The technique according to the present disclosure appropriately wet-etches the substrate and improves the in-plane uniformity of the substrate thickness.

本開示の一態様は、基板を処理する基板処理方法であって、前記基板の一の面を研削することと、前記基板の厚みを測定することと、前記一の面をウェットエッチングすることと、前記基板の反転後、当該基板の他の面をウェットエッチングすることと、を含み、前記一の面のウェットエッチングにおいては、前記厚みの測定結果に基づいて前記基板の面内厚みをそろえ、前記他の面のウェットエッチングにおいては、前記基板の厚みを目標厚みまで減少させる。 One aspect of the present disclosure is a substrate processing method for processing a substrate, comprising grinding one surface of the substrate, measuring the thickness of the substrate, and wet-etching the one surface. and wet etching the other surface of the substrate after the substrate is turned over, wherein in the wet etching of the one surface, the in-plane thickness of the substrate is made uniform based on the thickness measurement result, In the wet etching of the other surface, the thickness of the substrate is reduced to a target thickness.

本開示によれば、基板に対するウェットエッチングを適切に行い、基板厚みの面内均一性を向上させることができる。 According to the present disclosure, it is possible to appropriately perform wet etching on a substrate and improve the in-plane uniformity of the substrate thickness.

ウェハ処理システムの構成の一例を模式的に示す平面図である。1 is a plan view schematically showing an example of the configuration of a wafer processing system; FIG. ウェハ処理システムの構成の一例を模式的に示す側面図である。1 is a side view schematically showing an example of the configuration of a wafer processing system; FIG. エッチング装置の構成の一例を模式的に示す平面図である。It is a top view which shows an example of a structure of an etching apparatus typically. エッチング装置の構成の一例を模式的に示す平面図である。It is a top view which shows an example of a structure of an etching apparatus typically. 保持部材の構成の一例を示す側面図である。FIG. 4 is a side view showing an example of a configuration of a holding member; ウェハ処理の主な工程の一例を示すフロー図である。FIG. 2 is a flow chart showing an example of main steps of wafer processing; ウェハ処理の主な工程の一例を示す説明図である。FIG. 2 is an explanatory diagram showing an example of main steps of wafer processing;

半導体デバイスの製造工程では、一般的にインゴットから切り出し、スライスして得られた基板としてのウェハに対してデバイスを形成し、形成されたデバイスウェハに対して各種処理を施すことが行われる。そして、かかるデバイスウェハに対する処理を適切に行うため、ウェハの表面を平坦化することが行われている。ウェハ表面の平坦化方法は種々あるが、例えば特許文献1に開示されるように、ウェハの上面に対してエッチング液を供給する方法などがある。 In the manufacturing process of a semiconductor device, devices are generally formed on a wafer as a substrate obtained by cutting and slicing an ingot, and various treatments are performed on the formed device wafer. In order to appropriately process such device wafers, the surface of the wafer is planarized. There are various methods for flattening the surface of a wafer. For example, as disclosed in Japanese Unexamined Patent Application Publication No. 2002-200010, there is a method of supplying an etchant to the upper surface of the wafer.

しかしながら、ウェハの上面を平坦化した場合であっても、ウェハの厚みが面内で均一でない場合、適切にウェハ処理を行うことができない場合がある。特許文献1には、インゴットから得られたウェハの上面を平坦化することについては記載があるものの、厚みの面内均一性を向上させることについては記載がなく、かかる観点において改善の余地がある。 However, even if the upper surface of the wafer is flattened, it may not be possible to properly process the wafer if the thickness of the wafer is not uniform within the surface. Although Patent Document 1 describes flattening the upper surface of a wafer obtained from an ingot, it does not describe improving the in-plane thickness uniformity, and there is room for improvement in this respect. .

本開示にかかる技術は、基板に対するウェットエッチングを適切に行い、基板厚みの面内均一性を適切に向上させる。以下、基板厚みの面内均一性を向上させるための本実施形態にかかる基板処理システムとしてのウェハ処理システム、及び基板処理方法としてのウェハ処理方法について、図面を参照しながら説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する要素においては、同一の符号を付することにより重複説明を省略する。 The technique according to the present disclosure appropriately performs wet etching on the substrate and appropriately improves the in-plane uniformity of the substrate thickness. A wafer processing system as a substrate processing system and a wafer processing method as a substrate processing method according to the present embodiment for improving the in-plane uniformity of substrate thickness will be described below with reference to the drawings. In the present specification and drawings, elements having substantially the same functional configuration are denoted by the same reference numerals, thereby omitting redundant description.

先ず、本実施形態にかかるウェハ処理システムの構成について説明する。 First, the configuration of the wafer processing system according to this embodiment will be described.

本実施形態にかかるウェハ処理システム1では、上述のようにインゴットから切り出して得られたウェハWに対し、厚みの面内均一性を向上させるための処理を行う。以下、ウェハWの前記切り出し面を便宜的に表面Wa、裏面Wbと称する場合がある。 In the wafer processing system 1 according to the present embodiment, the wafer W obtained by slicing from the ingot as described above is processed to improve the in-plane thickness uniformity. Hereinafter, the cut surfaces of the wafer W may be referred to as a front surface Wa and a rear surface Wb for convenience.

図1に示すようにウェハ処理システム1は、搬入出ステーション2と処理ステーション3を一体に接続した構成を有している。搬入出ステーション2と処理ステーション3は、X軸負方向側から正方向側に向けて並べて配置されている。搬入出ステーション2は、例えば外部との間で複数のウェハWを収容可能なカセットCが搬入出される。処理ステーション3は、ウェハWに対して所望の処理を施す各種処理装置を備えている。 As shown in FIG. 1, the wafer processing system 1 has a configuration in which a loading/unloading station 2 and a processing station 3 are integrally connected. The loading/unloading station 2 and the processing station 3 are arranged side by side from the X-axis negative direction side toward the positive direction side. For example, the loading/unloading station 2 loads/unloads a cassette C capable of accommodating a plurality of wafers W to/from the outside. The processing station 3 includes various processing devices for performing desired processing on the wafer W. FIG.

搬入出ステーション2には、カセット載置台10が設けられている。図示の例では、カセット載置台10には、複数、例えば3つのカセットCをY軸方向に一列に載置自在になっている。なお、カセット載置台10に載置されるカセットCの個数は、本実施形態に限定されず、任意に決定することができる。 The loading/unloading station 2 is provided with a cassette mounting table 10 . In the illustrated example, a plurality of, for example, three cassettes C can be placed in a row on the cassette placing table 10 in the Y-axis direction. The number of cassettes C to be placed on the cassette placing table 10 is not limited to that of the present embodiment, and can be arbitrarily determined.

搬入出ステーション2には、カセット載置台10のX軸正方向側において、当該カセット載置台10に隣接してウェハ搬送領域20が設けられている。ウェハ搬送領域20には、Y軸方向に延伸する搬送路21上を移動自在なウェハ搬送装置22が設けられている。ウェハ搬送装置22は、ウェハWを保持して搬送する、2つの搬送アーム23、23を有している。各搬送アーム23は、水平方向、鉛直方向、水平軸回り及び鉛直軸周りに移動自在に構成されている。なお、搬送アーム23の構成は本実施形態に限定されず、任意の構成を取り得る。そして、ウェハ搬送装置22は、カセット載置台10のカセットC、及び後述するトランジション装置30に対して、ウェハWを搬送可能に構成されている。 The loading/unloading station 2 is provided with a wafer transfer area 20 adjacent to the cassette mounting table 10 on the X-axis positive direction side of the cassette mounting table 10 . The wafer transfer area 20 is provided with a wafer transfer device 22 which is movable on a transfer path 21 extending in the Y-axis direction. The wafer transfer device 22 has two transfer arms 23, 23 that hold and transfer the wafer W. As shown in FIG. Each transport arm 23 is configured to be movable in the horizontal direction, the vertical direction, around the horizontal axis, and around the vertical axis. In addition, the configuration of the transport arm 23 is not limited to the present embodiment, and may take any configuration. The wafer transfer device 22 is configured to transfer the wafer W to the cassette C on the cassette mounting table 10 and the transition device 30, which will be described later.

搬入出ステーション2には、ウェハ搬送領域20のX軸正方向側において、当該ウェハ搬送領域20に隣接して、ウェハWを受け渡すためのトランジション装置30、ウェハWの表裏面を反転するための基板反転部としての反転装置31が、積層されて設けられている。 The loading/unloading station 2 includes a transition device 30 for transferring the wafer W, a transition device 30 for inverting the front and back surfaces of the wafer W, and adjacent to the wafer transfer region 20 on the X-axis positive direction side of the wafer transfer region 20 . A reversing device 31 as a substrate reversing unit is provided in a stacked manner.

処理ステーション3には、例えば3つの処理ブロックG1~G3が設けられている。第1の処理ブロックG1、第2の処理ブロックG2、及び第3の処理ブロックG3は、X軸負方向側(搬入出ステーション2側)から正方向側にこの順で並べて配置されている。 The processing station 3 is provided with, for example, three processing blocks G1 to G3. The first processing block G1, the second processing block G2, and the third processing block G3 are arranged side by side in this order from the X-axis negative direction side (loading/unloading station 2 side) to the positive direction side.

第1の処理ブロックG1には、エッチング部としてのエッチング装置40、洗浄装置41、及びウェハ搬送装置50が設けられている。エッチング装置40は、第1の処理ブロックG1の搬入出ステーション2側において、X軸方向に2列且つ鉛直方向に3段に設けられている。すなわち、本実施形態では、エッチング装置40は6つ設けられている。洗浄装置41は、エッチング装置40のX軸正方向側において、鉛直方向に3段に積層されて設けられている。ウェハ搬送装置50は、エッチング装置40及び洗浄装置41のY軸正方向側に配置されている。エッチング装置40の詳細な構成については後述する。なお、エッチング装置40、洗浄装置41、及びウェハ搬送装置50の数や配置はこれに限定されない。 The first processing block G1 is provided with an etching device 40, a cleaning device 41, and a wafer transfer device 50 as an etching section. The etching apparatuses 40 are arranged in two rows in the X-axis direction and three stages in the vertical direction on the loading/unloading station 2 side of the first processing block G1. That is, in this embodiment, six etching apparatuses 40 are provided. The cleaning device 41 is provided on the positive direction side of the X-axis of the etching device 40 so as to be vertically stacked in three stages. The wafer transfer device 50 is arranged on the Y-axis positive side of the etching device 40 and the cleaning device 41 . A detailed configuration of the etching apparatus 40 will be described later. The number and arrangement of the etching device 40, the cleaning device 41, and the wafer transfer device 50 are not limited to this.

エッチング装置40は、切り出し面であるウェハWの表面Wa及び裏面Wbをエッチングする。例えば表面Wa又は裏面Wbに対してエッチング液(薬液)を供給し、当該表面Wa又は裏面Wbをウェットエッチングする。エッチング液には、例えばHF、HNO、HPO、TMAH、Choline、KOHなどが用いられる。 The etching device 40 etches the front surface Wa and the rear surface Wb of the wafer W, which are cut surfaces. For example, an etchant (chemical solution) is supplied to the front surface Wa or the rear surface Wb to wet-etch the front surface Wa or the rear surface Wb. For example, HF, HNO 3 , H 3 PO 4 , TMAH, Choline, KOH, or the like is used as the etchant.

洗浄装置41は、後述の加工装置80において研削処理されたウェハWの研削面を洗浄する。例えば研削面にブラシを当接させて、当該研削面をスクラブ洗浄する。なお研削面の洗浄には、加圧された洗浄液を用いてもよい。また、洗浄装置41は、ウェハWを洗浄する際、当該ウェハWの表裏両面を同時に洗浄可能に構成されていてもよい。 The cleaning device 41 cleans the ground surface of the wafer W ground by the processing device 80 described later. For example, a brush is brought into contact with the ground surface to scrub clean the ground surface. A pressurized cleaning liquid may be used for cleaning the ground surface. Further, the cleaning device 41 may be configured to be able to clean both the front and back surfaces of the wafer W at the same time when cleaning the wafer W. As shown in FIG.

ウェハ搬送装置50は、ウェハWを保持して搬送する、2つの搬送アーム51、51を有している。各搬送アーム51は、水平方向、鉛直方向、水平軸回り及び鉛直軸周りに移動自在に構成されている。なお、搬送アーム51の構成は本実施形態に限定されず、任意の構成を取り得る。ウェハ搬送装置50は、X軸方向に延伸する搬送路52上を移動自在である。そして、ウェハ搬送装置50は、トランジション装置30、反転装置31、第1の処理ブロックG1及び第2の処理ブロックG2の各処理装置に対して、ウェハWを搬送可能に構成されている。 The wafer transfer device 50 has two transfer arms 51, 51 that hold and transfer the wafer W. As shown in FIG. Each transport arm 51 is configured to be movable in the horizontal direction, the vertical direction, around the horizontal axis, and around the vertical axis. Note that the configuration of the transport arm 51 is not limited to the present embodiment, and may take any configuration. Wafer transfer device 50 is movable on transfer path 52 extending in the X-axis direction. The wafer transfer device 50 is configured to transfer the wafer W to each of the processing devices of the transition device 30, the reversing device 31, the first processing block G1 and the second processing block G2.

第2の処理ブロックG2には、ウェハWを受け渡すためのトランジション装置60、ウェハWの表裏面を反転するための基板反転部としての反転装置61、及びウェハ搬送装置70が設けられている。トランジション装置60と反転装置61は、積層されて設けられている。ウェハ搬送装置70は、トランジション装置60及び反転装置61のY軸負方向側に配置されている。なお、トランジション装置60、反転装置61、及びウェハ搬送装置70の数や配置はこれに限定されない。 The second processing block G2 is provided with a transition device 60 for transferring the wafer W, a reversing device 61 as a substrate reversing unit for reversing the front and back surfaces of the wafer W, and a wafer transfer device . The transition device 60 and the reversing device 61 are laminated. The wafer transfer device 70 is arranged on the Y-axis negative direction side of the transition device 60 and the reversing device 61 . Note that the number and arrangement of the transition device 60, the reversing device 61, and the wafer transfer device 70 are not limited to this.

ウェハ搬送装置70は、ウェハWを保持して搬送する、2つの搬送アーム71、71を有している。各搬送アーム71は、水平方向、鉛直方向、水平軸回り及び鉛直軸周りに移動自在に構成されている。なお、搬送アーム71の構成は本実施形態に限定されず、任意の構成を取り得る。また、ウェハ搬送装置70における搬送アーム71の数も本実施形態に限定されず、任意の数の搬送アーム71を設けることができ、例えば1つでもよい。そして、第1の処理ブロックG1~第3の処理ブロックG3の各処理装置に対して、ウェハWを搬送可能に構成されている。 The wafer transfer device 70 has two transfer arms 71, 71 that hold and transfer the wafer W. As shown in FIG. Each transport arm 71 is configured to be movable in the horizontal direction, the vertical direction, around the horizontal axis, and around the vertical axis. Note that the configuration of the transport arm 71 is not limited to the present embodiment, and may take any configuration. Also, the number of transfer arms 71 in the wafer transfer device 70 is not limited to that of the present embodiment, and any number of transfer arms 71 can be provided, for example, one. Wafers W can be transported to the respective processing apparatuses of the first processing block G1 to the third processing block G3.

第3の処理ブロックG3には、研削部としての加工装置80が設けられている。加工装置80は、回転テーブル81、粗研削ユニット82、及び仕上研削ユニット83を有している。 A processing device 80 as a grinding section is provided in the third processing block G3. The processing device 80 has a rotary table 81 , a rough grinding unit 82 and a finish grinding unit 83 .

回転テーブル81は、回転機構(図示せず)によって、鉛直な回転中心線84を中心に回転自在に構成されている。回転テーブル81上には、ウェハWを吸着保持するチャック85が4つ設けられている。チャック85は、回転テーブル81と同一円周上に均等、すなわち90度毎に配置されている。4つのチャック85は、回転テーブル81が回転することにより、受渡位置A0及び加工位置A1、A2に移動可能になっている。なお、チャック85は回転機構(図示せず)によって回転可能に構成されている。 The rotary table 81 is rotatable about a vertical center line 84 of rotation by a rotary mechanism (not shown). Four chucks 85 for holding the wafer W by suction are provided on the rotary table 81 . The chucks 85 are evenly arranged on the same circumference as the rotary table 81, that is, arranged every 90 degrees. The four chucks 85 are movable to the transfer position A0 and the processing positions A1 and A2 by rotating the rotary table 81. As shown in FIG. The chuck 85 is rotatable by a rotating mechanism (not shown).

受渡位置A0は回転テーブル81の第2の処理ブロックG2側(X軸負方向側且つY軸負方向側)の位置であり、ウェハWの受け渡しが行われる。受渡位置A0には、チャック85上に保持されたウェハWの厚みを測定するための厚み測定部86が配置される。第1の加工位置A1は回転テーブル81のX軸正方向側且つY軸負方向側の位置であり、粗研削ユニット82が配置される。第2の加工位置A2は回転テーブル81のX軸正方向側且つY軸正方向側の位置であり、仕上研削ユニット83が配置される。 The transfer position A0 is a position on the second processing block G2 side (X-axis negative direction side and Y-axis negative direction side) of the rotary table 81, and the wafer W is transferred. A thickness measuring unit 86 for measuring the thickness of the wafer W held on the chuck 85 is arranged at the delivery position A0. The first machining position A1 is a position on the X-axis positive direction side and the Y-axis negative direction side of the rotary table 81, where the rough grinding unit 82 is arranged. The second machining position A2 is a position on the X-axis positive direction side and the Y-axis positive direction side of the rotary table 81, and the finish grinding unit 83 is arranged.

粗研削ユニット82では、ウェハWの切り出し面を粗研削する。粗研削ユニット82は、環状形状で回転自在な粗研削砥石(図示せず)を備えた第1の研削部82aを有している。また、第1の研削部82aは、支柱82bに沿って鉛直方向に移動可能に構成されている。そして、チャック85に保持されたウェハWの研削面を研削砥石に当接させた状態で、チャック85と研削砥石をそれぞれ回転させ、切り出し面を粗研削する。 In the rough grinding unit 82, the cut surface of the wafer W is roughly ground. The rough grinding unit 82 has a first grinding section 82a with an annular, rotatable rough grinding wheel (not shown). In addition, the first grinding portion 82a is configured to be movable in the vertical direction along the support 82b. Then, while the grinding surface of the wafer W held by the chuck 85 is in contact with the grinding wheel, the chuck 85 and the grinding wheel are rotated to roughly grind the cut surface.

仕上研削ユニット83では、ウェハWの切り出し面を仕上研削する。仕上研削ユニット83は、環状形状で回転自在な仕上研削砥石(図示せず)を備えた第2の研削部83aを有している。また、第2の研削部83aは、支柱83bに沿って鉛直方向に移動可能に構成されている。なお、仕上研削砥石の砥粒の粒度は、粗研削砥石の砥粒の粒度より小さい。そして、チャック85に保持されたウェハWの研削面を研削砥石に当接させた状態で、チャック85と研削砥石をそれぞれ回転させ、切り出し面を仕上研削する。 In the finish grinding unit 83, the cut surface of the wafer W is finish ground. The finish grinding unit 83 has a second grinding section 83a having a ring-shaped rotatable finish grinding wheel (not shown). In addition, the second grinding portion 83a is configured to be movable in the vertical direction along the support 83b. The grain size of the abrasive grains of the finish grinding wheel is smaller than that of the rough grinding wheel. Then, while the grinding surface of the wafer W held by the chuck 85 is in contact with the grinding wheel, the chuck 85 and the grinding wheel are rotated to finish grind the cut surface.

厚み測定部86は、研削処理後のウェハWの厚みの面内分布を測定する。測定された厚みの面内分布は後述の制御装置90に出力され、後に処理が行われる他のウェハWの研削条件(例えばステージの傾きなど)にフィードバック制御される。また本実施の形態においては、測定された厚みの面内分布はエッチング装置40において行われるウェットエッチング処理のプロセスレシピ、パラメータの最適化においても使用される。 The thickness measuring unit 86 measures the in-plane distribution of the thickness of the wafer W after the grinding process. The measured in-plane distribution of the thickness is output to the control device 90, which will be described later, and is feedback-controlled to the grinding conditions (for example, stage tilt, etc.) of other wafers W to be processed later. In the present embodiment, the measured in-plane distribution of thickness is also used in optimizing the process recipe and parameters of the wet etching process performed in the etching apparatus 40 .

以上のウェハ処理システム1には、制御部としての制御装置90が設けられている。制御装置90は、例えばCPUやメモリ等を備えたコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、ウェハ処理システム1におけるウェハWの処理を制御するプログラムが格納されている。また、プログラム格納部には、上述の各種処理装置や搬送装置などの駆動系の動作を制御して、ウェハ処理システム1における後述のウェハ処理を実現させるためのプログラムも格納されている。なお、上記プログラムは、コンピュータに読み取り可能な記憶媒体Hに記録されていたものであって、当該記憶媒体Hから制御装置90にインストールされたものであってもよい。 The wafer processing system 1 described above is provided with a controller 90 as a controller. The control device 90 is, for example, a computer having a CPU, a memory, etc., and has a program storage unit (not shown). A program for controlling the processing of wafers W in wafer processing system 1 is stored in the program storage unit. The program storage unit also stores a program for controlling the operation of drive systems such as the various processing devices and transfer devices described above to realize wafer processing, which will be described later, in the wafer processing system 1 . The program may be recorded in a computer-readable storage medium H and installed in the control device 90 from the storage medium H.

次に、上述したエッチング装置40の詳細な構成について説明する。 Next, a detailed configuration of the etching apparatus 40 described above will be described.

エッチング装置40は、図3に示すように、内部を密閉可能な処理容器100を有している。処理容器100のウェハ搬送装置50側の側面には、ウェハWの搬入出口(図示せず)が形成され、当該搬入出口には開閉シャッタ(図示せず)が設けられている。 The etching apparatus 40 has a processing container 100 whose inside can be sealed, as shown in FIG. A loading/unloading port (not shown) for the wafer W is formed on the side surface of the processing container 100 on the wafer transfer device 50 side, and the loading/unloading port is provided with an open/close shutter (not shown).

処理容器100の内部には、図3及び図4に示すように、ウェハWを保持する保持機構110が設けられている。保持機構110は、略円板状のベース111と、ベース111の外周部に設けられた複数の保持部材112とを有している。図5に示すように保持部材112の側面には、ウェハWの外縁部を嵌め込む窪み部112aが形成されている。そして、図4に示すように複数の保持部材112によってウェハWが保持される。なお、保持部材112は、移動機構(図示せず)により水平方向に移動自在に構成されている。 A holding mechanism 110 for holding the wafer W is provided inside the processing container 100, as shown in FIGS. The holding mechanism 110 has a substantially disk-shaped base 111 and a plurality of holding members 112 provided on the outer peripheral portion of the base 111 . As shown in FIG. 5, the side surface of the holding member 112 is formed with a recess 112a into which the outer edge of the wafer W is fitted. Then, the wafer W is held by a plurality of holding members 112 as shown in FIG. The holding member 112 is horizontally movable by a moving mechanism (not shown).

ベース111の中央部には回転機構113が設けられている。回転機構113は回転駆動部(図示せず)に接続され、当該回転機構113により、ベース111、及び保持されたウェハWが回転自在に構成されている。なお、回転機構113は中空に構成されている。 A rotating mechanism 113 is provided in the central portion of the base 111 . The rotation mechanism 113 is connected to a rotation driving unit (not shown), and the base 111 and the held wafer W are rotatable by the rotation mechanism 113 . Note that the rotation mechanism 113 is hollow.

ベース111の周囲には、ウェハWから飛散又は落下する液体を受け止め、回収するカップ120が設けられている。カップ120の下面には、回収した液体を排出する排出管121と、カップ120内の雰囲気を真空引きして排気する排気管122が接続されている。 A cup 120 is provided around the base 111 to receive and collect liquid that scatters or drops from the wafer W. As shown in FIG. A discharge pipe 121 for discharging the collected liquid and an exhaust pipe 122 for evacuating the atmosphere in the cup 120 are connected to the lower surface of the cup 120 .

図3及び図4に示すようにベース111及びカップ120の上方には、第1のアーム130及び第2のアーム140が設けられている。 A first arm 130 and a second arm 140 are provided above the base 111 and the cup 120 as shown in FIGS.

第1のアーム130には、ウェハWに対してエッチング液を供給するスプレーノズルとしての2流体ノズル131が設けられている。また、第1のアーム130には移動機構132が設けられている。第1のアーム130は、図3及び図4に示すように移動機構132により水平方向に回転自在に構成され、また鉛直方向に昇降自在に構成されている。 The first arm 130 is provided with a two-fluid nozzle 131 as a spray nozzle for supplying an etchant to the wafer W. As shown in FIG. A moving mechanism 132 is provided on the first arm 130 . As shown in FIGS. 3 and 4, the first arm 130 is horizontally rotatable by a moving mechanism 132 and vertically vertically movable.

2流体ノズル131には、ウェハWに対してエッチング液を供給するエッチング液供給管133と、ガスを供給するガス供給管134が接続されている。エッチング液供給管133からのエッチング液とガス供給管134からのガスは、2流体ノズル131の内部で混合される。 The two-fluid nozzle 131 is connected to an etchant supply pipe 133 that supplies an etchant to the wafer W and a gas supply pipe 134 that supplies gas. The etchant from the etchant supply pipe 133 and the gas from the gas supply pipe 134 are mixed inside the two-fluid nozzle 131 .

エッチング液供給管133には、内部にエッチング液を貯留するエッチング液供給源135が接続されている。またエッチング液供給管133には、エッチング液の供給を制御するバルブ133vが設けられている。 An etchant supply source 135 that stores an etchant is connected to the etchant supply pipe 133 . Also, the etchant supply pipe 133 is provided with a valve 133v for controlling the supply of the etchant.

ガス供給管134には、内部にガス、例えば窒素等の不活性ガスを貯留するガス供給源136が接続されている。また、ガス供給管134には、ガスの供給を制御するバルブ134vが設けられている。 The gas supply pipe 134 is connected to a gas supply source 136 that stores a gas, for example, an inert gas such as nitrogen. Further, the gas supply pipe 134 is provided with a valve 134v for controlling gas supply.

2流体ノズル131は、エッチング液及びガスの供給量を制御することにより、ウェハWに対してエッチング液をスプレー噴射可能に構成されている。そして、このようにウェハWにエッチング液を噴射することにより、エッチング液によるウェハWのウェットエッチングに加え、エッチング液のウェハWに対する衝突力によってもエッチングが進行する。すなわち、ウェハWにおいてエッチング液が噴射される部分が選択的にウェットエッチングされる。なお、ウェハWのエッチング量は、エッチング液及びガスの噴射時間、及び噴射量により制御される。 The two-fluid nozzle 131 is configured to spray the etching liquid onto the wafer W by controlling the supply amounts of the etching liquid and gas. By spraying the etchant onto the wafer W in this way, the etching progresses not only by the wet etching of the wafer W by the etchant, but also by the collision force of the etchant against the wafer W. That is, the portion of the wafer W to which the etchant is sprayed is selectively wet-etched. The etching amount of the wafer W is controlled by the injection time and injection amount of the etchant and gas.

なお、2流体ノズル131の数や配置は図示の例には限定されず、任意に選択することができる。 The number and arrangement of the two-fluid nozzles 131 are not limited to the illustrated example, and can be arbitrarily selected.

第2のアーム140には、ウェハWに対してエッチング液を供給するエッチング液ノズル141と、リンス液を供給するリンス液ノズル142と、ガスを供給するガスノズル143と、が設けられている。なお、エッチング液ノズル141及びリンス液ノズル142としては、例えばラミナーノズルが用いられる。また、第2のアーム140には移動機構144が設けられている。第2のアーム140は、図3及び図4に示すように移動機構144により水平方向に回転自在に構成され、また鉛直方向に昇降自在に構成されている。 The second arm 140 is provided with an etchant nozzle 141 that supplies an etchant to the wafer W, a rinse liquid nozzle 142 that supplies a rinse liquid, and a gas nozzle 143 that supplies gas. As the etchant nozzle 141 and the rinse liquid nozzle 142, for example, a laminar nozzle is used. A moving mechanism 144 is provided on the second arm 140 . As shown in FIGS. 3 and 4, the second arm 140 is horizontally rotatable by a moving mechanism 144 and vertically movable.

エッチング液ノズル141は、ウェハWに対してエッチング液を供給するエッチング液供給管145を介してエッチング液供給源146に接続されている。またエッチング液供給管145には、エッチング液の供給を制御するバルブ145vが設けられている。なおエッチング液供給源146は、エッチング液供給源135と共通であってもよい。すなわち、エッチング液供給管145はエッチング液供給源135に接続されていてもよい。 The etchant nozzle 141 is connected to an etchant supply source 146 via an etchant supply pipe 145 that supplies the etchant to the wafer W. As shown in FIG. Also, the etchant supply pipe 145 is provided with a valve 145v for controlling the supply of the etchant. The etchant supply source 146 may be shared with the etchant supply source 135 . That is, the etchant supply pipe 145 may be connected to the etchant supply source 135 .

エッチング液ノズル141は、ウェハWに対してエッチング液をラミナー状に供給することにより、ウェハWのエッチング面を均一にウェットエッチングする。 The etchant nozzle 141 uniformly wet-etches the etching surface of the wafer W by supplying the etchant to the wafer W in a laminar shape.

リンス液ノズル142は、ウェハWに対してリンス液を供給するリンス液供給管147を介してリンス液供給源148に接続されている。またリンス液供給管147には、リンス液の供給を制御するバルブ147vが設けられている。 The rinse liquid nozzle 142 is connected to a rinse liquid supply source 148 through a rinse liquid supply pipe 147 that supplies the rinse liquid to the wafer W. As shown in FIG. Also, the rinse liquid supply pipe 147 is provided with a valve 147v for controlling the supply of the rinse liquid.

リンス液ノズル142は、ウェットエッチング後のウェハWに対してリンス液を供給することで、エッチング面をリンス洗浄する。 The rinse liquid nozzle 142 rinses and cleans the etching surface by supplying a rinse liquid to the wafer W after wet etching.

ガスノズル143は、ウェハWに対してガス、例えば窒素などの不活性ガスを供給するガス供給管149を介してガス供給源150に接続されている。またガス供給管149には、ガスの供給を制御するバルブ149vが設けられている。なおガス供給源150は、ガス供給源136と共通であってもよい。すなわち、ガス供給管149はガス供給源136に接続されていてもよい。 The gas nozzle 143 is connected to a gas supply source 150 through a gas supply pipe 149 that supplies gas, for example, an inert gas such as nitrogen, to the wafer W. As shown in FIG. Further, the gas supply pipe 149 is provided with a valve 149v for controlling gas supply. Note that the gas supply source 150 may be shared with the gas supply source 136 . That is, the gas supply pipe 149 may be connected to the gas supply source 136 .

ガスノズル143は、リンス洗浄後のウェハWに対してガスを供給することで、エッチング面を乾燥する。 The gas nozzle 143 dries the etching surface by supplying gas to the wafer W after the rinse cleaning.

なお、第2のアーム140に配置されるノズルの数や配置、及び種類は図示の例には限定されず、任意に選択することができる。 The number, arrangement, and types of nozzles arranged on the second arm 140 are not limited to the illustrated example, and can be arbitrarily selected.

ベース111の下方には、保持機構110に保持されたウェハWのエッチング面の反対面(以下、「下面」という。)側に洗浄液とリンス液を供給する下面側ノズル160が設けられている。下面側ノズル160は、中空に構成された回転機構113から突出するように設けられている。 Under the base 111, a lower surface side nozzle 160 is provided for supplying a cleaning liquid and a rinse liquid to the side opposite to the etching surface of the wafer W held by the holding mechanism 110 (hereinafter referred to as the "lower surface"). The lower surface side nozzle 160 is provided so as to protrude from the hollow rotation mechanism 113 .

下面側ノズル160には、ウェハWの下面に洗浄液を供給する供給管161が接続されている。供給管161は、回転機構113の内部を挿通し、下面側ノズル160と反対側において洗浄液供給管162とリンス液供給管163に分岐している。 A supply pipe 161 that supplies cleaning liquid to the lower surface of the wafer W is connected to the lower surface side nozzle 160 . The supply pipe 161 passes through the inside of the rotating mechanism 113 and branches off into a cleaning liquid supply pipe 162 and a rinse liquid supply pipe 163 on the side opposite to the lower surface side nozzle 160 .

洗浄液供給管162には、内部に洗浄液を貯留する洗浄液供給源164が接続されている。洗浄液には、例えばFPM(フッ酸過酸化水素水溶液)、SC2(塩酸過酸化水素水溶液)などが用いられる。また、洗浄液供給管162には、洗浄液の供給を制御するバルブ162vが設けられて。 A cleaning liquid supply source 164 that stores cleaning liquid is connected to the cleaning liquid supply pipe 162 . As the cleaning liquid, for example, FPM (hydrofluoric acid/hydrogen peroxide solution), SC2 (hydrochloric acid/hydrogen peroxide solution), or the like is used. Also, the cleaning liquid supply pipe 162 is provided with a valve 162v for controlling the supply of the cleaning liquid.

洗浄液供給管162は、加工装置80における研削処理時に飛散して吸着面(加工装置80における研削面の反対面)に対して洗浄液を供給することで、吸着面に付着したパーティクルなどを洗い流す。 The cleaning liquid supply pipe 162 scatters during the grinding process in the processing device 80 and supplies the cleaning liquid to the suction surface (the surface opposite to the grinding surface in the processing device 80), thereby washing away particles and the like adhering to the suction surface.

リンス液供給管163には、内部にリンス液、例えば純水を貯留するリンス液供給源165が接続されている。また、リンス液供給管163には、リンス液の供給を制御するバルブ163vが設けられている。なお、リンス液を供給するノズルは、下面側ノズル160とは別に設けてもよい。またリンス液供給源165は、リンス液供給源148と共通であってもよい。すなわち、リンス液供給管163はリンス液供給源148に接続されていてもよい。 The rinse liquid supply pipe 163 is connected to a rinse liquid supply source 165 in which a rinse liquid such as pure water is stored. Also, the rinse liquid supply pipe 163 is provided with a valve 163v for controlling the supply of the rinse liquid. Note that the nozzle for supplying the rinse liquid may be provided separately from the lower surface side nozzle 160 . Also, the rinse liquid supply source 165 may be shared with the rinse liquid supply source 148 . That is, the rinse liquid supply pipe 163 may be connected to the rinse liquid supply source 148 .

リンス液供給管163は、洗浄液供給管162による洗浄後の吸着面に対してリンス液を供給することで、吸着面をリンス洗浄する。 The rinse liquid supply pipe 163 rinses and cleans the adsorption surface by supplying the rinse liquid to the adsorption surface after cleaning by the cleaning liquid supply pipe 162 .

なお、本実施形態においては下面側ノズル160からは洗浄液及びリンス液を供給するが、これらのいずれか、または両方に変えてガスが供給されてもよい。 In this embodiment, the cleaning liquid and the rinsing liquid are supplied from the lower surface side nozzle 160, but gas may be supplied instead of either or both of them.

供給管161には、下面側ノズル160から供給される洗浄液及びリンス液の温度を制御する温度制御装置166が設けられている。上述の2流体ノズル131によりウェハWのエッチングを行う場合、エッチング液の気化によるウェハWの温度低下により、エッチングレートが低下するおそれがある。そこで、温度制御された洗浄液及びリンス液を下面側ノズル160から供給することにより、ウェットエッチング時におけるウェハWの温度低下を抑制する。すなわち下面側ノズル160が本開示における温度調節液ノズルに相当し、洗浄液及びリンス液が温度調節液に相当する。 The supply pipe 161 is provided with a temperature control device 166 that controls the temperature of the cleaning liquid and the rinse liquid supplied from the lower surface side nozzle 160 . When the wafer W is etched by the two-fluid nozzle 131 described above, the etching rate may decrease due to the temperature decrease of the wafer W caused by the vaporization of the etchant. Therefore, by supplying temperature-controlled cleaning liquid and rinsing liquid from the lower surface side nozzle 160, the temperature drop of the wafer W during wet etching is suppressed. That is, the lower surface side nozzle 160 corresponds to the temperature control liquid nozzle in the present disclosure, and the cleaning liquid and the rinse liquid correspond to the temperature control liquid.

本実施形態にかかるウェハ処理システム1及びエッチング装置40は以上のように構成されている。次に以上のように構成されたウェハ処理システム1及びエッチング装置40により行われるウェハ処理について説明する。 The wafer processing system 1 and the etching apparatus 40 according to this embodiment are configured as described above. Next, wafer processing performed by the wafer processing system 1 and the etching apparatus 40 configured as described above will be described.

先ず、インゴットから切り出し、スライスして得られたウェハWを複数収納したカセットCが、搬入出ステーション2のカセット載置台10に載置される。なお本実施形態においては、カセットCに収納されたウェハWの上面側を表面Wa、下面側を裏面Wbとする。 First, a cassette C containing a plurality of wafers W obtained by cutting and slicing an ingot is mounted on the cassette mounting table 10 of the loading/unloading station 2 . In this embodiment, the upper surface side of the wafer W stored in the cassette C is the front surface Wa, and the lower surface side thereof is the rear surface Wb.

次に、ウェハ搬送装置22によりカセットC内のウェハWが取り出され、トランジション装置30に搬送される。続けて、ウェハ搬送装置50により、トランジション装置30のウェハWが取り出され、トランジション装置60に搬送される。 Next, the wafer W in the cassette C is taken out by the wafer transfer device 22 and transferred to the transition device 30 . Subsequently, the wafer W is taken out from the transition device 30 by the wafer transfer device 50 and transferred to the transition device 60 .

次に、ウェハWはウェハ搬送装置70により加工装置80に搬送される。加工装置80においてウェハWは、受渡位置A0のチャック85に受け渡される。 Next, the wafer W is transferred to the processing device 80 by the wafer transfer device 70 . In the processing apparatus 80, the wafer W is delivered to the chuck 85 at the delivery position A0.

続いて、回転テーブル81を回転させて、ウェハWを第1の加工位置A1に移動させる。そして、粗研削ユニット82によって、図7(a)に示すようにウェハWの表面Waを粗研削する(図6のステップS1)。 Subsequently, the rotary table 81 is rotated to move the wafer W to the first processing position A1. Then, the surface Wa of the wafer W is roughly ground by the rough grinding unit 82 as shown in FIG. 7A (step S1 in FIG. 6).

続いて、回転テーブル81を回転させて、ウェハWを第2の加工位置A2に移動させる。そして、仕上研削ユニット83によって、図7(a)に示すようにウェハWの表面Waを仕上研削する(図6のステップS1)。 Subsequently, the rotary table 81 is rotated to move the wafer W to the second processing position A2. Then, the surface Wa of the wafer W is finish ground by the finish grinding unit 83 as shown in FIG. 7A (step S1 in FIG. 6).

続いて、回転テーブル81を回転させて、ウェハWを受渡位置A0に移動させる。 Subsequently, the rotary table 81 is rotated to move the wafer W to the delivery position A0.

次にウェハWはウェハ搬送装置70によって洗浄装置41に搬送される。洗浄装置41では、図7(b)に示すように、ウェハWの研削面である表面Waがスクラブ洗浄される(図6のステップS2)。なお、洗浄装置41では、ウェハWの表面Waと共に、裏面Wbが洗浄されてもよい。 Next, the wafer W is transferred to the cleaning device 41 by the wafer transfer device 70 . In the cleaning device 41, as shown in FIG. 7B, the front surface Wa, which is the ground surface of the wafer W, is scrub-cleaned (step S2 in FIG. 6). In addition, the back surface Wb of the wafer W may be cleaned together with the front surface Wa of the wafer W in the cleaning device 41 .

次にウェハWはウェハ搬送装置70によって反転装置61に搬送される。反転装置61では、ウェハWの表裏面が反転される。すなわち、研削面である表面Waが下面、裏面Wbが上面となるように上下が反転される。 Next, the wafer W is transferred to the reversing device 61 by the wafer transfer device 70 . In the reversing device 61, the front and rear surfaces of the wafer W are reversed. That is, it is turned upside down so that the front surface Wa, which is the grinding surface, is the bottom surface and the back surface Wb is the top surface.

表裏面が反転されたウェハWは、次に、ウェハ搬送装置70によって再び加工装置80に搬送される。そして、回転テーブル81を回転させてウェハWを第1の加工位置A1、第2の加工位置A2へと順次移動させ、図7(c)に示すように、ウェハWの裏面Wbを粗研削及び仕上げ研削する(図6のステップS3)。 The wafer W whose front and back surfaces have been reversed is then transferred to the processing device 80 again by the wafer transfer device 70 . Then, the rotary table 81 is rotated to sequentially move the wafer W to the first processing position A1 and the second processing position A2, and as shown in FIG. Finish grinding is performed (step S3 in FIG. 6).

続いて、回転テーブル81を回転させて、ウェハWを受渡位置A0に移動させる。 Subsequently, the rotary table 81 is rotated to move the wafer W to the delivery position A0.

表面Wa及び裏面Wbに対して研削が行われたウェハWは、受渡位置A0において、図7(d)に示すように、厚み測定部86によってウェハW面内における厚み分布が測定される(図6のステップS4)。厚み分布の測定にあたっては、チャック85(ウェハW)を回転させるとともに、厚み測定部86をウェハWの上方で径方向に水平移動させることで、径方向位置毎の厚み分布を取得する。 Wafer W whose front surface Wa and back surface Wb have been ground is measured for thickness distribution in the surface of wafer W by thickness measuring unit 86 at delivery position A0 as shown in FIG. 6 step S4). In measuring the thickness distribution, the chuck 85 (wafer W) is rotated, and the thickness measurement unit 86 is horizontally moved above the wafer W in the radial direction to obtain the thickness distribution at each radial position.

なお、厚み測定部86で取得した厚み分布は、ウェハ処理システム1において処理される次のウェハWに対する加工条件(例えばステージの傾き)にフィードバック制御される。 The thickness distribution acquired by the thickness measuring unit 86 is feedback-controlled to the processing conditions (for example, stage tilt) for the next wafer W to be processed in the wafer processing system 1 .

次にウェハWはウェハ搬送装置70によって洗浄装置41に搬送される。洗浄装置41では、図7(e)に示すように、ウェハWの研削面である裏面Wbがスクラブ洗浄される(図6のステップS5)。なお、洗浄装置41では、ウェハWの裏面Wbと共に、表面Waが洗浄されてもよい。 Next, the wafer W is transferred to the cleaning device 41 by the wafer transfer device 70 . In the cleaning device 41, as shown in FIG. 7(e), the back surface Wb, which is the grinding surface of the wafer W, is scrub-cleaned (step S5 in FIG. 6). Note that the front surface Wa of the wafer W may be cleaned together with the back surface Wb of the wafer W in the cleaning device 41 .

次にウェハWはウェハ搬送装置70によってエッチング装置40に搬送される。エッチング装置40では、図7(f)に示すように、ウェハWの本実施形態における一の面としての裏面Wbがエッチング液によりウェットエッチングされる(図6のステップS6)。 Next, the wafer W is transferred to the etching device 40 by the wafer transfer device 70 . In the etching apparatus 40, as shown in FIG. 7(f), the back surface Wb, which is one surface of the wafer W in this embodiment, is wet-etched with an etchant (step S6 in FIG. 6).

裏面Wbのウェットエッチングにあたっては、回転機構113によりウェハWを回転させながら、第1のアーム130に設けられた2流体ノズル131を径方向に水平移動させることで、ウェハWの裏面Wbにおける任意の位置が選択的にウェットエッチングされる。そしてこれにより加工装置80の研削処理により形成されたウェハWの裏面Wbの研削痕を除去でき、ウェハWの面内厚みが均一にされる。なお、かかるウェハWの裏面Wbのエッチング処理後においては、ウェハWの厚みは目標厚みに比べて大きい。 In the wet etching of the back surface Wb, the two-fluid nozzle 131 provided on the first arm 130 is horizontally moved in the radial direction while the wafer W is rotated by the rotating mechanism 113, so that an arbitrary surface on the back surface Wb of the wafer W is etched. Locations are selectively wet etched. As a result, the grinding marks on the back surface Wb of the wafer W formed by the grinding process of the processing device 80 can be removed, and the in-plane thickness of the wafer W is made uniform. After etching the back surface Wb of the wafer W, the thickness of the wafer W is larger than the target thickness.

ここで、裏面Wbのウェットエッチングは、ステップS4において測定されたウェハWの厚み分布に基づいて、ウェハWの面内厚みが均一になるように、ウェットエッチングのプロセスレシピやパラメータの最適化が行われる。具体的には、厚み分布において厚みが大きいと判定されるウェハWの径位置においてはエッチング量を増やし、厚みが小さいと判定される径位置においてはエッチング量を減らす。ウェハWのエッチング量は、例えば2流体ノズル131から噴射されるエッチング液の噴射時間や噴射量などにより制御される。すなわち、2流体ノズル131の径方向への水平移動に際して、ウェハWに対する2流体ノズル131の相対位置に応じて、2流体ノズル131の移動速度やエッチング液の噴射量が制御される。 Here, the wet etching of the back surface Wb is performed by optimizing the wet etching process recipe and parameters so that the in-plane thickness of the wafer W is uniform based on the thickness distribution of the wafer W measured in step S4. will be Specifically, the etching amount is increased at radial positions of the wafer W determined to be thick in the thickness distribution, and the etching amount is decreased at radial positions determined to be thin. The etching amount of the wafer W is controlled by, for example, the injection time and injection amount of the etchant injected from the two-fluid nozzle 131 . That is, when the two-fluid nozzle 131 moves horizontally in the radial direction, the moving speed of the two-fluid nozzle 131 and the injection amount of the etchant are controlled according to the relative position of the two-fluid nozzle 131 with respect to the wafer W. FIG.

このように、本実施形態においては、エッチング液を供給するノズルとしてスプレーノズル(2流体ノズル131)を採用し、ウェハWの面内におけるエッチング対象領域に対してエッチング液を噴射する。これにより、前述のようにエッチング液の供給によるウェットエッチングに加え、ウェハWの面内における任意のエッチング対象領域に対してエッチング液の衝突に際しての圧力(衝突力)によってもエッチングが進行する。すなわち、ラミナーノズルからの液供給によるウェットエッチングと比較して、エッチング対象領域を選択的に処理することができる。 Thus, in the present embodiment, the spray nozzle (two-fluid nozzle 131) is employed as the nozzle for supplying the etchant, and the etchant is sprayed onto the etching target region within the surface of the wafer W. FIG. As a result, in addition to wet etching by supplying the etchant as described above, the etching progresses due to the pressure (collision force) when the etchant collides with an arbitrary etching target region in the surface of the wafer W. That is, compared with wet etching by liquid supply from a laminar nozzle, etching target regions can be selectively processed.

なお、ウェハWの裏面Wbのウェットエッチングにあたっては、ウェハWの下面(表面Wa)に対して下面側ノズル160から洗浄液やリンス液を供給することで、同時に表面Waを洗浄してもよい。 In the wet etching of the back surface Wb of the wafer W, the front surface Wa may be cleaned at the same time by supplying a cleaning liquid or a rinse liquid to the bottom surface (front surface Wa) of the wafer W from the bottom surface side nozzle 160 .

なお、2流体ノズル131からエッチング液を噴射してエッチングを行う場合、上述のように、ウェハWに噴射されたエッチング液が気化することで発生する気化熱でウェハWの温度が低下し、エッチングレートが低下するおそれがある。そこで、かかるエッチングレートの低下を抑制するため、下面側ノズル160からウェハWの表面Waに供給される洗浄液やリンス液の温度を温度制御装置166により制御し、ウェハWの温度の温度低下を抑制することが望ましい。 When etching is performed by spraying the etchant from the two-fluid nozzle 131, as described above, the temperature of the wafer W decreases due to the heat of vaporization generated by the vaporization of the etchant sprayed onto the wafer W, and the etching is performed. Rate may drop. Therefore, in order to suppress the decrease in the etching rate, the temperature control device 166 controls the temperature of the cleaning liquid and the rinse liquid supplied to the front surface Wa of the wafer W from the lower surface side nozzle 160, thereby suppressing the temperature decrease of the wafer W. It is desirable to

裏面Wbのエッチング処理が終了すると、次に、第1のアーム130をウェハWの上方から退避させるとともに、第2のアーム140をウェハWの上方に移動させる。 When the etching process of the back surface Wb is completed, next, the first arm 130 is retracted from above the wafer W, and the second arm 140 is moved above the wafer W. As shown in FIG.

次に、ウェハWを回転させた状態で、バルブ147vを制御してリンス液ノズル142からリンス液を供給する。そうすると、ウェットエッチング後のウェハWの裏面Wbがリンス洗浄される。 Next, while the wafer W is being rotated, the rinse liquid is supplied from the rinse liquid nozzle 142 by controlling the valve 147v. Then, the back surface Wb of the wafer W after wet etching is rinsed.

裏面Wbの洗浄が終了すると、次に、バルブ147v及びバルブ149vを制御して、リンス液ノズル142からのリンス液の供給を停止し、ガスノズル143からガスを供給する。そうすると、リンス洗浄後のウェハWの裏面Wbが乾燥される。 After the back surface Wb has been cleaned, the valves 147v and 149v are controlled to stop supplying the rinse liquid from the rinse liquid nozzle 142 and to supply gas from the gas nozzle 143 . Then, the back surface Wb of the wafer W after the rinse cleaning is dried.

裏面Wbの乾燥が終了すると、ウェハWはウェハ搬送装置50によって反転装置31に搬送される。反転装置31では、ウェハWの表裏面が反転される。すなわち、エッチングが行われていない表面Waが上面、エッチングが完了した裏面Wbが下面となるように上下が反転される。 After drying the back surface Wb, the wafer W is transferred to the reversing device 31 by the wafer transfer device 50 . In the reversing device 31, the front and back surfaces of the wafer W are reversed. That is, the substrate is turned upside down so that the front surface Wa that is not etched is the upper surface and the back surface Wb that has been etched is the lower surface.

表裏面が反転されたウェハWは、次に、ウェハ搬送装置50によって再びエッチング装置40に搬送される。エッチング装置40では、図7(g)に示すように、ウェハWの本実施形態における他の面としての表面Waがエッチング液によりウェットエッチングされる(図6のステップS7)。 The wafer W whose front and back surfaces have been reversed is then transferred to the etching device 40 again by the wafer transfer device 50 . In the etching apparatus 40, as shown in FIG. 7(g), the front surface Wa, which is another surface of the wafer W in this embodiment, is wet-etched with an etchant (step S7 in FIG. 6).

表面Waのウェットエッチングにあたっては、回転機構113によりウェハWを回転させながら、第2のアーム140に設けられたエッチング液ノズル141からエッチング液をラミナー状に供給する。そして遠心力によりエッチング液が拡散することで、ウェハWの表面Waの全面がウェットエッチングされる。これにより加工装置80の研削処理により形成された研削痕を除去でき、ウェハWの表面Waが均一にエッチングされる。またこれにより、ウェハWが所望の目標厚みまで薄化される。 In the wet etching of the front surface Wa, while the wafer W is rotated by the rotation mechanism 113, the etchant is supplied in a laminar shape from the etchant nozzle 141 provided on the second arm 140. As shown in FIG. The entire surface Wa of the wafer W is wet-etched by diffusing the etchant due to centrifugal force. As a result, the grinding marks formed by the grinding process of the processing device 80 can be removed, and the surface Wa of the wafer W is uniformly etched. Moreover, the wafer W is thereby thinned to a desired target thickness.

ここで、表面Waのウェットエッチングにおいては、例えばエッチング液ノズル141の位置や、エッチング液の供給量、エッチング液の供給時間、ウェハWの回転数等が制御される。これにより、エッチング量をウェハWの面内で均一にすることができる。そして本実施形態によれば、裏面WbのウェットエッチングにおいてウェハWの厚みが均一に制御されているため、ウェハWの面内厚みを容易に制御できる。 Here, in the wet etching of the front surface Wa, for example, the position of the etchant nozzle 141, the supply amount of the etchant, the supply time of the etchant, the rotation speed of the wafer W, and the like are controlled. Thereby, the etching amount can be made uniform within the surface of the wafer W. FIG. According to this embodiment, since the thickness of the wafer W is uniformly controlled in the wet etching of the back surface Wb, the in-plane thickness of the wafer W can be easily controlled.

次に、表面Waのエッチング処理が終了すると、ウェハWを回転させた状態で、バルブ145v、147vを制御して、エッチング液ノズル141からのエッチング液の供給を停止し、リンス液ノズル142からリンス液を供給する。そうすると、ウェハWの表面Waがリンス洗浄される。この際、下面側ノズル160からリンス液を供給することで、ウェハWの裏面Wbのリンス洗浄を同時に行ってもよい。 Next, when the etching process of the front surface Wa is completed, the valves 145v and 147v are controlled to stop the supply of the etchant from the etchant nozzle 141 while the wafer W is being rotated. Supply liquid. Then, the surface Wa of the wafer W is rinsed. At this time, the back surface Wb of the wafer W may be rinsed at the same time by supplying a rinse liquid from the lower surface side nozzle 160 .

表面Waの洗浄が終了すると、次に、バルブ147v及びバルブ149vを制御して、リンス液ノズル142からのリンス液の供給を停止し、ガスノズル143からガスを供給する。そうすると、リンス洗浄後のウェハWの表面Waが乾燥される。 After the surface Wa has been cleaned, the valves 147v and 149v are controlled to stop the supply of rinse liquid from the rinse liquid nozzle 142 and to supply gas from the gas nozzle 143 . Then, the front surface Wa of the wafer W after rinsing is dried.

その後、すべての処理が施されたウェハWは、ウェハ搬送装置50によりトランジション装置30に搬送され、さらにウェハ搬送装置22によりカセット載置台10のカセットCに搬送される。こうして、ウェハ処理システム1における一連のウェハ処理が終了する。なお、ウェハWが搬入されるカセットCは、当該ウェハWを搬出したカセットCとは別のカセットCであってもよい。 After that, the wafer W that has undergone all the processes is transferred to the transition device 30 by the wafer transfer device 50 and further transferred to the cassette C on the cassette mounting table 10 by the wafer transfer device 22 . Thus, a series of wafer processing in the wafer processing system 1 is completed. The cassette C into which the wafers W are loaded may be a cassette C different from the cassette C from which the wafers W are loaded.

以上の実施形態によれば、厚み測定部86により測定されたウェハWの面内厚み分布に基づいて、処理されるウェハW毎にウェットエッチングのプロセスレシピやパラメータの最適化を行うことで、適切にウェハWの厚みの面内均一性を向上させることができる。またウェハWの一の面をウェットエッチングにより平坦化した後に、更に他の面をウェットエッチングするため、他の面のエッチング量を容易に面内で均一にすることができる。すなわち、適切に厚みの面内均一性を向上させることができる。 According to the above embodiment, the wet etching process recipe and parameters are optimized for each wafer W to be processed based on the in-plane thickness distribution of the wafer W measured by the thickness measuring unit 86. In addition, the in-plane uniformity of the thickness of the wafer W can be improved. Further, after one surface of the wafer W is flattened by wet etching, the other surface is further wet-etched, so that the etching amount of the other surface can be easily made uniform within the surface. That is, it is possible to appropriately improve the in-plane uniformity of the thickness.

また、このようにウェハWの面内厚みのばらつきを小さくすることができるため、後工程であるウェハ処理(例えば、ウェハWの研磨工程)における負荷を低減することができる。 In addition, since variations in the in-plane thickness of the wafer W can be reduced in this way, the load in the subsequent wafer processing (for example, the polishing process of the wafer W) can be reduced.

また、本実施形態によればウェハWの厚みを均一にするための一の面のウェットエッチングにおいてはスプレーノズルを使用し、エッチング液のウェハWに対する衝突力によりエッチングを行う。これにより、エッチング液のウェハWに対する着液時における拡散が抑制され、ウェハW面内における所望の位置で、適切にエッチングを行うことができる。すなわち、ウェハWの面内均一性をより適切に向上させることができる。 Further, according to the present embodiment, in the wet etching of one surface for uniformizing the thickness of the wafer W, a spray nozzle is used, and the etching is performed by the collision force of the etchant against the wafer W. FIG. As a result, diffusion of the etchant to the wafer W when the etchant contacts the wafer W is suppressed, and etching can be appropriately performed at a desired position within the wafer W surface. That is, the in-plane uniformity of the wafer W can be improved more appropriately.

また、スプレーノズルを用いてウェットエッチングを行うことにより、従来のラミナーノズルを使用してウェットエッチングを行う場合と比較してエッチング液の消費量を低減することができる。 Moreover, by performing wet etching using a spray nozzle, the consumption of the etchant can be reduced as compared with the case of performing wet etching using a conventional laminar nozzle.

なお、このようにスプレーノズルを用いてウェハWの一の面に対してエッチング液を供給する場合、供給されたエッチング液の気化によりウェハWの温度が低下してエッチングレート悪化することが懸念される。しかしながら本実施形態によれば、ウェハWの他の面に対して温度調節液としての洗浄液やリンス液を供給することによりウェハWの温度低下を抑制し、エッチングレートの悪化を適切に抑制することができる。 When the etchant is supplied to one surface of the wafer W using a spray nozzle in this way, there is a concern that the temperature of the wafer W may drop due to the vaporization of the supplied etchant and the etching rate may deteriorate. be. However, according to the present embodiment, by supplying a cleaning liquid or a rinsing liquid as a temperature control liquid to the other surface of the wafer W, it is possible to suppress the temperature drop of the wafer W and appropriately suppress the deterioration of the etching rate. can be done.

なお、上記実施形態において厚み測定部86は加工装置80の受渡位置A0に設けられたが、厚み測定部86の数や配置は上記実施形態に限られるものではない。例えば、厚み測定部86は加工装置80の外部に設けられていてもよいし、ウェットエッチング処理の最適化を行うための他の厚み測定部(図示せず)が更に設けられていてもよい。また例えば、図1に示した加工装置80における受渡位置A0と加工位置A2との間の位置を測定位置A3として、厚み測定部86を配置してもよい。 Although the thickness measuring section 86 is provided at the transfer position A0 of the processing apparatus 80 in the above embodiment, the number and arrangement of the thickness measuring sections 86 are not limited to the above embodiment. For example, the thickness measuring unit 86 may be provided outside the processing apparatus 80, or another thickness measuring unit (not shown) for optimizing the wet etching process may be further provided. Further, for example, the thickness measuring section 86 may be arranged at a position between the delivery position A0 and the processing position A2 in the processing apparatus 80 shown in FIG. 1 as the measurement position A3.

なお、上記実施形態においては裏面Wbの2流体ノズル131によるウェットエッチングの後、リンス液ノズル142からリンス液を供給することによりリンス液洗浄を行ったが、当該リンス液洗浄を行う前に、エッチング液ノズル141により裏面Wbを更にエッチングしてもよい。より具体的には、裏面Wbをスプレーノズルにより選択的にエッチングした後、ラミナーノズルにより裏面Wbの全面を均一にならすようにエッチングしてもよい。これにより、より効果的にウェハWの厚みの面内均一性を向上することができる。 In the above-described embodiment, after the wet etching of the back surface Wb by the two-fluid nozzle 131, the rinse solution is cleaned by supplying the rinse solution from the rinse solution nozzle 142. However, before performing the rinse solution cleaning, etching is performed. The back surface Wb may be further etched by the liquid nozzle 141 . More specifically, after selectively etching the back surface Wb with a spray nozzle, the entire surface of the back surface Wb may be uniformly etched with a laminar nozzle. Thereby, the in-plane uniformity of the thickness of the wafer W can be improved more effectively.

なお、上記実施形態においては加工装置80に設けられる2つの加工位置A1、A2をそれぞれ粗研削ユニット82、及び仕上研削ユニット83としたが、加工装置80の構成はこれに限られるものではない。例えば、加工位置A1をウェハWの表面Waを研削するための表面研削ユニット、加工位置A2をウェハWの裏面Wbを研削するための裏面研削ユニット、としてもよい。かかる場合、加工位置A1及び加工位置A2に設けられる表面研削ユニット及び裏面研削ユニットが、本開示における研削部及び第2の研削部にそれぞれ相当する。 In the above embodiment, the two processing positions A1 and A2 provided in the processing device 80 are the rough grinding unit 82 and the finish grinding unit 83, respectively, but the configuration of the processing device 80 is not limited to this. For example, the processing position A1 may be a front surface grinding unit for grinding the front surface Wa of the wafer W, and the processing position A2 may be a back surface grinding unit for grinding the back surface Wb of the wafer W. In such a case, the front surface grinding unit and back surface grinding unit provided at the processing position A1 and the processing position A2 respectively correspond to the grinding section and the second grinding section in the present disclosure.

なお、上記実施の形態においては、インゴットから切り出してスライスして得られた直後のウェハWの表裏面をウェットエッチングする場合を例に説明を行ったが、ウェハ処理システム1において処理される基板としてのウェハWはこれに限られるものではない。 In the above embodiment, the case where the front and back surfaces of the wafer W immediately after being cut out from an ingot and sliced is wet-etched has been described as an example. wafer W is not limited to this.

今回開示された実施形態はすべての点で例示であって制限的なものではないと考えられるべきである。上記の実施形態は、添付の請求の範囲及びその主旨を逸脱することなく、様々な形態で省略、置換、変更されてもよい。 It should be considered that the embodiments disclosed this time are illustrative in all respects and not restrictive. The embodiments described above may be omitted, substituted, or modified in various ways without departing from the scope and spirit of the appended claims.

1 ウェハ処理システム
31 反転装置
40 エッチング装置
61 反転装置
80 加工装置
86 厚み測定部
90 制御装置
W ウェハ
Wa 表面
Wb 裏面
Reference Signs List 1 wafer processing system 31 reversing device 40 etching device 61 reversing device 80 processing device 86 thickness measuring unit 90 control device W wafer Wa front surface Wb back surface

Claims (19)

基板を処理する基板処理方法であって、
前記基板の一の面を研削することと、
前記基板の厚みを測定することと、
前記一の面をウェットエッチングすることと、
前記基板の反転後、当該基板の他の面をウェットエッチングすることと、を含み、
前記一の面のウェットエッチングにおいては、前記厚みの測定結果に基づいて前記基板の面内厚みをそろえ、
前記他の面のウェットエッチングにおいては、前記基板の厚みを目標厚みまで減少させる、基板処理方法。
A substrate processing method for processing a substrate,
grinding one side of the substrate;
measuring the thickness of the substrate;
wet etching the one surface;
after flipping the substrate, wet etching the other side of the substrate;
In the wet etching of the one surface, the in-plane thickness of the substrate is made uniform based on the thickness measurement result,
The substrate processing method, wherein the wet etching of the other surface reduces the thickness of the substrate to a target thickness.
前記基板の他の面を研削することを含む、請求項1に記載の基板処理方法。 2. The substrate processing method of claim 1, comprising grinding the other surface of the substrate. 前記一の面のウェットエッチングは、スプレーノズルから前記基板に対して噴射されるエッチング液によって行われる、請求項1又は2に記載の基板処理方法。 3. The substrate processing method according to claim 1, wherein wet etching of said one surface is performed with an etchant sprayed onto said substrate from a spray nozzle. 前記一の面のエッチング量は、前記スプレーノズルからの前記エッチング液の噴射時間及び噴射量により制御される、請求項3に記載の基板処理方法。 4. The substrate processing method according to claim 3, wherein the etching amount of said one surface is controlled by the injection time and injection amount of said etchant from said spray nozzle. 前記一の面のウェットエッチングにおいては、前記他の面に対して、前記一の面の温度を一定に調節する温度調節液が同時に供給される、請求項1~4のいずれか一項に記載の基板処理方法。 5. The method according to any one of claims 1 to 4, wherein in the wet etching of the one surface, a temperature adjusting liquid for adjusting the temperature of the one surface to be constant is simultaneously supplied to the other surface. substrate processing method. 前記一の面のウェットエッチング後における前記基板の厚みは、前記目標厚みよりも大きい、請求項1~5のいずれか一項に記載の基板処理方法。 6. The substrate processing method according to claim 1, wherein the thickness of said substrate after wet etching of said one surface is greater than said target thickness. 前記他の面のウェットエッチングは、ラミナーノズルから前記基板に対して供給されるエッチング液によって行われる、請求項1~6のいずれか一項に記載の基板処理方法。 7. The substrate processing method according to claim 1, wherein the wet etching of said other surface is performed with an etchant supplied to said substrate from a laminar nozzle. 前記一の面の研削と前記厚みの測定は同一装置内で行われる、請求項1~7のいずれか一項に記載の基板処理方法。 8. The substrate processing method according to claim 1, wherein grinding of said one surface and measurement of said thickness are performed in the same apparatus. 一の基板における前記厚みの測定結果に基づいて、次の基板における研削の条件をフィードバック制御する、請求項1~8のいずれか一項に記載の基板処理方法。 The substrate processing method according to any one of claims 1 to 8, wherein the grinding conditions for the next substrate are feedback-controlled based on the measurement result of the thickness of one substrate. 基板を処理する基板処理システムであって、
前記基板の一の面を研削する研削部と、
前記基板の厚みを測定する厚み測定部と、
前記基板をウェットエッチングするエッチング部と、
前記基板の表裏面を反転する基板反転部と、
少なくとも前記エッチング部の動作を制御する制御部と、を備え、
前記制御部は、
前記厚み測定部による測定結果に基づいて、前記基板の面内厚みをそろえるように前記一の面をウェットエッチングし、
前記基板の反転後、前記基板の厚みが目標厚みまで減少するように前記基板の他の面をウェットエッチングする、ように前記エッチング部の動作を制御する、基板処理システム。
A substrate processing system for processing a substrate,
a grinding unit for grinding one surface of the substrate;
a thickness measuring unit that measures the thickness of the substrate;
an etching unit that wet-etches the substrate;
a substrate reversing unit for reversing the front and back surfaces of the substrate;
A control unit that controls at least the operation of the etching unit,
The control unit
wet-etching the one surface so as to align the in-plane thickness of the substrate based on the result of measurement by the thickness measuring unit;
A substrate processing system for controlling the operation of the etching section such that after the substrate is flipped, the other surface of the substrate is wet etched such that the thickness of the substrate is reduced to a target thickness.
前記研削部は、前記基板の他の面を研削する、請求項10に記載の基板処理システム。 11. The substrate processing system according to claim 10, wherein said grinding section grinds the other surface of said substrate. 前記エッチング部は、前記基板の一の面に対してエッチング液を噴射するスプレーノズルを備える、請求項10又は11に記載の基板処理システム。 12. The substrate processing system according to claim 10, wherein said etching section includes a spray nozzle for spraying an etchant onto one surface of said substrate. 前記制御部は、前記一の面に対する前記エッチング液の噴射時間及び噴射量を制御する、請求項12に記載の基板処理システム。 13. The substrate processing system according to claim 12, wherein said controller controls injection time and injection amount of said etchant to said one surface. 前記制御部は、前記一の面のウェットエッチング後における前記基板の厚みが、前記目標厚みよりも大きくなるように前記エッチング部の動作を制御する、請求項10~13のいずれか一項に記載の基板処理システム。 14. The control unit according to any one of claims 10 to 13, wherein the control unit controls the operation of the etching unit so that the thickness of the substrate after wet etching of the one surface is greater than the target thickness. substrate processing system. 前記エッチング部は、
前記基板の他の面に対してエッチング液を供給するエッチング液ノズルと、
前記基板の他の面に対してリンス液を供給するリンス液ノズルと、
前記基板の他の面に対して気体を供給するガスノズルと、を備える、請求項10~14のいずれか一項に記載の基板処理システム。
The etching part is
an etchant nozzle that supplies an etchant to the other surface of the substrate;
a rinse liquid nozzle that supplies a rinse liquid to the other surface of the substrate;
15. The substrate processing system according to any one of claims 10 to 14, further comprising a gas nozzle that supplies gas to the other surface of said substrate.
前記エッチング液ノズル及び前記リンス液ノズルはラミナーノズルである、請求項15に記載の基板処理システム。 16. The substrate processing system of claim 15, wherein the etchant nozzle and the rinse nozzle are laminar nozzles. 前記エッチング部は、
前記一の面のエッチング時において、前記他の面に温度調節液を供給する温度調節液ノズルを備え、
前記制御部は、前記温度調節液により前記基板の温度を一定に保つように前記エッチング部の動作を制御する、請求項10~16のいずれか一項に記載の基板処理システム。
The etching part is
a temperature control liquid nozzle that supplies a temperature control liquid to the other surface during etching of the one surface;
17. The substrate processing system according to claim 10, wherein said control section controls the operation of said etching section so as to keep the temperature of said substrate constant with said temperature control liquid.
前記研削部と前記厚み測定部は同一装置内に配置される、請求項10~17のいずれか一項に記載の基板処理システム。 18. The substrate processing system according to claim 10, wherein said grinding section and said thickness measuring section are arranged in the same device. 前記制御部は、一の基板における前記厚み測定部の測定結果を、次の基板における前記研削部における研削条件にフィードバックする、請求項10~18のいずれか一項に記載の基板処理システム。 19. The substrate processing system according to claim 10, wherein said control section feeds back the measurement result of said thickness measuring section for one substrate to the grinding conditions of said grinding section for the next substrate.
JP2019152565A 2019-08-23 2019-08-23 Substrate processing method and substrate processing system Active JP7329391B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2019152565A JP7329391B2 (en) 2019-08-23 2019-08-23 Substrate processing method and substrate processing system
TW109127002A TWI836128B (en) 2019-08-23 2020-08-10 Substrate processing method and substrate processing system
CN202010820747.7A CN112420506A (en) 2019-08-23 2020-08-14 Substrate processing method and substrate processing system
KR1020200104610A KR20210023750A (en) 2019-08-23 2020-08-20 Substrate processing method and substrate processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019152565A JP7329391B2 (en) 2019-08-23 2019-08-23 Substrate processing method and substrate processing system

Publications (2)

Publication Number Publication Date
JP2021034533A JP2021034533A (en) 2021-03-01
JP7329391B2 true JP7329391B2 (en) 2023-08-18

Family

ID=74678389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019152565A Active JP7329391B2 (en) 2019-08-23 2019-08-23 Substrate processing method and substrate processing system

Country Status (3)

Country Link
JP (1) JP7329391B2 (en)
KR (1) KR20210023750A (en)
CN (1) CN112420506A (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPWO2023017744A1 (en) * 2021-08-10 2023-02-16
TW202316513A (en) * 2021-08-27 2023-04-16 日商東京威力科創股份有限公司 Substrate processing method and substrate processing system
WO2023219026A1 (en) * 2022-05-13 2023-11-16 東京エレクトロン株式会社 Substrate processing method and substrate processing system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002064079A (en) 2000-08-22 2002-02-28 Disco Abrasive Syst Ltd Etching apparatus
JP2018147908A (en) 2015-07-27 2018-09-20 東京エレクトロン株式会社 Substrate processing method and substrate processing device

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11135464A (en) * 1997-10-30 1999-05-21 Komatsu Electron Metals Co Ltd Method for manufacturing semiconductor wafer
JP4974904B2 (en) 2006-01-31 2012-07-11 株式会社Sumco Single wafer etching method of wafer

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002064079A (en) 2000-08-22 2002-02-28 Disco Abrasive Syst Ltd Etching apparatus
JP2018147908A (en) 2015-07-27 2018-09-20 東京エレクトロン株式会社 Substrate processing method and substrate processing device

Also Published As

Publication number Publication date
JP2021034533A (en) 2021-03-01
KR20210023750A (en) 2021-03-04
TW202113962A (en) 2021-04-01
CN112420506A (en) 2021-02-26

Similar Documents

Publication Publication Date Title
US20230352326A1 (en) Substrate processing apparatus and processing method
JP7329391B2 (en) Substrate processing method and substrate processing system
JP6093328B2 (en) Substrate processing system, substrate processing method, program, and computer storage medium
KR101801987B1 (en) Substrate processing method and method of controlling a movement speed of a fluid nozzle
US10478938B2 (en) Polishing method and apparatus
US9721801B2 (en) Apparatus and a method for treating a substrate
CN114582751A (en) Apparatus for processing substrate
JP2015035582A (en) Deposition system
KR20150007946A (en) Film forming system
US11929264B2 (en) Drying system with integrated substrate alignment stage
TWI836128B (en) Substrate processing method and substrate processing system
WO2023026909A1 (en) Substrate processing method and substrate processing system
KR101066596B1 (en) Substrate polishing apparatus
WO2023026828A1 (en) Substrate treatment method and substrate treatment system
JP2020059095A (en) Wafer polishing apparatus and polishing method
WO2023095669A1 (en) Substrate processing method and substrate processing system
US20230415191A1 (en) Buffer chamber, substrate treating apparatus and substrate treating method
WO2022054605A1 (en) Thickness measurement device and thickness measurement method
JP2022159931A (en) Substrate processing method, and substrate processing system
JP2023168086A (en) Substrate processing method and substrate processing system
KR20070077979A (en) Chemical mechanical polishing apparatus and method for polishing wafer using the same
TW202304643A (en) High throughput polishing modules and modular polishing systems
KR20240026193A (en) Substrate processing method, substrate processing system, and computer storage medium
TW202318501A (en) Substrate processing method and substrate processing system
KR20150072198A (en) Substrate treating apparatus and substrate treating method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230330

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230404

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230711

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230807

R150 Certificate of patent or registration of utility model

Ref document number: 7329391

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150