JP7317849B2 - リアルタイム測定制御のための方法およびシステム - Google Patents

リアルタイム測定制御のための方法およびシステム Download PDF

Info

Publication number
JP7317849B2
JP7317849B2 JP2020549604A JP2020549604A JP7317849B2 JP 7317849 B2 JP7317849 B2 JP 7317849B2 JP 2020549604 A JP2020549604 A JP 2020549604A JP 2020549604 A JP2020549604 A JP 2020549604A JP 7317849 B2 JP7317849 B2 JP 7317849B2
Authority
JP
Japan
Prior art keywords
measurement
sequence
measurements
sites
semiconductor structure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020549604A
Other languages
English (en)
Other versions
JP2021518533A (ja
Inventor
アントニオ ジェリノー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021518533A publication Critical patent/JP2021518533A/ja
Application granted granted Critical
Publication of JP7317849B2 publication Critical patent/JP7317849B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/706843Metrology apparatus
    • G03F7/706847Production of measurement radiation, e.g. synchrotron, free-electron laser, plasma source or higher harmonic generation [HHG]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • G01N2223/054Investigating materials by wave or particle radiation by diffraction, scatter or reflection small angle scatter
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/10Different kinds of radiation or particles
    • G01N2223/101Different kinds of radiation or particles electromagnetic radiation
    • G01N2223/1016X-ray
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/303Accessories, mechanical or electrical features calibrating, standardising
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/304Accessories, mechanical or electrical features electric circuits, signal processing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/305Accessories, mechanical or electrical features computer simulations
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/30Accessories, mechanical or electrical features
    • G01N2223/306Accessories, mechanical or electrical features computer control
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/645Specific applications or type of materials quality control

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Paper (AREA)
  • Selective Calling Equipment (AREA)
  • Length-Measuring Devices Using Wave Or Particle Radiation (AREA)

Description

記載される実施形態は、計測システムおよび方法に関し、より詳細には、測定正確度を改善するための方法およびシステムに関する。
本特許出願は、2018年3月20日に出願された米国仮特許出願第62/645,721号からの、米国特許法第119条の下での優先権を主張し、その主題の全体を参照により本明細書に組み込む。本特許出願は、2017年1月30日に出願された米国特許出願第15419,130号の関連出願であり、その主題の全体を参照により本明細書に組み込む。
ロジックおよびメモリデバイスなどの半導体デバイスは通常、一連の処理ステップを試料に適用することによって製造される。半導体デバイスの様々な特徴および複数の構造レベルは、これらの処理ステップによって形成される。例えば、とりわけリソグラフィは、半導体ウエハ上にパターンを生成することを含む1つの半導体製造プロセスである。半導体製造プロセスの追加の例としては、限定するものではないが、化学機械研磨、エッチング、成膜、およびイオン注入が含まれる。単一の半導体ウエハ上に複数の半導体デバイスを製造し、次いで個々の半導体デバイスへと分離することができる。
より高い歩留まりを促進するためにウエハ上の欠陥を検出する目的で、半導体製作工程中の様々なステップにおいて計測工程が用いられる。ナノスケール構造の臨界寸法、膜厚、組成、および他のパラメータを特徴付けるために、スキャトロメトリおよび反射光測定の実施を含むいくつかの計測ベースの技法、ならびに関連付けられた解析アルゴリズムが、一般に用いられる。
従来、薄膜および/または繰り返しの周期的構造から成るターゲットに対して、スキャトロメトリ臨界寸法(SCD;scatterometry critical dimension)測定が行われている。デバイスの製造中、これらの膜および周期的構造は通常、実際のデバイスの幾何形状および材料構造、または中間設計を表す。デバイス(例えば、ロジックおよびメモリデバイス)はより小さいナノメートルスケール寸法へと移行しているので、特徴付けはより困難になっている。複雑な3次元幾何形状および多様な物理特性を有する材料を組み入れたデバイスでは、特徴付けが困難となる。例えば、最新のメモリ構造は多くの場合、高アスペクト比の3次元構造であり、このことは光放射が下層まで貫通するのを困難にする。赤外光から可視光を使用する光学計測ツールは、半透明材料の層の多くを貫通することができるが、良好な貫通深さを提供するより長い波長は、小さい異常には十分な感度を示さない。更に、複雑な構造(例えばFinFET)を特徴付けるために必要なパラメータの数が増えることは、パラメータの相関の増加をもたらす。この結果、ターゲットを特徴付けるパラメータは多くの場合、確実にデカップリングすることができない。
一例では、積層体中の交互になった材料のうちの1つとしてポリシリコンを使用する3Dフラッシュデバイスの貫通の課題を克服するための試みとして、より長い波長(例えば近赤外)が利用されてきた。しかしながら、3Dフラッシュの鏡のような構造はその本質上、照射が膜積層体の中により深く伝播する際に光強度の低下を引き起こす。このことにより、深部での感度の損失および相関の問題が生じる。このシナリオでは、SCDがうまく抽出できるのは、感度が高く相関の低い計測寸法の、小さいセットのみである。
別の例として、最新の半導体構造では、不透明な高誘電率の材料の利用が増えている。光放射は多くの場合、これらの材料で構築された層を貫通できない。この結果、エリプソメータまたはリフレクトメータなどの薄膜スキャトロメトリツールによる測定がますます困難になっている。
これらの課題に対応して、より複雑な光学計測ツールが開発されている。例えば、複数の照射角、より短い照射波長、より広い照射波長範囲、および反射された信号からの情報のより完全な取得(例えば、より従来的な反射率または偏光解析信号に加えて、ミュラー行列要素の測定)を伴うツールが開発されている。更に、X線スキャトロメトリシステム、例えば透過型小角x線スキャトロメトリ(T-SAXS;transmission,small angle x-ray scatterometry)システムは、困難な測定用途に対処できる可能性を示している。これらのX線ベースのスキャトロメトリシステムは、範囲の大きい照射角、広範囲の照射波長等も特徴としている。現状の光スキャトロメトリシステムおよびX線スキャトロメトリシステムでは困難な測定用途に対応できるが、測定レシピの適時の生成が、性能を制限する課題として持ち上がってきた。
測定レシピ最適化はスキャトロメトリの非常に重要な側面である。測定レシピは、目的の構造パラメータ(例えば、臨界寸法、膜厚、材料組成、等)を推定するために利用される測定システム設定(例えば、特定の波長、入射角、等)のセットを特定するものである。理想的には、測定スループットを最大にするために、測定レシピに含まれる、目的のパラメータを推定するために必要な様々な測定の数は、最低限であるべきである。最新のスキャトロメトリツールは、広範囲の測定システムパラメータ(例えば、入射角、波長、等)を提示する。このことは、利用可能な異なる測定システムパラメータ値が非常に多く存在するために、レシピ生成を複雑にしている。また更に、有用な測定を行うための時間は限られている。したがって、特定の測定用途の測定レシピを生成するために必要な時間が、非常に重要になる。
測定ごとに比較的長い時間を必要とする測定技術にとっては、測定レシピを生成するための時間は特に重要である。例えば、T-SAXS測定では低い輝度および低い散乱断面積が問題になる場合がある。その場合、これらの測定は取得時間が長い。いくつかの例では、T-SAXS測定に関連する測定モデルは複雑であり、モデルを解くために長い演算時間が必要となる。
現状では、測定レシピ生成は、特定の測定用途に関連する様々な測定を比較的多数特定することによって開始され、それらの測定が全て実行され、その後に改善された測定レシピが生成される。例えば、様々なシステム設定(すなわち、様々なシステムパラメータ値)を各々有する測定の、比較的大きいセットが定義される。次いで、規定された様々な計測システム設定の各々で、1つ以上の構造の測定が行われる。測定の全てに関連する測定データが収集され解析されて、改善された測定レシピ(すなわち、測定のサブセットまたは異なるセット)が生成される。
この手法は、満足できる測定レシピに到達するまで繰り返し適用される。反復のたびに、測定の新しいセットがウエハの別のロットに適用される。所定の測定レシピが不十分である場合、改善された測定レシピがウエハの次のロットに適用される。結果的に、プロセスの変更が、計測システムが値を提供する前の長期間(例えば数週)の繰り返しのレシピ最適化をトリガする可能性がある。より低速のツール(例えば、光子が欠乏したツール)の場合、このレシピ最適化の手法は許容できない遅延をもたらす。
いくつかの例では、特定の測定用途に関連する比較的多数の様々な測定がシミュレートされ、測定性能と取得時間の間のトレードオフに基づいて測定レシピが生成される。性能と取得時間の間の自動トレードオフの実装の例が米国特許出願第15/362,741号に記載されており、その内容の全体が参照により本明細書に組み込まれる。
米国特許出願公開第2017/0023491号 米国特許出願公開第2016/0202193号
ますます小さくなる分解能の要件、複数パラメータの相関、高アスペクト比の構造を含む複雑さを増す構造の幾何形状、および不透明な材料の使用の増加に起因して、これからの計測応用には計測上の困難が現れる。スキャトロメトリベースの改善された測定システムが登場しつつあるが、全体的な測定性能は、特に光子の欠乏した測定システムの場合に、測定レシピの生成によって制限される。したがって、改善された測定レシピ生成のための方法およびシステムが望まれている。
半導体構造の寸法および材料特性を特徴付けるために利用される測定シーケンスを記述するための、改善された測定レシピ生成のための方法およびシステムが、本明細書に記載される。計測ツールは測定オプションの幅広いアレイを含む。測定性能は測定オプションおよび測定用途ごとに変動する。改善された測定レシピは、測定不確実性要件を満たしながらウエハのスループットを高める、測定オプションの最小セットを特定する。
一態様では、前の測定レシピによって定められた測定のキューが実行される前に、測定データが収集されるにつれ測定レシピを反復的に更新することによって、半導体構造の効率的な測定を達成するための測定レシピが迅速に生成される。測定レシピは、新たに取得した測定データに基づいて、所望の測定不確実性が達成されるまでまたは許容される最大測定時間に達するまで、反復的に更新される。
一般に、最低限の追加のデータ収集で測定を確定するように測定レシピを更新するためには、事前にプログラムされた測定レシピ全体に関連するデータを収集するのではなく、初期の測定から収集された測定データが利用される。
一般には初期の測定データは、半導体構造の幾何形状の最終的な推定を提供するためには利用されず、むしろ初期の測定データは、更新された測定レシピを作成するために利用される。更新された測定レシピは、初期の推定されたパラメータ値を確定し得るか、または、初期の測定データが外れ値であり更なる測定が必要であることに注意を促し得る。
いくつかの実施形態では、測定レシピ最適化は、測定のロバストさと測定時間をトレードオフするように制御される。このことにより、外れ値およびプロセスの逸脱がある場合の融通性が実現される。
いくつかの実施形態では、測定レシピ最適化は、測定不確実性と測定スループットをトレードオフするように制御される。
いくつかの実施形態では、測定レシピ最適化は、測定不確実性、測定時間、移動時間、および標的線量の任意の組合せを最小にするように制御される。これらの実施形態のうちのいくつかでは、測定レシピ最適化は、収集のシーケンスの測定時間と移動時間の和を最小にするように制御される。
いくつかの実施形態では、更新された測定レシピを推定するために必要な演算は、測定データを収集するための時間よりも長い。これらの実施形態では、測定データの収集中に測定レシピが更新される。
いくつかの実施形態では、各測定部位についての測定のキューは、更新された測定レシピが利用可能であるときにだけ更新される。いくつかの実施形態では、ある測定部位についての測定レシピが、別の測定部位においてデータが収集されている間に更新される。
いくつかの実施形態では、標的線量は測定レシピ最適化の一部と見なされる。長時間の露光が測定または処理終了時のデバイス性能に影響するような多くの測定シナリオが存在する。これらの例では、計測ターゲットに送達されるエネルギーの全線量が、測定レシピ最適化の一部と見なされる。
一般に、測定レシピは、最終的な測定が、要求される不確実性のレベルまたは測定有効期限に関して許容される最大時間を満たすまで、繰り返し更新される。
本明細書に記載するような測定レシピ最適化は、限定するものではないが、例えばx線透過ツール、x線反射ツール、赤外線透過ツール等の、任意の数の異なる計測システムに対して実行され得る。
更なる態様では、計測システムの測定品質および性能は、測定されたゼロ次ビームに基づいて制御される。いくつかの例では、上記した測定品質および性能の推定は、フィードバック制御装置への入力として提供される。フィードバック制御装置は、測定システムの品質および性能を改善する計測システムの、1つ以上の要素の状態の変化をもたらす、制御コマンドを通信する。
いくつかの例では、制御コマンドは照射源に提供される。これに応答して、照射源の電気的状態は、走査されるスポットのサイズおよび形状、照射電力、スポットのオフセット、入射角、等を変えるように調整される。
いくつかの例では、制御コマンドは、計測システムの1つ以上の光学素子の配置を制御する1つ以上の位置決めデバイスに提供される。これに応答して、1つ以上の位置決めデバイスは、入射角の調整のための1つ以上の光学素子の位置/向き、照射源と照射光学要素の間の焦点距離、ビームの位置決め、表面粗さの影響を最小限にするための光学素子上のビームスポットの配置、等を変化させる。
更なる態様では、高アスペクト比の構造の特徴をその深さ全体にわたって記述するのに十分な解像度および貫通深さを提供する入射角の範囲にわたって、x線スキャトロメトリ測定が行われる。
前述の内容は概要であり、したがって、必要に応じて、詳細の簡略化、一般化、および省略を含む。結果として、当業者は、この概要が例示的なものに過ぎずいかなる点においても限定するものではないことを諒解するであろう。本明細書に記載のデバイスおよび/または工程の他の態様、進歩性を有する特徴、および利点は、本明細書に明記する非限定的な詳細な説明において明らかになるであろう。
本明細書に記載する方法に係る、試料の特徴を測定するように構成された計測システム100を示す図である。 本明細書に記載する方法に係る、試料の特徴を測定するように構成された別の実施形態における計測ツール200を示す図である。 角度φおよびθで記述される特定の向きでウエハ101に入射するx線照射ビーム117を描いた図である。 本明細書に記載するような測定レシピ最適化の例示的な方法300を説明するフローチャートである。 本明細書に記載する方法に係る、x線スキャトロメトリデータに基づいて試料パラメータ値を分解するように構成された、モデル構築および解析エンジン150を示す図である。 透過型小角X線散乱(T-SAXS;Transmission Small Angle X-Ray Scattering)ツール、例えば計測システム100によって測定された、トレンチ構造を示す図である。 4つの異なる入射角における、計測システム100によって測定された、図6に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図6に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図6に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図6に描かれているトレンチ構造での散乱次数の画像である。 透過型小角X線散乱(T-SAXS)ツール、例えば計測システム100によって測定された、トレンチ構造の別の例を示す図である。 4つの異なる入射角における、計測システム100によって測定された、図8に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図8に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図8に描かれているトレンチ構造での散乱次数の画像である。 4つの異なる入射角における、計測システム100によって測定された、図8に描かれているトレンチ構造での散乱次数の画像である。 ウエハ上の部位の場所の関数としての、臨界寸法(CD)値の初期の推定に対応するプロット線を描いた図である。 本明細書に記載する様式の測定を受ける典型的な3Dフラッシュメモリデバイス190の等角図である。 本明細書に記載する様式の測定を受ける典型的な3Dフラッシュメモリデバイス190の上面図である。 本明細書に記載する様式の測定を受ける典型的な3Dフラッシュメモリデバイス190の断面図である。 試料101から分離された真空環境内に収容されている計測システム100および200の要素を示す図である。
以下では本発明の背景となる例およびいくつかの実施形態を詳細に参照するが、これらの例は添付の図面に示されている。
半導体構造の寸法および材料特性を特徴付けるための、改善された測定レシピ生成のための方法およびシステムが、本明細書に記載される。計測ツールは測定オプションの幅広いアレイを含む。測定性能は測定オプションおよび測定用途ごとに変動する。改善された測定レシピは、測定不確実性要件を満たしながらウエハのスループットを高める、測定オプションの最小セットを特定する。
計測のシステムおよび技法を利用して、様々な半導体製造プロセスに関連する構造および材料の特徴が測定される。いくつかの例では、スピントランスファートルクランダムアクセスメモリ(STT-RAM)、3次元NANDメモリ(3D-NAND)または垂直NANDメモリ(V-NAND)、動的ランダムアクセスメモリ(DRAM)、3次元フラッシュメモリ(3D-FLASH)、抵抗性ランダムアクセスメモリ(Re-RAM)、および相変化ランダムアクセスメモリ(PC-RAM)を含むがこれらに限定されない、高アスペクト比の半導体構造の、臨界寸法、厚さ、オーバーレイ、および材料特性の、x線スキャトロメトリ測定に最適化された測定レシピが利用される。
半導体構造の理想的な測定は、利用可能な測定システム設定(例えば、入射角、ビーム発散、波長、等)の全範囲にわたって行われる。半導体構造の測定に関連するデータを、利用可能な測定システム設定の各組合せで比較的長い露光時間にわたって収集し、解析して、測定される構造を特徴付ける目的のパラメータの推定値に到達する。そのような理想的な測定は、最新のスキャトロメトリツールでは現実的ではない。測定オプションの全幅にわたって計測ターゲットの測定を行うために必要な時間は、現実的ではない長さになる。また更に、測定のそのような網羅的なセットを行うために必要な光子線量は、測定される構造の完全性を損なう恐れがある。
半導体構造の効率的な測定によって、必要なレベルの測定不確実性および測定のロバストさを有する、目的のパラメータの値を推定するために必要な信号情報の最小セットが得られる。
一態様では、前の測定レシピによって定められた測定のキューが実行される前に、測定データが収集されるにつれ測定レシピを反復的に更新することによって、半導体構造の効率的な測定を達成するための測定レシピが迅速に生成される。測定レシピは、新たに取得した測定データに基づいて、所望の測定不確実性が達成されるまでまたは許容される最大測定時間に達するまで、反復的に更新される。
図1は、本明細書に提示する例示的な方法による、試料の特徴を測定するための計測ツール100の実施形態を示す図である。図1に示すように、システム100を使用して、試料位置決めシステム140上に配設されている試料101の検査領域102にわたって、x線スキャトロメトリ測定を行うことができる。いくつかの実施形態では、検査領域102は、80マイクロメートル以下のスポットサイズを有する。いくつかの実施形態では、検査領域102は、50マイクロメートル以下のスポットサイズを有する。いくつかの実施形態では、検査領域102は、40マイクロメートル以下のスポットサイズを有する。
描かれている実施形態では、計測ツール100は、x線スキャトロメトリ測定に適したx線放射を生成するように構成されたx線照射源110を含む。いくつかの実施形態では、x線照射システム110は、0.01ナノメートルから1ナノメートルの間の波長を生成するように構成されている。x線照射源110は、試料101の検査領域102に入射するx線ビーム117を生成する。
一般に、x線スキャトロメトリ測定にx線照射を供給するために、高スループットのインライン計測を可能にするのに十分な光束レベルで高輝度x線を生成可能な、任意の好適な高輝度x線照射源が企図され得る。いくつかの実施形態では、x線源は、x線源が選択可能な様々な波長のx線放射を送達できるようにする、調節可能なモノクロメータを含む。
いくつかの実施形態では、デバイス全体に加えてウエハ基板も十分に透過できる波長の光をx線源が確実に供給するように、15keVよりも大きい光子エネルギーを有する放射線を発する1つ以上のx線源が採用される。非限定的な例として、x線源110として、粒子加速器源、液体アノード源、回転アノード源、固定された固体アノード源、マイクロフォーカス源、マイクロフォーカス回転アノード源、逆コンプトン源のいずれかを利用できる。一例では、カリフォルニア州(米国)Palo AltoのLyncean Technologies,Inc.から入手可能な逆コンプトン源が企図され得る。逆コンプトン源は、光子エネルギーのある範囲にわたってx線を生成でき、このことによりx線源が選択可能な様々な波長のx線放射を送達できるようになる、という更なる利点を有する。
例示的なx線源は、固体または液体のターゲットにビームを当ててx線放射を誘発するように構成された、電子ビーム源を含む。図2は、本明細書に提示する例示的な方法による、試料の特徴を測定するための計測ツール200を描いた図である。計測ツール100および200の同様の番号の要素は、類似したものである。ただし、図2に描かれている実施形態では、x線照射源110は液体金属ベースのx線照射システムである。液体金属のジェット119が液体金属容器111から生成され、液体金属収集装置112内に収集される。収集装置112によって収集された液体金属は、液体金属循環システム(図示せず)によって液体金属容器111に戻される。液体金属のジェット119は1種以上の元素を含む。非限定的な例として、液体金属のジェット119は、アルミニウム、ガリウム、インジウム、スズ、タリウム、およびビスマスのいずれかを含む。この場合、液体金属のジェット119は、その構成元素に対応する線種のx線を生成する。一実施形態では、液体金属のジェットはガリウムとインジウムの合金を含む。いくつかの実施形態では、x線照射システム110は、0.01ナノメートルから1ナノメートルの間の波長を生成するように構成されている。電子ビーム源113(例えば電子銃)は、電子光学要素114によって液体金属のジェット119へと導かれる、電子流118を生成する。好適な電子光学要素114としては、電子ビームを集束しビームを液体金属ジェットに導くための、電磁石、永久磁石、または電磁石と永久磁石の組合せが挙げられる。液体金属のジェット119と電子流118の流れが一致するところで、試料101の検査領域102に入射するx線ビーム117が生成される。
高輝度の液体金属x線照射を生成するための方法およびシステムが、2011年4月19日に発行されたKLA-Tencor Corp.の米国特許第7,929,667号に記載されており、その全体が参照により本明細書に組み込まれる。
一実施形態では、入射x線ビーム117は、24.2keVのインジウムkα線である。x線ビームは、x線スキャトロメトリ測定用の多層x線光学要素を使用して、1ミリラジアン未満の発散にまでコリメートされる。
いくつかの実施形態では、本明細書に記載するx線散乱測定は、x線源と測定される試料の間に配置されるスクリーンを使用せずに達成される。これらの実施形態では、入射角のある範囲にわたる入射ビームの測定された強度、複数の波長、または両方の組合せは、測定された構造の所望の材料特性(例えば、複雑屈折率、電子密度、または吸収率)の分布マップ(すなわち画像)を分解するのに、十分な情報を提供する。ただし、いくつかの他の例では、x線ビームのコリメーションを改善するために、ピンホールまたは別のアパーチャが、x線源と測定される試料の間に配置された、そこ以外は不透明なスクリーン上に配置される。回折パターンの強度は、アパーチャのいくつかの位置について測定される。いくつかの他の実施形態では、疑似乱数的なアパーチャパターンを有するスクリーンが使用され、複数のスクリーンについて回折パターンが測定される。これらの手法はまた、測定された構造の所望の材料特性の3次元分布を分解するための追加の情報を提供するようにも企図され得る。
いくつかの実施形態では、入射x線ビームのプロファイルは、2つ以上のアパーチャ、スリット、またはこれらの組合せによって制御される。更なる実施形態では、アパーチャ、スリット、または両方は、入射角ごと、方位角ごと、または両方ごとに入射ビームのプロファイルを最適化するために、試料の向きと協調して回転するように構成される。
図1に描かれているように、x線光学要素115は入射x線ビーム117を成形し、試料101へと導く。いくつかの例では、x線光学要素115としては、試料101に入射するx線ビームを単色化するためのx線モノクロメータが挙げられる。一例では、x線放射のビームを単色化するために、Loxley-Tanner-Bowenモノクロメータなどの結晶モノクロメータが利用される。いくつかの例では、x線光学要素115は、多層x線光学素子を使用して、発散が1ミリラジアン未満となるように、x線ビーム117を試料101の検査領域102上にコリメートするかまたは集束させる。いくつかの実施形態では、x線光学要素115としては、1つ以上のx線コリメートミラー、x線アパーチャ、x線ビームストップ、屈折x線光学素子、ゾーンプレートなどの回折光学素子、かすめ入射楕円ミラーなどの鏡面反射x線光学素子、中空毛細管x線導波路などのポリキャピラリ光学素子、多層光学素子、もしくはシステム、またはこれらの任意の組合せが挙げられる。更なる詳細が米国特許公開第2015/0110249号に記載されており、その内容の全体が参照により本明細書に組み込まれる。
一般に、照射光学要素のシステムの焦点面は、測定用途ごとに最適化される。この場合、システム100は、測定用途に応じて試料内の様々な深さに焦点面を位置付けるように構成される。
X線検出器116は、試料101で散乱したx線放射125を収集し、x線スキャトロメトリ測定のモダリティに従って、入射x線放射に感応する試料101の特性を示す出力信号126を生成する。いくつかの実施形態では、x線検出器116によって散乱x線125が収集され、このとき試料101は、試料位置決めシステム140によって、角度分解された散乱x線を生成するように位置付けられ配向される。
いくつかの実施形態では、x線スキャトロメトリシステムは、高ダイナミックレンジ(例えば10超)の1つ以上の光子計数検出器と、損傷せずに直接のビーム(すなわちゼロ次ビーム)を吸収し寄生的後方散乱が最小限である、吸収性の高い厚い結晶基板と、を含む。いくつかの実施形態では、単一の光子計数検出器が、検出された光子の位置および数を検出する。
フルビームx線スキャトロメトリには、より高い回折次数と共に、ゼロ次のビームを収集する必要がある。ゼロ次ビームはその他の次数よりも強度が数桁大きい。ゼロ次ビームは、検出器のX線感知区域内で完全には吸収されない場合、散乱して寄生信号を生成することになる。これらの寄生信号の強度により、測定のダイナミックレンジが制限される。例えば、寄生信号が最大光束信号(すなわちゼロ次の信号)の10-4である場合、多くのより高い次数に関連する信号が汚染されることになる。したがって、フルビーム計測の実効ダイナミックレンジを大きくするためには、検出器(例えば検出器116)が、X線から電子正孔対への高い変換効率と高いX線吸収とを呈することが、非常に重要である。
フルビームx線スキャトロメトリに適した例示的な検出器材料としては、テルル化カドミウム(CdTe)、ゲルマニウム(Ge)、およびヒ化ガリウム(GaAs)結晶、その他が挙げられる。いくつかの実施形態では、検出器材料は、供給されるエネルギーに対応して、狭いエネルギーバンドで高変換効率が得られるように選択される。
いくつかの実施形態では、検出器材料の厚さは、入来するx線の所望の吸収を達成するように選択される。いくつかの実施形態では、検出器は、検出器材料を通るx線ビームの経路長を長くし、この結果合計吸収量が増えるように、入来するx線ビーム(様々な回折次数)に対して傾斜している。
いくつかの実施形態では、SNRを改善するために、二重閾値検出器が利用される。
いくつかの実施形態では、x線検出器は1つ以上のx線光子エネルギーを分解し、試料の特性を示す各x線エネルギー成分について信号を生成する。いくつかの実施形態では、x線検出器116としては、CCDアレイ、マイクロチャネルプレート、光ダイオードアレイ、マイクロストリップ比例計数管、ガス充填比例計数管、シンチレータ、または蛍光物質のうちの、任意のものが挙げられる。
このように、検出器内でのX線光子の相互作用は、ピクセル位置およびカウント数のほかにエネルギーによっても区別される。いくつかの実施形態では、X線光子の相互作用は、X線光子の相互作用のエネルギーを所定の上方閾値および所定の下方閾値と比較することによって区別される。一実施形態では、この情報は、更なる処理および格納のために、出力信号126を介して演算システム130に通信される。
いくつかの実施形態では、検出器は入来するX線に対して、入射するゼロ次ビームによる損傷または過剰な荷電を緩和するように走査される。これらの実施形態のうちのいくつかでは、検出器は、検出器表面上の特定の場所に長時間にわたってゼロ次ビームが留まるのを回避するために、入来するX線に対して連続的に走査される。いくつかの他の実施形態では、検出器は、検出器表面上の特定の場所に長時間にわたってゼロ次ビームが留まるのを回避するために、入来するX線に対して定期的に移動される。いくつかの実施形態では、走査また定期的な移動は、入来するx線に対してほぼ垂直である。いくつかの実施形態では、移動は回転式である(例えば、検出器は、検出器表面上の特定の場所が空間内で円を辿るように回転される)。いくつかの実施形態では、移動は、ゼロ次ビームの入射の点を検出器表面上の様々な異なる場所へと移動させる複数の並進移動の組合せである。
更なる態様では、x線スキャトロメトリシステムを利用して、1つ以上の測定された回折次数に基づいて試料の特性(例えば構造パラメータ値)を判定する。図1に描かれているように、計測ツール100は、検出器116によって生成される信号126を取得し、取得した信号に少なくとも部分的に基づいて試料の特性を判定するように利用される、演算システム130を含む。
x線スキャトロメトリ測定では、ある構造(例えば、垂直方向に製作された高アスペクト比の構造)が、コリメートされたx線ビームを複数の回折次数へと回折させる。各回折次数は特に、予測可能な方向に移動する。回折次数の角度間隔は試料の格子定数を波長で除算したものに反比例する。回折次数は、ウエハからある距離に設置された検出器アレイによって検出される。検出器の各ピクセルは、ピクセルに当たる光子の数を示す信号を出力する。
回折次数の強度はI(m,n,θ,φ,λ)の形態であり、ここで{m,n}は回折次数の整数の指標であり、{θ,φ}は入射ビームの仰角および方位角(すなわち、ウエハに固定されている座標系に対する入射主光線の極座標)であり、λは入射x線の波長である。
照射光が照射源から出て試料に向かって伝播する際に、いくつかのノイズ源が照射光を撹乱する。例示的な撹乱としては、電子ビーム電流の変動、温度が誘起する光のドリフト、等が挙げられる。撹乱された入射光束は、F(1+n)として表される。
ターゲットは、入射ビームの方位角および仰角に依存する様式で、入射する放射を散乱させる。次数(m,n)に散乱する光の効率は、Smn(θ,φ)と定義され得る。回折した光が試料から検出器へと伝播する際、このビームは、何らかのばらつき(1+n)および寄生ノイズ(n)で全ての次数に同様に影響を与える、他の散乱媒体を通過する。この場合、時間t内で測定された各次数の合計強度Imnは、式(1)によって表すことができる。
mn=Smn(θ,φ)(1+n)(1+n)Ft+n・・・(1)
いくつかの実施形態では、図1に描かれている座標系146によって示されるx軸およびy軸を中心とした回転によって記述される、様々な向きで測定を行うのが望ましい。このことにより、様々な大角度の面外配向が含まれるように解析に利用可能なデータセットの数および多様性を拡張することによって、測定されるパラメータの精度および正確度が向上し、パラメータ間の相関が低くなる。より深部のより多様なデータセットを用いて試料パラメータを測定することによってまた、パラメータ間の相関が低くなり、測定正確度が改善される。例えば、x線スキャトロメトリは、法線方向では特徴部の臨界寸法を分解することができるが、特徴部の側壁角度および高さはほとんど感知しない。しかしながら、広範囲の面外角度位置にわたって測定データを収集することによって、特徴部の側壁角度および高さを分解することができる。
図1に示すように、計測ツール100は、試料101を位置合わせするとともに、試料101をスキャトロメータに対して広範囲の面外角度方向にわたって配向するように構成された、試料位置決めシステム140を含む。言い換えれば、試料位置決めシステム140は、試料101の表面と面一となるように位置合わせされた1つ以上の回転軸を中心とした広い角度範囲にわたって、試料101を回転させるように構成される。いくつかの実施形態では、試料位置決めシステム140は、試料101の表面と面一となるように位置合わせされた1つ以上の回転軸を中心とした少なくとも90度の範囲内で、試料101を回転させるように構成される。いくつかの実施形態では、試料位置決めシステムは、試料101の表面と面一となるように位置合わせされた1つ以上の回転軸を中心とした少なくとも120度の範囲内で、試料101を回転させるように構成される。いくつかの他の実施形態では、試料位置決めシステムは、試料101の表面と面一となるように位置合わせされた1つ以上の回転軸を中心とした少なくとも1度の範囲内で、試料101を回転させるように構成される。この場合、計測システム100によって、試料101の表面上の任意の数の場所にわたって、試料101の角度分解された測定値が収集される。一例では、演算システム130は、試料位置決めシステム140の動作制御装置145に、試料101の所望の位置を示すコマンド信号を通信する。これに応答して、動作制御装置145は、試料位置決めシステム140の様々なアクチュエータに対して、試料101の所望の位置決めを達成するためのコマンド信号を生成する。
非限定的な例として、図1に示すように、試料位置決めシステム140は、試料101を試料位置決めシステム140に固定的に取り付けるための、エッジ把持チャック141を含む。回転アクチュエータ142は、エッジ把持チャック141および取り付けられた試料101を周縁フレーム143に対して回転させるように構成される。描かれている実施形態では、回転アクチュエータ142は、試料101を図1に示す座標系146のx軸を中心に回転させるように構成されている。図1に描かれているように、z軸を中心とした試料101の回転は、試料101の面内回転である。x軸およびy軸(図示せず)を中心とした回転は、計測システム100の計測要素に対して試料の表面を実質上傾斜させる、試料101の面外回転である。図示されていないが、第2の回転アクチュエータは、試料101をy軸を中心に回転させるように構成される。リニアアクチュエータ144は、周縁フレーム143をx方向に並進させるように構成される。別のリニアアクチュエータ(図示せず)は、周縁フレーム143をy方向に並進させるように構成される。このようにして、試料101の表面上のあらゆる場所が、面外角度位置のある範囲にわたる測定に利用可能である。例えば、一実施形態では、試料101のある場所が、試料101の法線方向に対して-45度から+45度の範囲内でいくつかの角度増分にわたって測定される。
一般に、試料位置決めシステム140は、ゴニオメータのステージ、ヘキサポッドのステージ、角度のステージ、およびリニアのステージを含むがこれらに限定されない、所望のリニアおよび角度の位置決め性能を達成するための機械的要素の、任意の好適な組合せを含み得る。
本明細書に記載するように、半導体ウエハの法平面に対する照射x線ビームの複数の向きで、x線スキャトロメトリ測定が行われる。x線照射ビームに対するウエハ101の任意の2回の角回転によって各向きが記述され、逆も成り立つ。一例では、ウエハに固定された座標系に対して向きが記述され得る。図3には、角度φおよびθで記述される特定の向きでウエハ101に入射するx線照射ビーム117が描かれている。座標フレームXYZは計測システムに固定されており、座標フレームX’Y’Z’はウエハ101に固定されている。Zはウエハ101の表面と直交する軸と整列されている。XおよびYは、ウエハ101の表面と整列された平面内にある。同様に、Z’はウエハ101の表面と直交する軸と整列されており、X’およびY’はウエハ101の表面と整列された平面内にある。図3に描かれているように、x線照射ビーム117はX’Z’平面内にある。角度φは、X’Z’平面内にあるウエハの法平面に対する、x線照射ビーム117の向きを記述する。また更に、角度θは、XZ平面に対するX’Z’平面の向きを記述する。θとφは1つになって、ウエハ101の表面に対するx線照射ビーム117の向きを一意に定める。この例では、ウエハ101の表面に対するx線照射ビームの向きは、ウエハ101の表面と直交する軸(すなわちZ軸)を中心とした回転と、ウエハ101の表面と整列された軸(すなわちY’軸)を中心とした回転と、によって記述される。いくつかの他の例では、ウエハ101の表面に対するx線照射ビームの向きは、ウエハ101の表面と整列された第1の軸と、図1を参照して記載するようなウエハ101の表面と整列されておりかつ第1の軸に対して垂直な別の軸と、を中心とした回転によって記述される。
図4は、本発明の計測システム100および200による実装に適した方法300を示す。一態様では、方法300のデータ処理ブロックを、演算システム130の1つ以上のプロセッサによって実行される事前にプログラムされたアルゴリズムを介して実行できることが、認識される。以下の記載は計測システム100および200の文脈で提示されているが、本明細書では、計測システム100および200の具体的な構造態様は限定を表すものではなく、単なる例示であると解釈されるべきであることが認識される。
ブロック301では、1つ以上の測定部位に配設された半導体構造を特徴付ける目的のパラメータの初期値が推定される。いくつかの実施形態では、モデルに基づく回帰、タイコグラフィ、トモグラフィ、1つ以上の機械学習モデル、またはこれらの組合せなどの、逆解法の技法を用いて、利用可能な測定データに基づいて、目的のパラメータ(例えば、臨界寸法、側壁角度、高さ、オーバーレイ、等)の値が推定される。
ブロック302では、1つ以上の測定部位の各々において、計測システムによる半導体構造の初期測定シーケンスが判定される。初期測定シーケンスの各測定は、計測システムの構成を定義する1つ以上の計測システムパラメータの異なる値によって特徴付けられる。言い換えれば、測定システム構成(例えば、入射角、発散、方位角、ビームエネルギー、積分時間、等)が、初期測定シーケンスの測定ごとに異なる。
いくつかの例では、初期測定シーケンスは、目的のパラメータの初期値に一部基づいている。いくつかの例では、比較的低い測定不確実性で目的のパラメータを測定する測定システム構成のシーケンスを特定するために、1次推定、モンテカルロシミュレーション、等といったシミュレーション技法が利用される。更なる態様では、測定システム構成のシーケンスは、測定不確実性を低減する各測定構成の能力によって順序付けられる。この場合、測定シーケンスは、最小の測定不確実性が予想される測定から始まり、続く測定の予想される測定不確実性が次第に大きくなっていく、様々な測定の順序付けられたキューである。
いくつかの実施形態では、電磁的シミュレーション、過去の測定、シミュレーションよって生成されるライブラリ、または基準測定、等によって、測定信号が予測される。測定システム設定の特定のセット(すなわち特定の測定)に従って、推定された値における目的のパラメータの測定に関連する測定不確実性が評価される。これを繰り返して、比較的低い測定不確実性を有する目的のパラメータの測定シーケンスに到達する。網羅的探索、疑似アニーリング法、L1ノルム回帰、遺伝的探索、訓練モデル、等を使用して、可能な測定構成が探索される。訓練モデルは、事前に列挙した方法からの決定、合成訓練セット、または実際の結果に基づいている。
一例では、透過型小角x線スキャトロメトリ(T-SAXS)計測システムによって構造が測定されることになる。この例では、予想されるピッチは、ピッチ測定に対して最高の感度を有する照射ビーム発散の選択に影響を与える。更に、構造の周期性は、構造の深さに対して最高の感度を有する照射角の選択に影響を与える。このように、測定結果の予想が測定戦略を手引きする。
ブロック303では、1つ以上の測定部位の各々に関連する初期測定シーケンスのサブセットの各々に従って、1つ以上の測定部位の各々において半導体構造が照射される。
ブロック304では、照射に応答して、1つ以上の測定部位の各々において、初期測定シーケンスのサブセットの測定に関連する第1の量の測定データが検出される。
ブロック305では、1つ以上の測定部位の各々における半導体構造を特徴付ける目的のパラメータの第1の更新値が、1つ以上の測定部位の各々に関連する第1の量の測定データに基づいて推定される。
いくつかの例では、x線スキャトロメトリに基づく計測は、測定されたデータを用いた所定の測定モデルの逆解法によって、サンプルの寸法を判定することを含む。測定モデルは少数の(10個程度の)調整可能なパラメータを含み、試料の幾何形状および光学特性ならびに測定システムの光学特性を表している。逆解法の方法としては、限定するものではないが、モデルに基づく回帰、トモグラフィ、機械学習、またはこれらの任意の組合せが挙げられる。このようにして、測定された散乱x線強度とモデル化結果の間の誤差を最小にするパラメータ化された測定モデルの値を求めることによって、標的とするプロファイルパラメータが推定される。
更なる態様では、演算システム130は、試料の測定された構造の構造モデル(例えば、幾何形状モデル、材料モデル、または幾何形状および材料の組合せモデル)を生成し、構造モデルからの少なくとも1つの幾何形状パラメータを含むx線スキャトロメトリ応答モデルを生成し、x線スキャトロメトリ応答モデルを用いてx線スキャトロメトリ測定データのフィッティング解析を実行することによって、少なくとも1つの試料パラメータ値を分解するように構成される。解析エンジンを使用して、シミュレーションされたx線スキャトロメトリ信号が測定されたデータと比較され、このことにより、幾何形状特性、およびサンプルの電子密度などの材料特性の判定が可能になる。図1に描かれている実施形態では、演算システム130は、本明細書に記載するようなモデル構築および解析機能を実装するように構成された、モデル構築および解析エンジンとして構成されている。
図5は、演算システム130によって実装される例示的なモデル構築および解析エンジン150を示す図である。図5に描かれているように、モデル構築および解析エンジン150は、試料の測定された構造の構造モデル152を生成する、構造モデル構築モジュール151を含む。いくつかの実施形態では、構造モデル152はまた、試料の材料特性も含む。構造モデル152は、x線スキャトロメトリ応答関数構築モジュール153への入力として受信される。x線スキャトロメトリ応答関数構築モジュール153は、構造モデル152に少なくとも部分的に基づいて、x線スキャトロメトリ応答関数モデル155を生成する。いくつかの例では、x線スキャトロメトリ応答関数モデル155は、x線波形率
に基づいており、
上式で、Fは波形率、qは散乱ベクトル、ρ(r)は球座標における試料の電子密度である。x線散乱強度はこの場合
によって与えられる。x線スキャトロメトリ応答関数モデル155は、フィッティング解析モジュール157への入力として受信される。フィッティング解析モジュール157は、モデル化されたx線スキャトロメトリ応答を対応する測定されたデータ126と比較して、試料の幾何形状特性および材料特性を判定する。
いくつかの例では、実験データへのモデル化されたデータのフィッティングは、カイ2乗値を最小にすることによって達成される。例えば、x線スキャトロメトリ測定の場合、カイ2乗値は
と定義でき、
上式で、
は「チャネル」jにおいて測定されたx線スキャトロメトリ信号126であり、添え字jは、例えば回折次数、エネルギー、角座標、等の、システムパラメータのセットを記述する。
は、構造(ターゲット)パラメータのセットv,…,vに関して評価された、「チャネル」jについてのモデル化されたx線スキャトロメトリ信号Sであり、これらのパラメータは、幾何形状(CD、側壁角度、オーバーレイ、等)および材料(電子密度、等)を記述する。σSAXS,jはj番目のチャネルに関連する不確実性である。NSAXSはx線計測におけるチャネルの総数である。Lは計測ターゲットを特徴付けるパラメータの数である。
式(4)は、異なるチャネルに関連する不確実性は相関しないと仮定している。異なるチャネルに関連する不確実性が相関している例では、不確実性同士の間の共分散を計算することができる。これらの例では、x線スキャトロメトリ測定のカイ2乗値は
で表すことができ、上式において、VSAXSはSAXSチャネル不確実性の共分散行列であり、Tは転置行列を表す。
いくつかの例では、フィッティング解析モジュール157は、x線スキャトロメトリ応答モデル155を用いてx線スキャトロメトリ測定データ126に対してフィッティング解析を実行することによって、少なくとも1つの試料パラメータ値を分解する。いくつかの例では、
が最適化される。
上記したように、x線スキャトロメトリデータのフィッティングは、カイ2乗値の最小化によって達成される。ただし一般に、x線スキャトロメトリデータのフィッティングは、他の関数によって達成されてもよい。
x線スキャトロメトリ計測データのフィッティングは、目的の幾何形状パラメータおよび/または材料パラメータに対する感度をもたらすあらゆるタイプのx線スキャトロメトリ技術にとって有利である。x線スキャトロメトリビームと試料の相互作用を記述する適切なモデルが使用される限りは、試料パラメータは、決定論的であっても(例えば、CD、SWA、等)、統計学的であってもよい(例えば、側壁粗さのrms高さ、粗さ相関長さ、等)。
一般に、演算システム130は、リアルタイム臨界寸法決定(RTCD;Real Time Critical Dimensioning)を利用してモデルパラメータにリアルタイムでアクセスするように構成されるか、または、試料101に関連する少なくとも1つの試料パラメータ値の値を判定するために事前に演算されたモデルのライブラリにアクセスしてもよい。一般に、試料の割り当てられたCDパラメータと測定された試料に関連するCDパラメータの間の相異を評価するために、何らかの形態のCD-エンジンが使用され得る。試料パラメータ値を演算するための例示的な方法およびシステムが、2010年11月2日に発行されたKLA-Tencor Corp.の米国特許第7,826,071号に記載されており、その全体が参照により本明細書に組み込まれる。
いくつかの例では、モデル構築および解析エンジン150は、フィードサイドウェイ解析、フィードフォワード解析、および並行解析の任意の組合せによって、測定されるパラメータの正確度を改善する。フィードサイドウェイ解析(Feed sideways analysis)とは、同じ試料の異なる領域上で複数のデータセットを採取し、第1のデータセットから判定された共通のパラメータを第2のデータセットに引き渡して解析することを指す。フィードフォワード解析とは、異なる試料上でデータセットを採取し、共通のパラメータを段階的コピー厳密パラメータフィードフォワード手法(stepwise copy exact parameter feed forward approach)を用いて後続の解析に先行的に供することを指す。並行解析とは、フィッティング中に少なくとも1つの共通のパラメータが結合される、複数のデータセットへの非線形フィッティング方法論の並行的なまたは同時の適用を指す。
複数ツールおよび構造解析とは、回帰、ルックアップテーブル(すなわち「ライブラリ」マッチング)、または複数のデータセットの別のフィッティング手順に基づく、フィードフォワード、フィードサイドウェイ、もしくは並行解析を指す。複数のツールおよび構造解析のための例示的な方法およびシステムが、2009年1月13日に発行されたKLA-Tencor Corp.の米国特許第7,478,019号に記載されており、その全体が参照により本明細書に組み込まれる。
ブロック306では、1つ以上の測定部位の各々において、計測システムによる半導体構造の第1の更新された測定シーケンスが判定される。更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる。
いくつかの例では、計測システムによる半導体構造の測定シーケンスは、1つ以上の異なるターゲットパラメータ(例えば、MCD、BCD、OVL、SWA、等)の測定を含む。この場合、測定レシピの最適化は、目的のパラメータ(例えばCD)のより良好な推定をもたらす、特定の半導体構造に関連する1つ以上のターゲットパラメータの選択を含む。
いくつかの例では、計測システムによる半導体構造の測定シーケンスは、半導体構造に関連する異なるターゲット(例えば同じダイ中の異なるターゲット)の測定を含む。この場合、測定レシピの最適化は、目的のパラメータ(例えばオーバーレイ)のより良好な推定をもたらす、特定の半導体構造に関連する1つ以上のターゲットの選択を含む。
いくつかの例では、更新された測定シーケンスは、照射角(例えば入射角および方位角)の異なるセット、異なる検出器分解能、異なる露光時間、異なるターゲットサイズ、異なるソースサイズ、異なる収集されるエネルギー、またはこれらの任意の組合せを含む。
図6には、透過型小角X線散乱(T-SAXS)ツール、例えば計測システム100によって測定されることになるトレンチ構造170が描かれている。図8には、透過型小角X線散乱(T-SAXS)ツール、例えば計測システム100によって測定されることになるトレンチ構造176の、別の例が描かれている。
図7A~7Dには、4つの異なる入射角における、計測システム100によって測定された散乱次数の画像が描かれている。図7Aには、ゼロ度の(すなわちウエハの表面と直交する)入射角で測定された散乱次数の画像171が描かれている。図7Bには、+1度の入射角で測定された散乱次数の画像172が描かれている。図7Cには、-1度の入射角で測定された散乱次数の画像173が描かれている。図7Dには、-2度の入射角で測定された散乱次数の画像174が描かれている。
図9A~9Dには、計測システム100によって測定された、4つの異なる入射角での、散乱した次数の画像が描かれている。図9Aには、ゼロ度の(すなわちウエハの表面と直交する)入射角で測定された散乱次数の画像177が描かれている。図9Bには、+1度の入射角で測定された散乱次数の画像178が描かれている。図9Cには、-1度の入射角で測定された散乱次数の画像179が描かれている。図9Dには、-2度の入射角で測定された散乱次数の画像180が描かれている。
図7A~7Dおよび図9A~9Dに示すように、各画像の中心にある明るいスポットは、ゼロ次ビームと関連している。各次数の強度は多くの方法で抽出できる。いくつかの実施形態では、回折次数は検出器において空間的に分離される。これらの実施形態では、回折次数は検出器アレイによって個々に検出され、同じ回折次数に関連するピクセルの出力が組み合わされる(すなわち追加される)。この場合、特定の各回折次数に関連するピクセルの光子の計数を累積することによって、検出された回折次数が区別される。このシナリオは、比較的小ピッチの特徴部を測定するときまたは比較的発散の小さいビームを用いて測定するときに、一層生じやすい。
いくつかの他の実施形態では、回折次数は検出器において空間的に重なり合い、特定の回折次数に関連する強度を判定するためにピクセル出力を単純に組み合わせることはできない。これらの実施形態では、回折次数を逆畳み込みして検出された各回折次数の測定された強度を区別する測定モデルが利用される。このシナリオは、比較的大ピッチの特徴部を測定するときまたは比較的発散の大きいビームを用いて測定するときに、一層生じやすい。
トレンチ構造170および176の壁は直線状であり、プロセス窓内でウエハの表面と直交するように配向されていることが予想される。非常にコントラストの高いエッジが強い散乱信号を生成する構造の頂部でのTSAXS測定は、傾斜の評価に適した測定である。トレンチが傾斜している場合、頂部における角度は比較的弱い信号を生成する。したがって、推定された傾斜角度近くで行われる測定によって、短時間での測定が可能になる。
理想的には、安定したプロセスにおいてあり得る角度の範囲を知っていることにより、効率的な測定レシピの先験的な生成が可能になる。残念ながら、プロセスの多くは十分には安定しておらず、プロセスが安定しているとの仮定に基づいて合成された任意の測定レシピはいずれも、外れ値に対する測定性能が不十分となる場合が非常に多い。したがって実際上、特に外れ値の効果的な検出がロバストなプロセス制御にとって非常に重要であることを考慮すると、プロセスが安定しているとの仮定に基づいた測定レシピ生成には問題がある。
一例では、構造170および176のTSAXS測定は、入射角のある範囲にわたって、プロセス窓内で、および外れ値を捕捉するためにこれを越えて、行われる。例えば、画像171~174および177~180の測定を含む測定レシピによって、構造170のゼロ傾斜、および構造176の-1度の傾斜が確定される。しかしながら、各構造の傾斜測定には4つの画像の測定が必要になる。この測定レシピはロバストであるが、過剰な回数の測定を必要とする。時間のかかる測定の場合、この手法は現実的ではない。
従来の測定レシピ最適化の例では、構造170および176のTSAXS測定は、総プロセス窓よりも小さい予想されるプロセス窓内で、入射角のある範囲にわたって行われる。一例では、測定レシピは、+/-1度の傾斜角度を含む。この例では、各構造の傾斜測定には、3つの画像(すなわち、画像171~173および177~179)が必要になる。この手法のリスクは、特に予想されるプロセス窓が過度に小さく選択される場合に、外れ値(例えば-2度の傾斜角度)が捕捉されないことである。逆に、測定のロバストさを改善するために予想されるプロセス窓を大きく選択する場合、データ収集の量が増加する。このことにより、測定レシピが実装するには非現実的なものになるリスクが高まる。
本明細書に記載するように、測定のサブセットから収集された測定データに基づいて測定レシピを更新することにより、必要なデータ収集の量を減らしながら、測定のロバストさが改善される。
図6に描かれている例では、図7Aに描かれているように、ゼロ入射角で測定が行われる。図7Aに描かれている測定された画像は、トレンチが入射角(すなわちウエハの表面の法線)と整列されていることを示す。測定レシピは、構造170が傾斜していないとの推定を確定するための追加の測定を追加するように更新される。構造170が傾斜していないことを確定するために、第2の測定が図7Bに描かれているように+1度で行われる。図8に描かれている例では、図9Aに描かれているように、ゼロ入射角で測定が行われる。図9Aに描かれている測定された画像は、トレンチが入射角(すなわちウエハの表面の法線)と整列されていないことを示す。また更に、測定された回折パターンは、傾斜角度Tが-1度であることを示す。測定レシピは、構造176が-1度だけ傾斜しているとの推定を確定するための追加の測定を追加するように更新される。構造176が-1度だけ傾斜していることを確定するために、図9Cに描かれているように-1度で第2の測定が行われる。測定された回折パターンは、傾斜角度が-1度であることを確定する。これらの例では、3回または4回の測定ではなく、2回の測定でロバストな測定が行われる。
一般に、最低限の追加のデータ収集で測定を確定するように測定レシピを更新するためには、事前にプログラムされた測定レシピ全体に関連するデータを収集するのではなく、初期の測定から収集された測定データが利用される。一般には初期の測定データは、半導体構造の幾何形状の最終的な推定を提供するためには利用されず、むしろ初期の測定データは、更新された測定レシピを作成するために利用されることに留意されたい。更新された測定レシピは、初期の推定されたパラメータ値を確定し得るか、または、初期の測定データが外れ値であり更なる測定が必要であることに注意を促し得る。
図8に描かれている例では、初期の測定によって構造176が傾斜した構造である可能性が高いと判定され、この推定を確定するように測定キューが更新される。確定されるか反証されるかに関わらず、次の収集によって推定が精緻化され、平均的には、ある固定された測定不確実性に関して取得時間が短くなる。
本明細書に記載するように、予想されるウエハ計測結果についての初期の情報を利用して、初期の測定レシピが生成される。初期の測定データが収集される際に、測定レシピが更新される。
図10には、部位の場所の関数としての、臨界寸法(CD)値の初期の推定に対応するプロット線182が描かれている。測定されるウエハの知られている形状に基づいて、プロット線182が推定される。この場合、異なる測定部位におけるCD値の推定を支援するために、知られているウエハの傾向を利用可能である。初期の測定レシピが生成され、6つの測定部位で測定が行われる。この結果は参照符号183A~Fによって示されている。図10に示されているように、測定183A、183D、183E、および183Fは、プロット線182の示す予想値に近い。これらの測定は図10では丸い点でマークされている。これらの測定は信用できる測定であると見なされ、更新された測定レシピを生成するときに全面的に考慮される。逆に、測定183Bおよび183Cは、プロット線182の示す予想値から比較的遠い。これらの測定は図10では「X」でマークされている。これらの測定は、更新された測定レシピを生成するときに軽く重み付けされる。更新された測定レシピが生成され、同じ6つの測定部位で測定が行われる。この結果は参照符号184A~Fによって示されている。これらの測定は全体に、プロット線182の示す予想値により近い。初期のCD測定では、ノイズにより測定の低感度の側面が駆動されて不適正な値となる場合がある。しかしながら、ウエハ全体にわたる多くの測定によって、系統立った傾向が明らかになる。これらの推定が精緻化され、よりロバストかつ効率的な測定レシピが得られる。
いくつかの実施形態では、測定レシピ最適化は、測定のロバストさと測定時間をトレードオフするように制御される。このことにより、外れ値およびプロセスの逸脱がある場合の融通性が実現される。
いくつかの実施形態では、測定レシピ最適化は、測定不確実性と測定スループットをトレードオフするように制御される。
いくつかの実施形態では、測定レシピ最適化は、測定不確実性、測定時間、移動時間、および標的線量の任意の組合せを最小にするように制御される。これらの実施形態のうちのいくつかでは、測定レシピ最適化は、収集のシーケンスの測定時間と移動時間の和を最小にするように制御される。例えば、測定ツールがデータを収集するために必要な動作によっても制限される場合、特定の順序でデータ収集を実行することによって、移動時間(すなわち、ウエハおよび光学素子を所定位置へと移動させるために必要な時間)を短くすることができる。このことにより、より長い実データ取得時間が実現され、測定全体がより高速になる。
いくつかの実施形態では、更新された測定レシピを推定するために必要な演算は、測定データを収集するための時間よりも長い。これらの実施形態では、測定データの収集中に測定レシピが更新される。いくつかの実施形態では、各測定部位についての測定のキューは、更新された測定レシピが利用可能であるときにだけ更新される。いくつかの実施形態では、ある測定部位についての測定レシピが、別の測定部位においてデータが収集されている間に更新される。この手法は、測定部位間の移動時間が平均取得時間と比較して相対的に小さいときにだけ成立する。これは一般にTSAXS測定に当てはまる。
いくつかの実施形態では、標的線量は測定レシピ最適化の一部と見なされる。長時間の露光が測定または処理終了時のデバイス性能に影響するような多くの測定シナリオ(例えば、デバイス構造のx線測定、レジスト構造のUV測定、等)が存在する。これらの例では、計測ターゲットに送達されるエネルギーの全線量が、測定レシピ最適化の一部と見なされる。
一般に、測定レシピは、最終的な測定が、要求される不確実性のレベルまたは測定有効期限に関して許容される最大時間を満たすまで、繰り返し更新される。
本明細書に記載するような測定レシピ最適化は、限定するものではないが、例えばx線透過ツール、x線反射ツール、赤外線透過ツール等の、任意の数の異なる計測システムに対して実行され得る。
更なる態様では、計測システムの測定品質および性能は、測定されたゼロ次ビームに基づいて制御される。いくつかの例では、上記した測定品質および性能の推定は、フィードバック制御装置(例えば演算システム130)への入力として提供される。フィードバック制御装置は、測定システムの品質および性能を改善する計測システムの、1つ以上の要素の状態の変化をもたらす、制御コマンドを通信する。
いくつかの例では、制御コマンドは照射源に提供される。これに応答して、照射源の電気的状態は、走査されるスポットのサイズおよび形状、照射電力、スポットのオフセット、入射角、等を変えるように調整される。
いくつかの例では、制御コマンドは、計測システムの1つ以上の光学素子の配置を制御する1つ以上の位置決めデバイスに提供される。これに応答して、1つ以上の位置決めデバイスは、入射角の調整のための1つ以上の光学素子の位置/向き、照射源と照射光学要素の間の焦点距離、ビームの位置決め、表面粗さの影響を最小限にするための光学素子上のビームスポットの配置、等を変化させる。
更なる態様では、高アスペクト比の構造の特徴をその深さ全体にわたって記述するのに十分な解像度および貫通深さを提供する入射角の範囲にわたって、x線スキャトロメトリ測定が行われる。
ウエハ法平面に対するx線入射角の関数としての、回折した放射の強度の測定値が収集される。複数の回折次数に含まれる情報は通常、考慮される各モデルパラメータ間で一意のものである。したがって、x線散乱により、目的のパラメータの値に関して、誤差が小さくパラメータ相関が低減された推定結果が得られる。
いくつかの実施形態では、x線検出器116は、試料101と同じ雰囲気環境(例えばガスパージ環境)内に維持される。しかしながら、いくつかの実施形態では、試料101とx線検出器116の間の距離は長く、環境撹乱(例えば乱流)が検出される信号にノイズをもたらす。したがって、いくつかの実施形態では、x線検出器のうちの1つ以上は、真空窓によって試料(例えば試料101)から分離された局部的な真空環境内に維持される。
同様に、いくつかの実施形態では、x線照射源110、照射光学要素115、または両方が、試料101と同じ雰囲気環境(例えばガスパージ環境)内に維持される。しかしながら、いくつかの実施形態では、x線照射源110と照射光学要素115の間の光経路長、および照射光学要素115と試料101の間の光経路長は長く、環境撹乱(例えば乱流)が照射ビームにノイズをもたらす。したがって、いくつかの実施形態では、x線照射源、照射光学要素115、または両方は、真空窓によって試料(例えば試料101)から分離された局部的な真空環境内に維持される。
図12は一実施形態における、x線照射源110および照射光学要素115を収容した真空チャンバ160、ならびにx線検出器116を収容した真空チャンバ163を示す図である。好ましい実施形態では、真空チャンバ160は、x線照射源110と試料101の間の光学経路の実質的な部分を含み、真空チャンバ163は、試料101とx線検出器116の間の光学経路の実質的な部分を含む。真空チャンバ160および真空チャンバ163の開口部は、真空窓161および164によってそれぞれ覆われている。真空窓161および164は、x線放射を実質的に透過する任意の好適な材料(例えば、ベリリウム)で構築され得る。照射ビーム117は試料101に向かって伝播する際に、真空窓161を通過する。試料101と相互作用した後で、散乱x線放射125は真空窓164を通過し、真空チャンバ163に入り、x線検出器116に入射する。照射ビーム117の撹乱を最小にするために、真空チャンバ160内で好適な真空環境162が維持され、散乱x線放射125の撹乱を最小にするために、真空チャンバ163内で好適な真空環境165が維持される。好適な真空環境としては、任意の好適なレベルの真空、不活性ガス(例えばヘリウム)を含む任意の好適なパージされた環境、またはこれらの任意の組合せを挙げることができる。この場合、光束を最大にし撹乱を最小にするために、ビーム経路の可能な限り大きい部分が真空中に配置される。
いくつかの実施形態では、試料101を含む光学的システム全体が、真空中に維持される。しかしながら、一般に、試料101を真空中に維持することに関連するコストは、試料位置決めシステム140の構造に関連する複雑さに起因して、高い。
1つの更なる態様では、計測ツール100は、本明細書に記載するようなビーム制御機能を実装するように構成された演算システム(例えば演算システム130)を含む。図1に描かれている実施形態では、演算システム130は、入射する照射ビーム117の強度、発散、スポットサイズ、偏光、スペクトル、および位置決めといった照射特性のうちの、いずれかを制御するように動作可能な、ビーム制御装置として構成されている。
図1に示すように、演算システム130は、検出器116に通信可能に結合される。演算システム130は、検出器116から測定データ126を受信するように構成される。一例では、測定データ126は、測定された試料の反応の指示(すなわち回折次数の強度)を含む。検出器116の表面上の測定された反応の分布に基づいて、演算システム130によって、試料101上の照射ビーム117の入射の場所および面積が判定される。一例では、測定データ126に基づいて試料101上の照射ビーム117の入射の場所および面積を判定するために、演算システム130によって、パターン認識技法が適用される。いくつかの例では、演算システム130は、所望の照射波長を選択し、入射する照射ビーム117が試料101に対して所望の場所および角度方向で到達するように照射ビーム117の方向変更および再成形を行うためのコマンド信号136を、照射光学要素115に通信する。いくつかの他の例では、演算システム130は、入射する照射ビーム117が試料101に対して所望の場所および角度方向で到達するように試料101の位置決めおよび配向を行うためのコマンド信号(図示せず)を、ウエハ位置決めシステム140に通信する。いくつかの他の例では、演算システム130は、所望の照射波長を選択し、入射する照射ビーム117が試料101に対して所望の場所および角度方向で到達するように照射ビーム117の方向変更および再成形を行うためのコマンド信号137を、x線源110に通信する。
更なる態様では、x線スキャトロメトリ測定データを使用して、検出された回折次数の測定された強度に基づいて、測定された構造の画像が生成される。いくつかの実施形態では、x線スキャトロメトリ応答関数モデルは、一般的な電子密度メッシュから散乱を記述するように一般化される。このモデルを、連続性および疎なエッジが強化されるようにモデル化される電子密度をこのメッシュ内に制約しながら、測定された信号にマッチングさせることによって、サンプルの3次元画像が得られる。
x線スキャトロメトリ測定に基づく臨界寸法(CD)計測にはモデルに基づく幾何学的なパラメータ反転が好ましいが、測定された試料が幾何形状モデルの仮定から逸脱するときにモデルの誤差を特定および補正するには、同じx線スキャトロメトリ測定データから生成された試料のマップが有用である。
いくつかの例では、この画像は、同じスキャトロメトリ測定データのモデルに基づく幾何学的なパラメータ反転よって推定された構造の特徴と比較される。相異を使用して、測定された構造の幾何形状モデルを更新し、測定性能を改善する。集積回路をその製作プロセスの制御、モニタリング、および問題解決のために測定する場合、正確なパラメータ測定モデルに収束できることが特に重要である。
いくつかの例では、画像は、電子密度、吸収率、複素屈折率、またはこれらの材料特性の組合せの、2次元(2-D)マップである。いくつかの例では、画像は、電子密度、吸収率、複素屈折率、またはこれらの材料特性の組合せの、3次元(3-D)マップである。マップは比較的少ない物理的制約を用いて生成される。いくつかの例では、1つ以上の目的のパラメータ、例えば、臨界寸法(CD)、側壁角度(SWA)、オーバーレイ、エッジ設置誤差、ピッチウォーク、等が、結果的なマップから直接推定される。いくつかの他の例では、このマップは、サンプルの幾何形状または材料が、モデルに基づくCD測定に利用されるパラメータ構造モデルが企図する予想値の範囲外に逸脱するときに、ウエハプロセスをデバッグするのに有用である。一例では、マップとその測定されたパラメータに従うパラメータ構造モデルによって予測される構造のレンダリングとの間の相異を用いて、パラメータ構造モデルが更新され、その測定性能が改善される。更なる詳細が米国特許公開第2015/0300965号に記載されており、その内容の全体が参照により本明細書に組み込まれる。追加の詳細が米国特許公開第2015/0117610号に記載されており、その内容の全体が参照により本明細書に組み込まれる。
いくつかの実施形態では、本明細書に記載するようなx線スキャトロメトリ測定によって特徴付けられる計測ターゲットは、測定されるウエハのスクライブライン内に配置される。これらの実施形態では、計測ターゲットは、スクライブラインの幅の中に嵌まるようなサイズである。いくつかの例では、スクライブライン幅は80マイクロメートル未満である。いくつかの例では、スクライブラインは50マイクロメートル未満である。一般に、半導体製造で利用されるスクライブラインの幅は、更に小さくなる傾向にある。
いくつかの実施形態では、本明細書に記載するようなx線スキャトロメトリ測定によって特徴付けられる計測ターゲットは、測定されるウエハの機能するダイ領域内に配置されており、機能集積回路(例えば、メモリ、画像センサ、論理デバイス、等)の一部である。
一般に、計測ターゲットは、計測ターゲットの最大高さ寸法(すなわちウエハ表面と直交する寸法)を最大横寸法(すなわちウエハ表面と整列された寸法)で割ったものと定義される、アスペクト比によって特徴付けられる。いくつかの実施形態では、測定される計測ターゲットは、少なくとも20のアスペクト比を有する。いくつかの実施形態では、計測ターゲットは、少なくとも40のアスペクト比を有する。
図11A~図11Cには、本明細書に記載する様式の測定を受ける典型的な3Dフラッシュメモリデバイス190の、等角図、上面図、および断面図がそれぞれ描かれている。メモリデバイス190の全高(言い換えれば深さ)は、1から数マイクロメートルまでの範囲である。メモリデバイス190は垂直方向に製作されたデバイスである。メモリデバイス190などの垂直方向に製作されたデバイスは本質的に、従来の平板なメモリデバイスを90度回転させ、ビット線およびセルストリングを垂直方向に(ウエハ表面に対して垂直に)配向したものである。十分なメモリ容量を実現するために、ウエハ上に材料の異なる交互の層が多数堆積される。このことは、パターニングプロセスが、横方向の広がりが最大100ナノメートル以下の構造に対して、数ミクロンの深さまで良好に機能することを必要とする。結果的に、25対1または50対1のアスペクト比は珍しくない。
一般に、高輝度x線スキャトロメトリの使用により、高光束x線放射がターゲットの半透明領域内に貫通することが可能になる。x線スキャトロメトリを使用して測定可能な幾何形状パラメータの例としては、孔サイズ、孔密度、ラインエッジ粗さ、ライン幅粗さ、側壁角度、プロファイル、臨界寸法、オーバーレイ、エッジ設置誤差、およびピッチが挙げられる。測定可能な材料パラメータの例としては、電子密度が挙げられる。いくつかの例では、x線スキャトロメトリにより、10nm未満の特徴部、ならびに、幾何形状パラメータおよび材料パラメータの測定が必要な、STT-RAM、V-NAND、DRAM、PC-RAM、およびRe-RAMなどの高度な半導体構造の測定が可能になる。
本開示の全体を通して記載される様々なステップが、単一のコンピュータシステム130、または別法として複数のコンピュータシステム130によって実行され得ることが、認識されるべきである。また更に、システム100の様々なサブシステム、例えば試料位置決めシステム140は、本明細書に記載するステップの少なくとも一部を実行するのに適したコンピュータシステムを含み得る。したがって、前述の説明は、本発明に対する限定としてではなく単なる例示として解釈されるべきである。更に、1つ以上の演算システム130は、本明細書に記載する方法実施形態のうちのいずれかの、任意の他のステップを行うように構成され得る。
更に、コンピュータシステム130は、当技術分野で知られている任意の様式で、検出器116および照射光学要素115に通信可能に結合され得る。例えば、1つ以上の演算システム130が、検出器116および照射光学要素115に関連する演算システムにそれぞれ結合され得る。別の例では、検出器116および照射光学要素115の任意のものが、コンピュータシステム130に結合された単一のコンピュータシステムによって直接制御され得る。
コンピュータシステム130は、ワイヤ線部分および/またはワイヤレス部分を含み得る伝送媒体によって、システムのサブシステム(例えば、検出器116および照射光学要素115など)からデータまたは情報を受信および/または取得するように構成され得る。このようにして、伝送媒体は、コンピュータシステム130とシステム100の他のサブシステムの間のデータリンクの役割を果たし得る。
計測システム100のコンピュータシステム130は、ワイヤ線部分および/またはワイヤレス部分を含み得る伝送媒体によって、他のシステムからデータまたは情報(例えば、測定結果、モデル化入力、モデル化結果、等)を受信および/または取得するように構成され得る。このようにして、伝送媒体は、コンピュータシステム130と他のシステム(例えばメモリオンボード計測システム100、外部メモリ、または外部システム)の間のデータリンクの役割を果たし得る。例えば、演算システム130を、記憶媒体(すなわちメモリ132または180)からデータリンクを介して測定データ(例えば信号126)を受信するように構成することができる。例えば、任意の検出器116の分光器を用いて得られるスペクトル結果を、永続的または半永続的なメモリデバイス(例えばメモリ132または180)に格納することができる。この点に関して、測定結果を、オンボードメモリからまたは外部メモリシステムからインポートすることができる。また更に、コンピュータシステム130は、データを伝送媒体を介して他のシステムに送ることができる。例えば、コンピュータシステム130によって判定される試料パラメータ値170を、永続的または半永続的なメモリデバイス(例えばメモリ180)に格納することができる。この点に関して、測定結果を別のシステムにエクスポートしてもよい。
演算システム130は、限定するものではないが、パーソナルコンピュータシステム、メインフレームコンピュータシステム、ワークステーション、画像コンピュータ、並列プロセッサ、または当技術分野で知られている任意の他のデバイスを含み得る。一般に、用語「演算システム」は、メモリ媒体からの命令を実行する、1つ以上のプロセッサを有する任意のデバイスを包含するように広く定義され得る。
本明細書に記載する方法のような方法を実装するプログラム命令134を、ワイヤ、ケーブル、またはワイヤレス伝送リンクなどの伝送媒体を介して伝送することができる。例えば、図1において示すように、メモリ132に格納されたプログラム命令は、バス133を介してプロセッサ131に伝送される。プログラム命令134は、コンピュータ可読媒体(例えばメモリ132)に格納される。例示的なコンピュータ可読媒体は、読み取り専用メモリ、ランダムアクセスメモリ、磁気もしくは光学ディスク、または磁気テープを含む。
いくつかの実施形態では、本明細書に記載するようなスキャトロメトリ解析は、製造プロセスツールの一部として実装される。製造プロセスツールの例には、限定するものではないがリソグラフィ露光ツール、成膜ツール、注入ツール、およびエッチングツールが挙げられる。このように、x線スキャトロメトリ解析の結果を使用して、製造プロセスを制御する。一例では、1つ以上のターゲットから収集されたx線スキャトロメトリ測定データが、製造プロセスツールに送られる。x線スキャトロメトリ測定データは本明細書に記載するように解析され、その結果を使用して製造プロセスツールの動作が調整される。
本明細書に記載するようなスキャトロメトリ測定を使用して、様々な半導体構造の特徴を判定することができる。例示的な構造としては、限定するものではないが、FinFET、ナノワイヤまたはグラフェンなどの低次元構造、10nm未満の構造、リソグラフィ構造、基板貫通ビア(TSV)、DRAM、DRAM 4F2、FLASH、MRAM、および高アスペクト比メモリ構造などのメモリ構造が挙げられる。例示的な構造の特徴としては、ラインエッジ粗さ、ライン幅粗さ、孔サイズ、孔密度、側壁角度、プロファイル、臨界寸法、ピッチなどの幾何形状パラメータ、ならびに、電子密度、組成、結晶粒組織、形態、応力、ひずみ、および元素同定などの材料パラメータが挙げられるが、これらに限定されない。
本明細書に記載する場合、用語「臨界寸法」は、構造の任意の臨界寸法(例えば底部臨界寸法、中間臨界寸法、頂部臨界寸法、側壁角度、格子高さ、等)、任意の2つ以上の構造の間の臨界寸法(例えば2つの構造の間の距離)、および2つ以上の構造の間のずれ(例えばオーバーレイした格子構造の間のオーバーレイのずれ)を含む。構造は、3次元構造、パターン形成された構造、オーバーレイ構造、等を含み得る。
本明細書に記載する場合、用語「臨界寸法適用」または「臨界寸法測定適用」は、任意の臨界寸法測定を含む。
本明細書に記載する場合、用語「計測システム」は、臨界寸法適用およびオーバーレイ計測適用を含む任意の態様で試料を少なくとも部分的に特徴付けるために利用される、任意のシステムを含む。ただし、そのような技術用語は、本明細書に記載するような用語「計測システム」の範囲を限定しない。更に、本明細書に記載する計測システムは、パターン形成されたウエハおよび/またはパターン形成されていないウエハを測定するように構成され得る。計測システムは、LED検査ツール、エッジ検査ツール、背面検査ツール、マクロ検査ツール、またはマルチモード検査ツール(1つ以上のプラットフォームからのデータを同時に含む)、および本明細書に記載する測定技法から利益を受ける任意の他の計測または検査ツールとして、構成され得る。
試料を処理するために使用され得る半導体処理システム(例えば、検査システムまたはリソグラフィシステム)のための様々な実施形態が本明細書に記載される。用語「試料」は本明細書において、ウエハ、レチクル、または当技術分野で知られている手段により処理(例えばプリントもしくは欠陥検査)され得る任意の他のサンプルを指すように用いられる。
本明細書で使用される場合、用語「ウエハ」は一般に、半導体または非半導体の材料で形成された基板を指す。例としては、限定するものではないが、単結晶のシリコン、ヒ化ガリウム、およびリン化インジウムが挙げられる。そのような基板は、半導体製造施設において一般に見られ得るおよび/または処理され得る。場合によっては、ウエハは基板しか含まない場合がある(すなわちベアウエハ)。別法として、ウエハは、基板上に形成された様々な材料の1つ以上の層を含み得る。ウエハ上に形成される1つ以上の層に「パターン形成する」ことまたは「パターン形成しない」ことが可能である。例えば、ウエハは、繰り返し可能なパターン特徴を有する複数のダイを含み得る。
「レチクル」は、レチクル製造プロセスの任意の段におけるレチクルであっても、半導体製造施設において使用するために出荷されてもされなくてもよい、完成したレチクルであってよい。レチクル、または「マスク」は一般に、実質的に不透明の領域が表面に形成されパターンに構成されている、実質的に透明の基板として定義される。この基板は例えば、非晶質SiOなどのガラス材料を含み得る。レチクルは、レチクル上のパターンをレジストに転写することができるように、リソグラフィ工程の露光ステップ中にレジストで被覆したウエハの上方に配設することができる。
ウエハ上に形成される1つ以上の層にパターン形成することまたはパターン形成しないことが可能である。例えば、ウエハは、繰り返し可能なパターン特徴を各々有する、複数のダイを含み得る。そのような材料の層の形成および処理の結果、最終的に完成したデバイスとなり得る。ウエハ上には多くの異なる種類のデバイスを形成することができ、本明細書で使用されるウエハという用語は、当技術分野で知られている任意の種類のデバイスが表面に製造されているウエハを包含することが意図されている。
1つ以上の例示的な実施形態では、記載される機能を、ハードウェア、ソフトウェア、ファームウェア、またはこれらの任意の組合せにおいて実装することができる。ソフトウェアにおいて実装される場合、機能は、コンピュータ可読媒体に格納され得るか、またはコンピュータ可読媒体上の1つ以上の命令またはコードとして伝送され得る。コンピュータ可読媒体は、コンピュータ記憶媒体、およびある場所から別の場所へのコンピュータプログラムの移送を容易にする任意の媒体を含む通信媒体の、両方を含む。記憶媒体は、汎用または専用コンピュータがアクセス可能な任意の利用可能な媒体であってよい。限定ではなく例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROMまたは他の光学ディスク記憶装置、磁気ディスク記憶装置または他の磁気記憶デバイス、あるいは、命令またはデータ構造の形態で所望のプログラムコード手段を搬送または格納するために使用でき、汎用もしくは専用コンピュータまたは汎用もしくは専用プロセッサがアクセス可能な、任意の他の媒体を含み得る。また、任意の接続をコンピュータ可読媒体と呼称しても差し支えない。例えば、ソフトウェアがウェブサイト、サーバ、または他の遠隔ソースから同軸ケーブル、光ファイバケーブル、ツイストペア、デジタル加入者線(DSL)、または赤外、無線、およびマイクロ波などのワイヤレス技術を用いて伝送される場合には、それらの同軸ケーブル、光ファイバケーブル、ツイストペア、DSL、または赤外、無線、およびマイクロ波などのワイヤレス技術は、媒体の定義に含まれる。本明細書で使用されるディスク(disk)およびディスク(disc)は、コンパクトディスク(disc)(CD)、レーザディスク(disc)、XRFディスク(disc)、デジタル多用途ディスク(disc)(DVD)、フロッピーディスク(disk)、およびブルーレイ(登録商標)ディスク(disc)を含み、この場合、ディスク(disk)は通常データを磁気的に再生し、一方ディスク(disc)はデータをレーザで光学的に再生する。上記の組合せも、コンピュータ可読媒体の範囲に含めるべきである。
特定の具体的な実施形態が教示の目的で上記されるが、本特許文書の教示は一般的適用可能性を有しており、上記の具体的な実施形態に限定されない。したがって、記載された実施形態の様々な特徴の様々な変形、適合、および組合せは、特許請求の範囲に明記された本発明の範囲から逸脱することなく実施され得る。

Claims (18)

  1. 計測システムであって、
    半導体構造を、1つ以上の測定部位の各々において、前記1つ以上の測定部位の各々に関連する初期測定シーケンスの測定値より少ない測定値を含む初期測定シーケンスのサブセットの各々に従って、x線放射で照射するように構成されている、x線照射サブシステムと、
    前記x線照射サブシステムが提供する前記照射に応答して、前記1つ以上の測定部位の各々における前記初期測定シーケンスの前記サブセットの前記測定に関連する第1の量の測定データを検出するように構成されている、x線検出器と、
    演算システムであって、
    前記1つ以上の測定部位の各々に配設されている前記半導体構造を特徴付ける目的のパラメータの初期値を推定し、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の前記初期測定シーケンスを判定し、前記初期測定シーケンスの各測定は前記計測システムの構成を定義する1つ以上の計測システムパラメータの異なる値によって特徴付けられ、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第1の更新値を、前記1つ以上の測定部位の各々に関連する前記第1の量の測定データに基づいて推定し、ここにおいて、前記1つ以上の測定部位の1つの測定部位における半導体構造を特徴付ける目的のパラメータの第1の更新値を推定することが、前記1つ以上の測定部位の複数における測定値に関連する測定データの第1の量に基づいており、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の第1の更新された測定シーケンスを、前記第1の量の測定データに基づいて判定し、前記更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる
    ように構成されている、演算システムと、
    を備える、計測システム。
  2. 請求項1に記載の計測システムであって、前記x線照射サブシステムは、前記半導体構造を、1つ以上の測定部位の各々において、前記1つ以上の測定部位の各々に関連する前記第1の更新された測定シーケンスのサブセットの各々に従って、x線放射で照射し、前記x線検出器は、前記x線照射サブシステムが提供する前記照射に応答して、前記1つ以上の測定部位の各々における前記第1の更新された測定シーケンスの前記サブセットの前記測定に関連する第2の量の測定データを検出し、前記演算システムは更に、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の前記第1の更新された測定シーケンスに基づいて、前記目的のパラメータの値の推定に関連する測定不確実性を推定し、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第2の更新値を、前記第2の量の測定データに基づいて推定し、
    前記計測システムによる前記半導体構造の第2の更新された測定シーケンスを判定し、前記第2の更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられるように構成されている、
    計測システム。
  3. 請求項1に記載の計測システムであって、前記1つ以上の測定部位のうちの1つにおける前記初期測定シーケンスは、前記1つ以上の測定部位のうちの別の1つにおける前記初期測定シーケンスとは異なっている、計測システム。
  4. 請求項1に記載の計測システムであって、前記1つ以上の測定部位のうちの1つにおける前記初期測定シーケンスは、前記1つ以上の測定部位のうちの別の1つにおける前記初期測定シーケンスと同じである、計測システム。
  5. 請求項1に記載の計測システムであって、前記1つ以上の測定部位の各々に関連する前記初期測定シーケンスの前記サブセットは、1回の測定である、計測システム。
  6. 請求項1に記載の計測システムであって、前記第1の更新された測定シーケンスの前記判定は、測定不確実性、測定時間、移動時間、標的線量、またはこれらの任意の組合せの最適化を含む、計測システム。
  7. 請求項1に記載の計測システムであって、ある測定部位における前記半導体構造の前記照射は、第2の測定部位における前記第1の更新された測定シーケンスの前記判定と同時に行われる、計測システム。
  8. 請求項1に記載の計測システムであって、第1の測定部位における前記第1の更新された測定シーケンスの前記判定は、第2の測定部位における前記半導体構造の前記照射の前に行われる、計測システム。
  9. 1つ以上の測定部位に配設されている半導体構造を特徴付ける目的のパラメータの初期値を推定することと、
    前記1つ以上の測定部位の各々における計測システムによる前記半導体構造の初期測定シーケンスを判定することであって、前記初期測定シーケンスの各測定は1つ以上の計測システムパラメータの異なる値によって特徴付けられる、判定することと、
    前記1つ以上の測定部位の各々に関連する前記初期測定シーケンスの測定値より少ない測定値を含む初期測定シーケンスのサブセットの各々に従って、前記1つ以上の測定部位の各々において前記半導体構造を照射することと、
    前記照射に応答して、前記1つ以上の測定部位の各々における前記初期測定シーケンスの前記サブセットの前記測定に関連する第1の量の測定データを検出することと、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第1の更新値を、前記1つ以上の測定部位の各々に関連する前記第1の量の測定データに基づいて推定することであって、ここにおいて、前記1つ以上の測定部位の1つの測定部位における半導体構造を特徴付ける目的のパラメータの第1の更新値を推定することが、前記1つ以上の測定部位の複数における測定値に関連する測定データの第1の量に基づいている、推定することと、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の第1の更新された測定シーケンスを前記第1の量の測定データに基づいて判定することであって、前記更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる、判定することと、
    を含む、方法。
  10. 請求項に記載の方法であって、前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の前記第1の更新された測定シーケンスに基づいて、前記目的のパラメータの値の推定に関連する測定不確実性を推定することと、
    前記測定不確実性が所定の閾値未満である場合に、前記1つ以上の測定部位の各々に関連する前記第1の更新された測定シーケンスのサブセットの各々に従って、前記1つ以上の測定部位の各々において前記半導体構造を照射することと、
    前記照射に応答して、前記1つ以上の測定部位の各々における前記第1の更新された測定シーケンスの前記サブセットの前記測定の各々に関連する第2の量の測定データを検出することと、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第2の更新値を、前記第2の量の測定データに基づいて推定することと、
    前記計測システムによる前記半導体構造の第2の更新された測定シーケンスを判定することであって、前記第2の更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる、判定することと、
    を更に含む、方法。
  11. 請求項に記載の方法であって、前記1つ以上の測定部位のうちの1つにおける前記初期測定シーケンスは、前記1つ以上の測定部位のうちの別の1つにおける前記初期測定シーケンスとは異なっている、方法。
  12. 請求項に記載の方法であって、前記1つ以上の測定部位のうちの1つにおける前記初期測定シーケンスは、前記1つ以上の測定部位のうちの別の1つにおける前記初期測定シーケンスと同じである、方法。
  13. 請求項に記載の方法であって、前記1つ以上の測定部位の各々に関連する前記初期測定シーケンスの前記サブセットは、1回の測定である、方法。
  14. 請求項に記載の方法であって、前記第1の更新された測定シーケンスの前記判定は、測定不確実性、測定時間、移動時間、標的線量、またはこれらの任意の組合せの最適化を含む、方法。
  15. 請求項に記載の方法であって、ある測定部位における前記半導体構造の前記照射は、第2の測定部位における前記第1の更新された測定シーケンスの前記判定と同時に行われる、方法。
  16. 請求項に記載の方法であって、第1の測定部位における前記第1の更新された測定シーケンスの前記判定は、第2の測定部位における前記半導体構造の前記照射の前に行われる、方法。
  17. 計測システムであって、
    半導体構造を、1つ以上の測定部位の各々において、前記1つ以上の測定部位の各々に関連する初期測定シーケンスの測定値より少ない測定値を含む初期測定シーケンスのサブセットの各々に従って、x線放射で照射するように構成されている、x線照射サブシステムと、
    前記x線照射サブシステムが提供する前記照射に応答して、前記1つ以上の測定部位の各々における前記初期測定シーケンスの前記サブセットの前記測定に関連する第1の量の測定データを検出するように構成されている、x線検出器と、
    演算システムによって実行されると前記演算システムに、
    前記1つ以上の測定部位の各々に配設されている前記半導体構造を特徴付ける目的のパラメータの初期値を推定させ、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の前記初期測定シーケンスを判定させ、前記初期測定シーケンスの各測定は前記計測システムの構成を定義する1つ以上の計測システムパラメータの異なる値によって特徴付けられ、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第1の更新値を、前記1つ以上の測定部位の各々に関連する前記第1の量の測定データに基づいて推定させ、ここにおいて、前記1つ以上の測定部位の1つの測定部位における半導体構造を特徴付ける目的のパラメータの第1の更新値を推定することが、前記1つ以上の測定部位の複数における測定値に関連する測定データの第1の量に基づいており
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の第1の更新された測定シーケンスを前記第1の量の測定データに基づいて10判定させ、前記更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる
    ように構成されている、命令、を備える、非一時的コンピュータ可読媒体と、
    を備える、計測システム。
  18. 請求項1に記載の計測システムであって、前記x線照射サブシステムは、前記半導体構造を、1つ以上の測定部位の各々において、前記1つ以上の測定部位の各々に関連する前記第1の更新された測定シーケンスのサブセットの各々に従って、x線放射で照射し、前記x線検出器は、前記x線照射サブシステムが提供する前記照射に応答して、前記1つ以上の測定部位の各々における前記第1の更新された測定シーケンスの前記サブセットの前記測定に関連する第2の量の測定データを検出し、前記非一時的コンピュータ可読媒体は、演算システムによって実行されると前記演算システムに、
    前記1つ以上の測定部位の各々における前記計測システムによる前記半導体構造の前記第1の更新された測定シーケンスに基づいて、前記目的のパラメータの値の推定に関連する測定不確実性を推定させ、
    前記1つ以上の測定部位の各々における前記半導体構造を特徴付ける前記目的のパラメータの第2の更新値を、前記第2の量の測定データに基づいて推定させ、
    前記計測システムによる前記半導体構造の第2の更新された測定シーケンスを判定させ、前記第2の更新された測定シーケンスの各測定は、1つ以上の計測システムパラメータの異なる値によって特徴付けられる
    ように構成されている、命令を更に備える
    計測システム。
JP2020549604A 2018-03-20 2019-03-19 リアルタイム測定制御のための方法およびシステム Active JP7317849B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862645721P 2018-03-20 2018-03-20
US62/645,721 2018-03-20
US16/278,078 2019-02-16
US16/278,078 US11519869B2 (en) 2018-03-20 2019-02-16 Methods and systems for real time measurement control
PCT/US2019/022839 WO2019183011A1 (en) 2018-03-20 2019-03-19 Methods and systems for real time measurement control

Publications (2)

Publication Number Publication Date
JP2021518533A JP2021518533A (ja) 2021-08-02
JP7317849B2 true JP7317849B2 (ja) 2023-07-31

Family

ID=67983907

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020549604A Active JP7317849B2 (ja) 2018-03-20 2019-03-19 リアルタイム測定制御のための方法およびシステム

Country Status (6)

Country Link
US (1) US11519869B2 (ja)
JP (1) JP7317849B2 (ja)
KR (1) KR102684033B1 (ja)
CN (1) CN111819675B (ja)
TW (1) TWI809061B (ja)
WO (1) WO2019183011A1 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control
US11002693B2 (en) * 2019-07-09 2021-05-11 Scienta Omicron Ab Hard X-ray photoelectron spectroscopy system
US11520321B2 (en) 2019-12-02 2022-12-06 Kla Corporation Measurement recipe optimization based on probabilistic domain knowledge and physical realization
US11610297B2 (en) 2019-12-02 2023-03-21 Kla Corporation Tomography based semiconductor measurements using simplified models
US11513085B2 (en) 2020-02-20 2022-11-29 Kla Corporation Measurement and control of wafer tilt for x-ray based metrology
US11761913B2 (en) * 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
US11530913B2 (en) 2020-09-24 2022-12-20 Kla Corporation Methods and systems for determining quality of semiconductor measurements
US12013355B2 (en) * 2020-12-17 2024-06-18 Kla Corporation Methods and systems for compact, small spot size soft x-ray scatterometry
CN115602514A (zh) * 2021-07-08 2023-01-13 长鑫存储技术有限公司(Cn) 一种样品旋转系统及方法
US12085522B2 (en) 2021-07-08 2024-09-10 Changxin Memory Technologies, Inc. Sample rotation system and method
CN113761454B (zh) * 2021-08-18 2024-01-26 苏州西热节能环保技术有限公司 一种基于不确定度的垃圾热值测试系统及优化方法
US12085515B2 (en) 2021-08-25 2024-09-10 Kla Corporation Methods and systems for selecting wafer locations to characterize cross-wafer variations based on high-throughput measurement signals
US12019030B2 (en) 2022-01-18 2024-06-25 Kla Corporation Methods and systems for targeted monitoring of semiconductor measurement quality
CN117592381B (zh) * 2024-01-18 2024-05-17 中国船舶集团有限公司第七〇七研究所 一种大气波导参数反演模型训练方法、装置、设备及介质

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011117894A (ja) 2009-12-07 2011-06-16 Toshiba Corp 基板計測方法
JP2011203061A (ja) 2010-03-25 2011-10-13 Toshiba Corp パターン計測方法およびパターン計測装置
US20160202193A1 (en) 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20170102623A1 (en) 2015-10-12 2017-04-13 Asml Netherlands B.V. Methods and Apparatus for Simulating Interaction of Radiation with Structures, Metrology Methods and Apparatus, Device Manufacturing Method

Family Cites Families (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5608526A (en) 1995-01-19 1997-03-04 Tencor Instruments Focused beam spectroscopic ellipsometry method and system
US6734967B1 (en) 1995-01-19 2004-05-11 Kla-Tencor Technologies Corporation Focused beam spectroscopic ellipsometry method and system
US5859424A (en) 1997-04-08 1999-01-12 Kla-Tencor Corporation Apodizing filter system useful for reducing spot size in optical measurements and other applications
JP2001266142A (ja) * 2000-01-13 2001-09-28 Nikon Corp データ分類方法及びデータ分類装置、信号処理方法及び信号処理装置、位置検出方法及び位置検出装置、画像処理方法及び画像処理装置、露光方法及び露光装置、並びにデバイス製造方法
US6429943B1 (en) 2000-03-29 2002-08-06 Therma-Wave, Inc. Critical dimension analysis with simultaneous multiple angle of incidence measurements
US20020190207A1 (en) 2000-09-20 2002-12-19 Ady Levy Methods and systems for determining a characteristic of micro defects on a specimen
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
US7280230B2 (en) * 2001-12-19 2007-10-09 Kla-Tencor Technologies Corporation Parametric profiling using optical spectroscopic systems
US6816570B2 (en) 2002-03-07 2004-11-09 Kla-Tencor Corporation Multi-technique thin film analysis tool
US7478019B2 (en) 2005-01-26 2009-01-13 Kla-Tencor Corporation Multiple tool and structure analysis
US7567351B2 (en) 2006-02-02 2009-07-28 Kla-Tencor Corporation High resolution monitoring of CD variations
US7526354B2 (en) 2006-07-10 2009-04-28 Tokyo Electron Limited Managing and using metrology data for process and equipment control
US7755764B2 (en) 2007-01-26 2010-07-13 Kla-Tencor Corporation Purge gas flow control for high-precision film measurements using ellipsometry and reflectometry
US7907264B1 (en) 2007-09-07 2011-03-15 Kla-Tencor Corporation Measurement of thin film porosity
US7929667B1 (en) 2008-10-02 2011-04-19 Kla-Tencor Corporation High brightness X-ray metrology
US9228943B2 (en) 2011-10-27 2016-01-05 Kla-Tencor Corporation Dynamically adjustable semiconductor metrology system
US10255385B2 (en) * 2012-03-28 2019-04-09 Kla-Tencor Corporation Model optimization approach based on spectral sensitivity
US10801975B2 (en) 2012-05-08 2020-10-13 Kla-Tencor Corporation Metrology tool with combined X-ray and optical scatterometers
US8843875B2 (en) * 2012-05-08 2014-09-23 Kla-Tencor Corporation Measurement model optimization based on parameter variations across a wafer
US10354929B2 (en) * 2012-05-08 2019-07-16 Kla-Tencor Corporation Measurement recipe optimization based on spectral sensitivity and process variation
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
WO2014027354A1 (en) * 2012-08-15 2014-02-20 Nova Measuring Instruments Ltd. Optical metrology for in-situ measurements
US9129715B2 (en) * 2012-09-05 2015-09-08 SVXR, Inc. High speed x-ray inspection microscope
WO2014062972A1 (en) 2012-10-18 2014-04-24 Kla-Tencor Corporation Symmetric target design in scatterometry overlay metrology
US9581430B2 (en) 2012-10-19 2017-02-28 Kla-Tencor Corporation Phase characterization of targets
US8860937B1 (en) 2012-10-24 2014-10-14 Kla-Tencor Corp. Metrology systems and methods for high aspect ratio and large lateral dimension structures
US10769320B2 (en) 2012-12-18 2020-09-08 Kla-Tencor Corporation Integrated use of model-based metrology and a process model
US9291554B2 (en) 2013-02-05 2016-03-22 Kla-Tencor Corporation Method of electromagnetic modeling of finite structures and finite illumination for metrology and inspection
US9826614B1 (en) * 2013-03-15 2017-11-21 Kla-Tencor Corporation Compac X-ray source for semiconductor metrology
US10101670B2 (en) 2013-03-27 2018-10-16 Kla-Tencor Corporation Statistical model-based metrology
US9875946B2 (en) 2013-04-19 2018-01-23 Kla-Tencor Corporation On-device metrology
US9915522B1 (en) 2013-06-03 2018-03-13 Kla-Tencor Corporation Optimized spatial modeling for optical CD metrology
US9383661B2 (en) 2013-08-10 2016-07-05 Kla-Tencor Corporation Methods and apparatus for determining focus
US10935893B2 (en) 2013-08-11 2021-03-02 Kla-Tencor Corporation Differential methods and apparatus for metrology of semiconductor targets
US9846132B2 (en) 2013-10-21 2017-12-19 Kla-Tencor Corporation Small-angle scattering X-ray metrology systems and methods
US9885962B2 (en) 2013-10-28 2018-02-06 Kla-Tencor Corporation Methods and apparatus for measuring semiconductor device overlay using X-ray metrology
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
CN106796900B (zh) * 2014-10-03 2020-11-06 科磊股份有限公司 验证计量目标及其设计
WO2016124345A1 (en) * 2015-02-04 2016-08-11 Asml Netherlands B.V. Metrology method, metrology apparatus and device manufacturing method
US10545104B2 (en) * 2015-04-28 2020-01-28 Kla-Tencor Corporation Computationally efficient X-ray based overlay measurement
US10030965B2 (en) * 2015-05-08 2018-07-24 Kla-Tencor Corporation Model-based hot spot monitoring
US10502692B2 (en) 2015-07-24 2019-12-10 Kla-Tencor Corporation Automated metrology system selection
US10380728B2 (en) * 2015-08-31 2019-08-13 Kla-Tencor Corporation Model-based metrology using images
US20200025554A1 (en) * 2015-12-08 2020-01-23 Kla-Tencor Corporation System, method and computer program product for fast automatic determination of signals for efficient metrology
US10352695B2 (en) * 2015-12-11 2019-07-16 Kla-Tencor Corporation X-ray scatterometry metrology for high aspect ratio structures
JP6999268B2 (ja) * 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
WO2017146785A1 (en) * 2016-02-25 2017-08-31 Kla-Tencor Corporation Analyzing root causes of process variation in scatterometry metrology
WO2017186483A1 (en) * 2016-04-29 2017-11-02 Asml Netherlands B.V. Method and apparatus for determining the property of a structure, device manufacturing method
KR20190015553A (ko) * 2016-06-09 2019-02-13 에이에스엠엘 네델란즈 비.브이. 계측 장치
EP3279735A1 (en) 2016-08-01 2018-02-07 ASML Netherlands B.V. Metrology method and apparatus, computer program and lithographic system
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US11519869B2 (en) * 2018-03-20 2022-12-06 Kla Tencor Corporation Methods and systems for real time measurement control

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011117894A (ja) 2009-12-07 2011-06-16 Toshiba Corp 基板計測方法
JP2011203061A (ja) 2010-03-25 2011-10-13 Toshiba Corp パターン計測方法およびパターン計測装置
US20160202193A1 (en) 2015-01-14 2016-07-14 Kla-Tencor Corporation Measurement System Optimization For X-Ray Based Metrology
US20170102623A1 (en) 2015-10-12 2017-04-13 Asml Netherlands B.V. Methods and Apparatus for Simulating Interaction of Radiation with Structures, Metrology Methods and Apparatus, Device Manufacturing Method

Also Published As

Publication number Publication date
WO2019183011A1 (en) 2019-09-26
US20190293578A1 (en) 2019-09-26
KR20200123848A (ko) 2020-10-30
US11519869B2 (en) 2022-12-06
TWI809061B (zh) 2023-07-21
JP2021518533A (ja) 2021-08-02
KR102684033B1 (ko) 2024-07-11
CN111819675B (zh) 2024-04-12
TW201945726A (zh) 2019-12-01
CN111819675A (zh) 2020-10-23

Similar Documents

Publication Publication Date Title
JP7317849B2 (ja) リアルタイム測定制御のための方法およびシステム
JP7210460B2 (ja) X線スキャトロメトリシステムのフルビーム計測
KR102363266B1 (ko) 고 애스펙트비 구조체에 대한 x 선 산란측정법 계측
KR102184603B1 (ko) 송신, 소형 각도 x선 스캐터로메트리의 작은 스폿 크기를 위한 빔 성형 슬릿
TWI649536B (zh) 用於以散射術量測為基礎之成像及關鍵尺寸度量之度量方法、度量系統及非暫時性電腦可讀媒體
JP7431969B2 (ja) 簡略化モデルを用いるトモグラフィ依拠半導体計測
WO2023129279A1 (en) Methods and systems for regularizing the optimization of application specific semiconductor measurement system parameter settings

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220301

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230719

R150 Certificate of patent or registration of utility model

Ref document number: 7317849

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150