JP7122386B2 - 低解像度画像における欠陥検出のためのニューラルネットワークのトレーニング - Google Patents

低解像度画像における欠陥検出のためのニューラルネットワークのトレーニング Download PDF

Info

Publication number
JP7122386B2
JP7122386B2 JP2020549565A JP2020549565A JP7122386B2 JP 7122386 B2 JP7122386 B2 JP 7122386B2 JP 2020549565 A JP2020549565 A JP 2020549565A JP 2020549565 A JP2020549565 A JP 2020549565A JP 7122386 B2 JP7122386 B2 JP 7122386B2
Authority
JP
Japan
Prior art keywords
images
defects
neural network
low
specimen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020549565A
Other languages
English (en)
Other versions
JP2021518597A (ja
Inventor
クリス バスカー
ローラン カルセンティ
ブラドリー リース
レナ ニコライデス
リチャード ヨウ
スティーブン ヒーバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Corp filed Critical KLA Corp
Publication of JP2021518597A publication Critical patent/JP2021518597A/ja
Application granted granted Critical
Publication of JP7122386B2 publication Critical patent/JP7122386B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/08Learning methods
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T7/00Image analysis
    • G06T7/0002Inspection of images, e.g. flaw detection
    • G06T7/0004Industrial image inspection
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/214Generating training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F18/00Pattern recognition
    • G06F18/20Analysing
    • G06F18/21Design or setup of recognition systems or techniques; Extraction of features in feature space; Blind source separation
    • G06F18/217Validation; Performance evaluation; Active pattern learning techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N3/00Computing arrangements based on biological models
    • G06N3/02Neural networks
    • G06N3/04Architecture, e.g. interconnection topology
    • G06N3/045Combinations of networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/764Arrangements for image or video recognition or understanding using pattern recognition or machine learning using classification, e.g. of video objects
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/774Generating sets of training patterns; Bootstrap methods, e.g. bagging or boosting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/776Validation; Performance evaluation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/77Processing image or video features in feature spaces; using data integration or data reduction, e.g. principal component analysis [PCA] or independent component analysis [ICA] or self-organising maps [SOM]; Blind source separation
    • G06V10/778Active pattern-learning, e.g. online learning of image or video features
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V10/00Arrangements for image or video recognition or understanding
    • G06V10/70Arrangements for image or video recognition or understanding using pattern recognition or machine learning
    • G06V10/82Arrangements for image or video recognition or understanding using pattern recognition or machine learning using neural networks
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/1916Validation; Performance evaluation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/19Recognition using electronic means
    • G06V30/191Design or setup of recognition systems or techniques; Extraction of features in feature space; Clustering techniques; Blind source separation
    • G06V30/19173Classification techniques
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V30/00Character recognition; Recognising digital ink; Document-oriented image-based pattern recognition
    • G06V30/10Character recognition
    • G06V30/24Character recognition characterised by the processing or recognition method
    • G06V30/248Character recognition characterised by the processing or recognition method involving plural approaches, e.g. verification by template match; Resolving confusion among similar patterns, e.g. "O" versus "Q"
    • G06V30/2504Coarse or fine approaches, e.g. resolution of ambiguities or multiscale approaches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20081Training; Learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/20Special algorithmic details
    • G06T2207/20084Artificial neural networks [ANN]
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06TIMAGE DATA PROCESSING OR GENERATION, IN GENERAL
    • G06T2207/00Indexing scheme for image analysis or image enhancement
    • G06T2207/30Subject of image; Context of image processing
    • G06T2207/30108Industrial image inspection
    • G06T2207/30148Semiconductor; IC; Wafer
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06VIMAGE OR VIDEO RECOGNITION OR UNDERSTANDING
    • G06V2201/00Indexing scheme relating to image or video recognition or understanding
    • G06V2201/06Recognition of objects for industrial automation

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Evolutionary Computation (AREA)
  • Multimedia (AREA)
  • Artificial Intelligence (AREA)
  • Health & Medical Sciences (AREA)
  • Computing Systems (AREA)
  • General Health & Medical Sciences (AREA)
  • Software Systems (AREA)
  • Databases & Information Systems (AREA)
  • Data Mining & Analysis (AREA)
  • Medical Informatics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Quality & Reliability (AREA)
  • Manufacturing & Machinery (AREA)
  • Molecular Biology (AREA)
  • Mathematical Physics (AREA)
  • Biomedical Technology (AREA)
  • Biophysics (AREA)
  • Computational Linguistics (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Evolutionary Biology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Or Analyzing Materials By The Use Of Magnetic Means (AREA)
  • Image Analysis (AREA)

Description

本発明は一般的に、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングする方法及びシステムに関する。
以下の記述及び例は、本セクションにそれらを含めたことによって従来技術であると認められるものではない。
ロジック及びメモリデバイスのような半導体デバイスの製造は典型的に、多数の半導体製造プロセスを使用して半導体ウエハのような基板を処理し、半導体デバイスの様々な形状及び複数のレベルを形成することを含む。例えば、リソグラフィーは、レチクルから半導体ウエハ上に配置されたレジストへパターンを転写することを伴う半導体製造プロセスである。半導体製造プロセスの付加的な例は、化学的機械的研磨(CMP)、エッチング、堆積、及びイオン注入を含むが、これらに限定されるものではない。複数の半導体デバイスが、単一の半導体ウエハ上の配列に製造され且つその後に個別の半導体デバイスに分離され得る。
検査プロセスが、ウエハ上の欠陥を検出して製造プロセスにおけるより高い歩留まり、且つこれによってより高い利益を得るために、半導体製造プロセスの間の様々なステップで使用される。検査は常に、半導体デバイスの製造の重要な一部である。しかし、半導体デバイスの寸法が小さくなるにつれて、より小さな欠陥がデバイスを故障させる原因になることがあるので、検査は、許容可能な半導体デバイスの首尾良い製造にとって、より重要になってさえいる。
米国特許出願公開第2018/0075594号 米国特許出願公開第2015/0262038号
検査方法は、事実上20年以上にわたって変わっていない。検査の解決策は、本質的に以下の特性を有する:ユーザが物理的欠陥に対する問題を特定し且つ確かめることを許容する実質的に低速電子ビームタイプのシステム、及び別個に、ウエハ全体をカバーするが、しばしば単一層の検査に限定される実質的に高速だが粗い光学式検査器。これら2つのシステムは、典型的には別個である。いくつかの検査システムは、走査型低解像度センサと同じシステムに高解像度カメラを有するが、それらは、グランドツルース情報を提供するためにお互いに影響力を影響し合うように有効に一体化されていない。
従来の(193nmにおける)リソグラフィーのスケーリングは、進展が遅くなっている。加えて、極紫外(EUV)に基づくスケーリングは、進展はしているものの、ゆっくりと生じている。無人運転車、センサ、深層学習(DL)トレーニング及び推論は、スケーリングに頼る代わりに、計算アーキテクチャへの新しいフォーカスをもたらす結果となっている。一例として、高性能の計算(HPC)及びDLシステムの両方に対して、全体のシステム性能は、メモリと中央処理ユニット(CPU)ロジックとが密に接近していることから恩恵を得ている。そのため、計算機アーキテクトは、チップ間の相互接続、ウエハスケールの集積など、及び再分散層(RDL)に、よりフォーカスしている。これらの層はしばしば再構成されたダイスであり、これより、現時点で使用されている位置合わせ及び減算欠陥検出方法は、そのような層に対する検査方法として失敗する。現時点で使用されているセグメンテーション技法もまた、対象の欠陥(DOI)と比較されるニューサンスの量が顕著に高いために、困難になっている。
RDL層に対して、ニューサンスを抑制するための光学モードの選択は、何が生じているかをユーザからの入力を使って高解像度カメラで手作業により試験することによってモード選択が行われるので、しばしば2週間を要する。ニューサンスレートが100,00~100万の範囲になることがあるにも関わらず、典型的なウエハは、DOIを表すイベントを10~20しか含まないことがある。それゆえ、RDL層の検査のために光学モードを選択するための現時点での方法は、法外に長い時間を要する。加えて、RDL層の検査のために光学モードを選択及び設定するために利用可能なDOIが、特にニューサンスに比べて不足していることが、光学モードの選択のために必要とされる時間をさらに増すことがある。さらに、光学モードの選択のために利用可能なDOIの数が限られていることが、最適ではない光学モードパラメータがRDL層の検査のために選択される結果をもたらすことがあり、そのことが、そのような検査の性能能力を減らすことがある。
したがって、低解像度画像における欠陥検出のための、上述の欠点の一つ又はそれ以上を有さないニューラルネットワークをトレーニングするためのシステム及び方法の開発が有益である。
様々な実施形態の以下の記述は、添付の特許請求項の主題を、いかなるかたちでも制限するものとみなされるべきではない。
一つの実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするように構成されたシステムに関する。このシステムは、高解像度イメージングサブシステムと低解像度イメージングサブシステムとを含む検査ツールを含む。高及び低解像度イメージングサブシステムは、少なくともエネルギー源と検出器とを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成されている。検出器は、試料からのエネルギーを検出し且つ検出されたエネルギーに応答した画像を生成するように構成されている。
システムはまた、高及び低解像度イメージングサブシステムによって生成された試料の画像を獲得するように構成された一つ又はそれ以上のコンピュータサブシステムを含む。加えて、システムは、一つ又はそれ以上のコンピュータサブシステムによって実行される一つ又はそれ以上の構成要素を含む。この構成要素は、高解像度ニューラルネットワークと低解像度ニューラルネットワークとを含む。
一つ又はそれ以上のコンピュータサブシステムは、欠陥画像のトレーニングセットを生成するように構成されている。欠陥画像の少なくとも一つが、高解像度イメージングサブシステムによって生成された画像の少なくとも一つを使用して、高解像度ニューラルネットワークによって合成的に生成される。コンピュータサブシステムはさらに、欠陥画像のトレーニングセットを入力として使用して低解像度ニューラルネットワークをトレーニングするように構成されている。コンピュータサブシステムはまた、低解像度イメージングサブシステムによって他の試料に対して生成された画像をトレーニングされた低解像度ニューラルネットワークに入力することによって、他の試料で欠陥を検出するようにも構成されている。システムはさらに、ここで記述されるように構成され得る。
他の実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするコンピュータ具現化可能な方法に関する。この方法は、上記のように構成されている検査ツールの高及び低解像度イメージングサブシステムで試料に対する画像を生成するステップを含む。一つ又はそれ以上の構成要素は一つ又はそれ以上のコンピュータサブシステムによって実行され、この一つ又はそれ以上の構成要素は、高解像度ニューラルネットワークと低解像度ニューラルネットワークとを含む。この方法は、上記で記述された生成、トレーニング、及び検出ステップを含む。生成、トレーニング、及び検出ステップは、一つ又はそれ以上のコンピュータシステムによって実行される。
上述された方法のステップの各々は、ここでさらに記述されるように、さらに実行され得る。加えて、上述された方法の実施形態は、ここで記述される任意の他の方法の任意の他のステップを含み得る。さらに、上述された方法は、ここで記述されるシステムのいずれかによって実行され得る。
他の実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするコンピュータ具現化可能な方法を実行するために一つ又はそれ以上のコンピュータシステム上で実行可能なプログラム指令を記憶している非一時的コンピュータ読み取り可能媒体に関する。コンピュータ具現化可能な方法は、上述された方法のステップを含む。コンピュータ読み取り可能媒体はさらに、ここで記述されるようにさらに構成され得る。コンピュータ具現化可能な方法のステップは、ここでさらに記述されるように実行され得る。加えて、プログラム指令がそれに対して実行可能なコンピュータ具現化可能な方法は、ここで記述される任意の他の方法の任意の他のステップを含み得る。
本発明のさらなる効果は、好適な実施形態の以下の詳細な記述の恩恵によって且つ添付の図面の参照によって、当業者に明らかになるであろう。
ここで記述されたように構成されたあるシステムの実施形態の側面図を描いている模式図である。 ここで記述されたように構成されたあるシステムの実施形態の側面図を描いている模式図である。 ここで記述された実施形態によって実行され得るステップを描いている流れ図である。 ここで記述されたコンピュータ具現化可能な方法をコンピュータシステムに実行させるためのプログラム指令を記憶している非一時的なコンピュータ読み取り可能媒体の一つの実施形態を描いているブロック図である。
本発明は、様々な改変及び代替的な形態が可能であるが、その特定の実施形態が、図面にて例として示され且つここで詳細に記述される。図面は、一定の縮尺ではないかもしれない。しかし、図面及びそれについての詳細な記述が本発明を開示されている特定の形態に限定することは意図されておらず、その逆に、本発明が、添付の特許請求項によって規定される本発明の思想及び範囲内に入る全ての改変、等価物、及び代替物をカバーするものであることが、理解されるべきである。
ここで相互交換可能に使われているように、「設計」「設計データ」及び「設計情報」という用語は一般的に、IC又は他の半導体デバイスの物理的な設計(レイアウト)、ならびに複雑なシミュレーション又は単純な幾何学的及びブール操作を通した物理的設計から派生したデータを指す。加えて、レチクル検査システムによって獲得されたレチクルの画像、及び/又はその派生物は、設計に対する「プロキシ」又は「複数のプロキシ」として使用されることができる。そのようなレチクル画像又はその派生物は、設計を使用するここで記述される任意の実施形態において、設計レイアウトに対する代理としての役割を果たすことができる。設計は、共通に所有される2009年8月4日付けでザファーらに対して発行された米国特許第7,570,796号及び2010年3月9日付けでクルカルニらに対して発行された米国特許第7,676,077号に記述された任意の他の設計データ又は設計データプロキシを含み得る。これらの特許は両方ともに、あたかもここに完全に明らかにされているかのように参照によって援用される。加えて、設計データは、標準セルライブラリデータ、集積化レイアウトデータ、一つ又はそれ以上の層に対する設計データ、設計データの派生物、及び完全な又は部分的なチップ設計データであることができる。
加えて、ここで記述される「設計」「設計データ」及び「設計情報」は、設計プロセスにおいて半導体デバイス設計者によって生成され、それゆえに、レチクル又はウエハのような任意の物理的試料上への設計の印刷に十分に先立って、ここで記述される実施形態における使用のために利用可能である情報及びデータを指す。
ここで図面に移ると、図面が縮尺通りに示されていないことに留意されたい。特に、図面の要素のいくつかの縮尺は、要素の特性を強調するために、かなり誇張されている。図面が同じ縮尺で示されていないことにも留意されたい。一つより多くの図面に示された同様に構成され得る要素は、同じ参照番号を使用して示されている。そうではないとここで記載されていない限りは、記述され且つ示された要素のいずれもが、任意の適切な商業的に利用可能な要素を含み得る。
一つの実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするように構成されたシステムに関する。そのようなシステムの一つの実施形態が、図1に示されている。このシステムは、一つ又はそれ以上のコンピュータサブシステム(例えばコンピュータサブシステム36及び102)と、その一つ又はそれ以上のコンピュータサブシステムによって実行される一つ又はそれ以上の構成要素100と、を含む。一つ又はそれ以上の構成要素は、高解像度ニューラルネットワーク104及び低解像度ニューラルネットワーク106を含み、これらは、ここでさらに記述されるように構成される。
システムは、高解像度イメージングサブシステム及び低解像度イメージングサブシステムを含む検査ツール10を含む。いくつかの実施形態では、検査ツールは光学的検査ツールとして構成される。しかし、検査ツールは、ここでさらに記述される他のタイプの検査ツールとして構成され得る。
ここで使用される「低解像度」という用語は一般的に、試料上のパターン化された形状の全てが見分けられない解像度として定義される。例えば、試料上のパターン化された形状のいくつかは、それらのサイズがそれらを見分け可能にするほどに十分に大きければ、「低」解像度で見分けられ得る。しかし、低解像度は、ここで記述される試料上のパターン化された形状の全てを見分けられるようにはしない。このようにして、その用語がここで使用されている「低解像度」は、欠陥の分類及び/又は確認を含み得る欠陥レビュー、及び計測のような用途に対しては十分である試料上のパターン化された形状の情報を生成するためには、使われることができない。加えて、その用語がここで使用されている「低解像度イメージングサブシステム」は、一般的に、比較的高速なスループットを有するために比較的低い解像度(例えば、欠陥レビュー及び/又は計測システムより低い)を有するイメージングサブシステムを指す。このようにして、「低解像度画像」はまた一般に、高スループット又はHT画像とも称され得る。異なる種類のイメージングサブシステムが、低解像度のために構成され得る。例えば、より高いスループットで画像を生成するためにフレームのe/p及び数が低減され得て、それによって、より低クォリティの走査型電子顕微鏡(SEM)画像をもたらす結果となる。
「低解像度」はまた、ここで記述される「高解像度」よりも低いという「低解像度」であり得る。その用語がここで使用されている「高解像度」は一般的に、試料の全てのパターン化された形状が比較的高い精度で見分けられることができる解像度として定義されることができる。このようにして、試料上のパターン化された形状の全てが、それらのサイズにかかわらず、高解像度で見分けられることができる。そのため、その用語がここで使用されている「高解像度」は、欠陥の分類及び/又は確認を含み得る欠陥レビュー、及び計測のような用途での使用のために十分である試料上のパターン化された形状についての情報を生成するために、使われることができる。加えて、その用語がここで使用されている「高解像度」は、ルーチンの操作の間に検査システムによって一般的に使用されない解像度を指し、これは、増加したスループットに対する解像度能力を犠牲にするように構成される。「高解像度画像」はまた、当該技術では、「高クォリティ画像」に対する他の用語である「高感度画像」と称され得る。異なる種類のイメージングサブシステムが、高解像度のために構成され得る。例えば、高クォリティ画像を生成するために、e/p、フレームなどが増加され得て、これが高クォリティSEM画像を生成するが、スループットを顕著に下げる。これらの画像はそのときには、それらが高感度の欠陥検出のために使用されることができる点で、「高感度」画像である。
画像及びイメージングサブシステムとは対照的に、ニューラルネットワークはしばしば任意の特定の「解像度」を有するものとは分類されず、あるいはそのように言われない。その代わりに、高及び低解像度ニューラルネットワークという用語が、2つの異なるニューラルネットワークを識別するためにここで使用される。一つは、高感度画像のためにトレーニングされ且つ使用されるものであり、他方は、低感度画像のためにトレーニングされ且つ使用されるものである。言い換えると、高解像度ニューラルネットワークは、高解像度イメージングサブシステムによって生成された高解像度画像を入力として使用して、一つ又はそれ以上の機能(例えば欠陥検出)を実行するためにトレーニングされ且つ使用され得て、一方で、低解像度ニューラルネットワークは、低解像度イメージングサブシステムによって生成された低解像度画像を入力として使用して、一つ又はそれ以上の機能(例えば欠陥検出)を実行するためにトレーニングされ且つ使用され得る。それ以外では、高及び低解像度ニューラルネットワークは同様に又は異なって構成され得て、それらのパラメータは、ここでさらに記述される様々なステップによって決定され且つ設定される。
一つの実施形態では、試料はウエハである。ウエハは、当該技術で既知の任意のウエハを含み得る。いくつかの実施形態が、特にウエハに関してここで記述され得るが、ここで記述される実施形態のどれもがウエハに限定されないことは明らかである。
高及び低解像度イメージングサブシステムは、少なくともエネルギー源と検出器とを含む。エネルギー源は、試料に向けられるエネルギーを生成するように構成される。検出器は、試料からのエネルギーを検出し且つその検出されたエネルギーに応答して画像を生成するように構成される。高及び低解像度イメージングサブシステムの様々な構成が、ここでさらに記述される。
一般的に、高及び低解像度イメージングサブシステムは、検査ツールのいくつかの画像形成要素を共有し得るか、又は、検査ツールの画像形成要素を全く共有しないかもしれない。例えば、高及び低解像度イメージングサブシステムは、同じエネルギー源及び検出器を共有し得て、エネルギー源、検出器、及び/又は検査ツールの他の画像形成要素の一つ又はそれ以上のパラメータは、高解像度イメージングサブシステム又は低解像度イメージングサブシステムが試料の画像を生成しているかどうかに依存して、変えられ得る。他の例では、高及び低解像度イメージングサブシステムは、エネルギー源のような検査ツールの画像形成要素のいくつかを共有し得て、且つ別個の検出器のような他の非共有画像形成要素を有し得る。さらなる例では、高及び低解像度イメージングサブシステムは、共通の画像形成要素を有していないかもしれない。一つのそのような例では、高及び低解像度イメージングサブシステムは、各々がそれら自身のエネルギー源、検出器、及び他のイメージングサブシステムによって使用又は共有されない任意の他の画像形成要素を有し得る。
図1に示されるシステムの実施形態では、高解像度イメージングサブシステムは、試料12に光を向けるように構成された照射サブシステムを含む。照射サブシステムは少なくとも一つの光源を含む。例えば、図1に示されるように、照射サブシステムは光源14を含む。照射サブシステムは、一つ又はそれ以上の斜め角度及び/又は一つ又はそれ以上の垂直角度を含み得る一つ又はそれ以上の入射角で、光を試料に向けるように構成される。例えば、図1に示されるように、光源14からの光は、光学要素16を通ってビームスプリッタ18に向けられる。ビームスプリッタ18は、光学要素16からの光をレンズ20に向け、これがその光を垂直な入射角度で試料12にフォーカスさせる。入射角度は任意の適切な入射角度を含み得て、これは、例えば試料の特性に依存して変動し得る。
照射サブシステムは、異なるときに異なる入射角度で光を試料に向けるように構成され得る。例えば、検査ツールは、図1に示されるものとは異なる入射角度で光が試料に向けられることができるように、照射サブシステムの一つ又はそれ以上の要素の一つ又はそれ以上の特性を変えるように構成され得る。一つのそのような例では、検査ツールは、一つ又はそれ以上の開口(図示されず)を使用して、光がレンズ20から試料に向けられる角度を制御するように、構成され得る。
一つの実施形態では、光源14は広帯域光源を含み得る。このようにして、光源によって生成されて試料に向けられる光は、広帯域光を含み得る。しかし、光源は、レーザのような任意の他の適切な光源を含み得て、これは、当該技術で既知の任意の適切なレーザを組み得て、且つ当該技術で既知の任意の適切な波長で光を生成するように構成され得る。加えて、レーザは、単色又はほぼ単色である光を生成するように構成され得る。このようにして、レーザは狭帯域レーザであり得る。光源はまた、複数の離散的な波長又は帯域で光を生成する多色光源を含み得る。
ビームスプリッタ18からの光は、レンズ20によって試料12上にフォーカスされ得る。レンズ20は、図1では単一の屈折性光学要素として示されているが、実用上は、レンズ20が、組み合わせて光を試料にフォーカスする数多くの屈折性及び/又は反射性光学要素を含み得ることを理解されたい。高解像度イメージングサブシステムの照射サブシステムは、任意の他の適切な光学要素(図示されず)を含み得る。そのような光学要素の例は、限定されるものではないが、偏光構成要素、スペクトルフィルタ、空間フィルタ、反射性光学要素、アポダイザ、ビームスプリッタ、開口などを含み、これらは、当該技術で既知の任意のそのような適切な光学要素を含み得る。加えて、検査ツールは、イメージングのために使用される照射のタイプに基づいて、照射サブシステムの要素の一つ又はそれ以上を変えるように構成され得る。
高解像度イメージングサブシステムが、その照射サブシステムに一つの光源及び照射チャンネルを含むものとして上記で記述されているが、照射サブシステムは一つより多くの照射チャンネルを含み得て、照射チャンネルの一つは、図1に示されるように光源14、光学要素16、及びレンズ20を含み得て、照射チャンネルの他の一つ(図示されず)は、異なって構成され得るか又は同じであり得る同様の要素を含み得て、あるいは、少なくとも光源と、恐らくはここでさらに記述されるもののような一つ又はそれ以上の他の構成要素とを含み得る。異なる照射チャンネルからの光が同時に試料に向けられると、異なる照射チャンネルによって試料に向けられた光の一つ又はそれ以上の特性(例えば、波長、偏光、など)は、異なる照射チャンネルによる試料の照射から結果として得られる光が検出器でお互いに区別されることができるように、異なったものであり得る。
他の例では、照射サブシステムは一つの光源(例えば図1に示される光源14)のみを含み得て、その光源からの光が、照射サブシステムの一つ又はそれ以上の光学要素(図示されず)によって、(例えば波長、偏光などに基づいて)異なる経路に分けられ得る。異なる経路の各々の光は、それから試料に向けられ得る。複数の照射チャンネルが、同時に又は異なるタイミングで(例えば異なる照射チャンネルが連続して試料を照射するために使用されるとき)、光を試料に向けるように構成され得る。他の例では、同じ照射チャンネルが、異なるタイミングに異なる特性で光を試料に向けるように構成され得る。例えば、いくつかの例では、光学要素16はスペクトルフィルタとして構成され得て、スペクトルフィルタの特性が、異なる波長の光が異なるタイミングで試料に向けられることができるように、様々な異なる方法で(例えばスペクトルフィルタを交換することによって)変更されることができる。照射サブシステムは、異なる又は同じ特性を有する光を異なる又は同じ入射角度で連続して又は同時に向けるように、当該技術で既知の任意の他の適切な構成を有し得る。
検査ツールはまた、光を試料上で走査させるように構成された走査サブシステムを含み得る。例えば、検査ツールはステージ22を含み得て、イメージングの間、その上に試料12が配置される。走査サブシステムは、光が試料上を走査されることができるように試料を動かすように構成されることができる任意の適切な機械的及び/又はロボット式アセンブリ(ステージ22を含む)を含み得る。加えて、又は代替的に、検査ツールは、高解像度イメージングサブシステムの一つ又はそれ以上の光学要素が試料上での光の走査を実行するように、構成され得る。光は、蛇行した経路又はらせん状の経路のような任意の適切な様式で、試料上を走査され得る。
高解像度イメージングサブシステムはさらに、一つ又はそれ以上の検出チャンネルを含む。一つ又はそれ以上の検出チャンネルの少なくとも一つが、照射サブシステムによる試料の照射による試料からの光を検出し且つ検出された光に反応した出力を生成するように構成された検出器を含む。例えば、図1に示されている高解像度イメージングサブシステムは、レンズ20、要素26、及び検出器28によって形成された検出チャンネルを含む。高解像度イメージングサブシステムは、ここでは照射及び収集/検出の両方のために使用される共通のレンズを含むものと記述されているが、照射サブシステム及び検出チャンネルは、照射の場合におけるフォーカスと検出の場合における収集とのための別個のレンズ(図示されず)を含み得る。検出チャンネルは、異なる収集角度で光を収集且つ検出するように構成され得る。例えば、検出チャンネルによって収集及び検出される光の角度は、試料からの光の経路に置かれている一つ又はそれ以上の開口(図示されず)を使用して、選択及び/又は変更され得る。高解像度イメージングサブシステムの検出チャンネルによって検出された試料からの光は、正反射した光及び/又は散乱した光を含み得る。このようにして、図1に示された高解像度イメージングサブシステムは、暗視野(DF)及び/又は明視野(BF)イメージングのために構成され得る。
要素26は、スペクトルフィルタ、開口、あるいは、検出器28によって検出された光を制御するために使用されることができる任意の他の要素又は複数の要素の組み合わせであり得る。検出器28は、光電子増倍管(PMT)、電荷結合素子(CCD)、及び時間遅延集積(TDI)カメラのような、当該技術で既知の任意の適切な検出器を含み得る。検出器はまた、非イメージング検出器又はイメージング検出器を含み得る。検出器が非イメージング検出器であるならば、その検出器は、散乱光の強度のようなある特性を検出するように構成され得るが、そのような特性をイメージング平面内の位置の関数として検出するようには構成されていないかもしれない。そのため、検出器によって生成された出力は、信号又はデータであるが画像信号又は画像データではないかもしれない。コンピュータサブシステム36のようなコンピュータサブシステムは、検出器の非イメージング出力から試料の画像を生成するように構成され得る。しかし、検出器は、イメージング信号又はイメージングデータを生成するように構成されたイメージング検出器として構成され得る。それゆえ、高解像度イメージングサブシステムは、数多くの方法で、ここに記述された画像を生成するように構成され得る。
高解像度イメージングサブシステムはまた、他の検出チャンネルも含み得る。例えば、レンズ20によって収集された試料からの光は、ビームスプリッタ18によってビームスプリッタ24に向けられ得て、これがその光の一部を光学要素26に伝送し、且つその光の他の部分を光学要素30に反射する。光学要素30は、スペクトルフィルタ、開口、あるいは検出器32によって検出される光を制御するために使用されることができる任意の他の適切な要素又は複数の要素の組み合わせであり得る。検出器32は、上述された検出器の任意のものを含み得る。高解像度イメージングサブシステムの異なる検出チャンネルは、試料の異なる画像(例えば、偏光、波長などのような異なる特性、又はそれらのいくつかの組み合わせを有する光で生成された試料の画像)を生成するように構成され得る。
異なる実施形態では、レンズ20、光学要素30、及び検出器32によって形成される検出チャンネルは、検査ツールの低解像度イメージングサブシステムの一部であり得る。この場合、低解像度イメージングサブシステムは、高解像度イメージングサブシステムと同じ照射サブシステムを含み得て、これは上記で詳細に記述されている(例えば、光源14、光学要素16、及びレンズ20を含む照射サブシステム)。高及び低解像度イメージングサブシステムは、それゆえ共通の照射サブシステムを共有し得る。しかし、高及び低解像度イメージングサブシステムは異なる検出チャンネルを含み得て、それらの各々は、共有された照射サブシステムによる照射による試料からの光を検出するように構成される。このようにして、高解像度検出チャンネルはレンズ20、光学要素26、及び検出器28を含み得て、低解像度検出チャンネルはレンズ20、光学要素30、及び検出器32を含み得る。このようにして、高及び低解像度検出チャンネルは、共通の光学要素(レンズ20)を共有し得るが、また非共有の光学要素を有し得る。
高及び低解像度イメージングサブシステムの検出チャンネルは、照射サブシステムを共有しているものの、高及び低解像度の試料画像をそれぞれ生成するように構成され得る。例えば、光学要素26及び30は、異なって構成された開口及び/又はスペクトルフィルタであり得て、これらはそれぞれ検出器28及び32によって検出された光の一部を制御し、それによって検出器28及び32によって検出された画像の解像度をそれぞれ制御する。異なる例では、高解像度イメージングサブシステムの検出器28は、検出器32よりも高い解像度を有するように選択され得る。検出チャンネルは、異なる解像度能力を有するように、任意の他の適切な方法で構成され得る。
他の実施形態では、高及び低解像度イメージングサブシステムは同じ画像形成要素の全てを共有し得る。例えば、高及び低解像度イメージングサブシステムの両方が、光源14、光学要素16、及びレンズ20によって形成された照射サブシステムを共有し得る。高及び低解像度イメージングサブシステムはまた、同じ検出チャンネル又は複数の検出チャンネル(例えば、レンズ20、光学要素26、及び検出器28によって形成された一つ、及び/又は、レンズ20、光学要素30、及び検出器32によって形成された他の一つ)を共有し得る。そのような実施形態では、これらの画像形成要素のいずれかの一つ又はそれ以上のパラメータ又は特性は、高又は低解像度画像のどちらが試料に対して生成されているかに基づいて、変えられ得る。例えば、レンズ20の開口数(NA)は、試料の高又は低解像度画のどちらが生成されているかに応じて、変えられ得る。
さらなる実施形態では、高及び低解像度イメージングサブシステムは、画像形成要素を共有していないかもしれない。例えば、高解像度イメージングサブシステムは上述された画像形成要素を含み得るが、これは低解像度イメージングサブシステムによって共有されないかもしれない。その代わりに、低解像度イメージングサブシステムは、それ自身の照射及び検出サブシステムを含み得る。一つのそのような例では、図1に示されるように、低解像度イメージングサブシステムは、光源38、光学要素40、及びレンズ44を含む照射サブシステムを含み得る。光源38からの光は光学要素40を通過して、ビームスプリッタ42によってレンズ44へ反射され、レンズ44は光を試料12に向ける。これらの画像形成要素の各々は、上述されたように構成され得る。低解像度イメージングサブシステムの照射サブシステムは、ここで記述されるようにさらに構成され得る。試料12はステージ22上に配置され得て、これは、イメージングの間に、光の試料上での走査を生じさせるように構成され得る。このようにして、高及び低解像度イメージングサブシステムが画像形成要素を共有していなくても、それらは、ステージ、走査サブシステム、電源(図示されず)、ハウジング(図示されず)などのような検査ツールの他の要素を共有し得る。
低解像度イメージングサブシステムはまた、レンズ44、光学要素46、及び検出器48によって形成される検出チャンネルを含み得る。照射サブシステムによる照射による試料からの光は、レンズ44によって収集されてビームスプリッタ42に向けられ得て、これがその光を光学要素46に伝送する。光学要素46を通過した光は、それから検出器48によって検出される。これらの画像形成要素の各々は、さらに上述のように構成され得る。低解像度イメージングサブシステムの検出チャンネル及び/又は検出サブシステムは、ここで記述されるようにさらに構成され得る。
図1が、ここで記述されている検査ツールに含まれ得るか、あるいはここで記述されているシステム又は方法によって使用される画像を生成し得る高及び低解像度イメージングサブシステムの構成を一般的に示すために提供されていることに、留意されたい。ここで記述された高及び低解像度イメージングサブシステムの構成は、商用の検査ツールを設計するときに普通に実行されるように、高及び低解像度イメージングサブシステムの性能を最適化するように変更され得る。加えて、ここで記述されたシステムは、カリフォルニア州ミルピタスのKLA社から商業的に入手可能なアルテアシリーズのツールのような現存しているシステムを使用して(例えば、ここで記述された機能性を現存しているシステムに付加することによって)、具現化され得る。いくつかのそのようなシステムに対して、ここで記述された実施形態は、システムのオプションの機能性として(例えばシステムの他の機能性に加えて)提供され得る。あるいは、ここで記述された検査ツールは、完全に新しい検査ツールを提供するために、「何もないところから」設計され得る。高及び低解像度イメージングサブシステムは、2010年8月24日付けでメハニアンらに対して発行された米国特許第7,782,452号に記述されたように、さらに構成され得る。この特許は、あたかもここに完全に明らかにされているかのように、参照によって援用される。
このシステムはまた、高及び低解像度イメージングサブシステムによって生成された試料の画像を獲得するように構成された一つ又はそれ以上のコンピュータサブシステムを含む。例えば、検査ツールに結合された(又はそこに含まれる)コンピュータサブシステム36は、コンピュータサブシステムが検出器によって生成された試料についての出力又は画像を受領できるように、任意の適切な方法で(例えば、「有線」の及び/又は「ワイヤレス」の伝送媒体を含み得る一つ又はそれ以上の伝送媒体を介して)検査ツールの検出器に結合され得る。コンピュータサブシステム36は、検出器によって生成された出力又は画像を使用して、ここでさらに記述される数多くの機能を実行するように構成され得る。
図1に示されたコンピュータサブシステム(ならびにここで記述される他のコンピュータサブシステム)はまた、ここではコンピュータシステムとも称され得る。ここで記述されるコンピュータサブシステム又はシステムの各々は、パーソナルコンピュータシステム、画像コンピュータ、メインフレームコンピュータシステム、ワークステーション、ネットワーク機器、インターネット機器、又は他のデバイスを含む様々な形態を取り得る。一般的に「コンピュータシステム」という用語は、メモリ媒体からの指令を実行する一つ又はそれ以上のプロセッサを有する任意のデバイスを包含するように広く規定され得る。コンピュータサブシステム又はシステムはまた、パラレルプロセッサのような当該技術で既知の任意の適切なプロセッサを含み得る。加えて、コンピュータサブシステム又はシステムは、スタンドアローン又はネットワーク化されたツールのいずれかとして、高速処理及びソフトウエアを有するコンピュータプラットフォームを含み得る。
システムが一つより多くのコンピュータサブシステムを含むならば、そのときには、異なるコンピュータサブシステムは、画像、データ、情報、指令などがコンピュータサブシステムの間で送られることができるようにお互いに結合され得る。例えば、コンピュータサブシステム36は、当該技術で既知の任意の適切な有線及び/又はワイヤレスの伝送媒体を含み得る任意の適切な伝送媒体によって、図1に点線で示されるように、コンピュータサブシステム102に結合され得る。そのようなコンピュータサブシステムの2つ又はそれ以上はまた、共有されたコンピュータ読み取り可能記憶媒体(図示されず)によって有効に結合され得る。
高及び低解像度イメージングサブシステムが光学的又は光に基づくイメージングサブシステムであるように上記で記述されているが、高及び低解像度イメージングサブシステムはまた、あるいは代替的に、試料の電子ビーム画像を生成するように構成された電子ビームイメージングサブシステムを含み得る。一つのそのような実施形態では、電子ビームイメージングサブシステムは、電子を試料に向けるか又は試料上で電子を走査させ、且つ試料からの電子を検出するように構成され得る。図1aに示される一つのそのような実施形態では、電子ビームイメージングサブシステムは、コンピュータサブシステム124に結合された電子カラム122を含む。
図1aにまた示されているように、電子カラムは、一つ又はそれ以上の要素130によって試料128にフォーカスされる電子を生成するように構成された電子ビーム源126を含む。電子ビーム源は、例えばカソード源又はエミッタチップを含み得て、一つ又はそれ以上の要素130は、例えばガンレンズ、アノード、ビーム制限開口、ゲートバルブ、ビーム電流選択開口、対物レンズ、及び走査サブシステムを含み得て、これらの全ては、当該技術で既知の任意のそのような適切な要素を含み得る。
試料から戻った電子(例えば二次電子)は、一つ又はそれ以上の要素132によって検出器134にフォーカスされ得る。一つ又はそれ以上の要素132は、例えば走査サブシステムを含み得て、これは要素130に含まれているものと同じ走査サブシステムであり得る。
電子カラムは、当該技術で既知の任意の他の適切な要素を含み得る。加えて、電子カラムは、ジアンらに対して2014年4月4日付けで発行された米国特許第8,664,594号、コジマらに対して2014年4月8日付けで発行された米国特許第8,692,204号、ガベンズらに対して2014年4月15日付けで発行された米国特許第8,698,093号、及びマクドナルドらに対して2014年5月6日付けで発行された米国特許第8,716,662号に記述されるようにさらに構成され得る。これらの特許は、あたかもここに完全に明らかにされているかのように参照によって援用される。
電子カラムは、電子が斜めの入射角度で試料に向けられて且つ他の斜めの角度で試料から戻るように図1aに示されているが、電子が任意の適切な角度で試料に向けられ且つ試料から戻り得ることを理解されたい。加えて、電子ビームイメージングサブシステムは、ここでさらに記述されるように、複数のモードを使用して(例えば、異なる照射角度、収集角度、などで)試料の画像を生成するように構成され得る。電子ビームイメージングサブシステムの複数のモードは、任意の画像生成パラメータで異なり得る。図1aに示される電子カラムはまた、当該技術で既知の任意の適切な方法で(例えば、高又は低解像度画像が試料に対して生成されることができるように、電子カラムに含まれる一つ又はそれ以上の要素の一つ又はそれ以上のパラメータ又は特性を変更することによって)、高及び低解像度イメージングサブシステムとして機能するように構成され得る。
コンピュータサブシステム124は、上記で記述されるように検出器134に結合され得る。検出器は、試料の表面から戻った電子を検出し得て、それによって試料の電子ビーム画像を形成する。電子ビーム画像は、任意の適切な電子ビーム画像を含み得る。コンピュータサブシステム124は、検出器134によって生成された出力を使用して、ここでさらに記述される一つ又はそれ以上の機能を試料に対して実行するように構成され得る。図1aに示される電子ビームイメージングサブシステムを含むシステムは、ここで記述されるようにさらに構成され得る。
図1aが、ここで記述されている実施形態に含まれ得る電子ビームイメージングサブシステムの構成を一般的に描くためにここに提供されていることに、留意されたい。上記で記述された光学的イメージングサブシステムについてのように、電子ビームイメージングサブシステムの構成は、商用のイメージングサブシステムを設計するときに普通に実行されるように、イメージングサブシステムの性能を最適化するように変更され得る。加えて、ここで記述されたシステムは、KLA社から商業的に入手可能なツールのような現存しているシステムを使用して(例えば、ここで記述された機能性を現存しているシステムに付加することによって)、具現化され得る。いくつかのそのようなシステムに対して、ここで記述された実施形態は、システムのオプションの機能性として(例えばシステムの他の機能性に加えて)提供され得る。あるいは、ここで記述されたシステムは、完全に新しいシステムとして提供するために「何もないところから」設計され得る。
イメージングサブシステムが上記で光に基づく又は電子ビームに基づくイメージングサブシステムとして記述されているが、イメージングサブシステムは、イオンビームに基づくイメージングサブシステムであり得る。そのようなイメージングサブシステムは、電子ビーム源が当該技術で既知の任意の適切なイオンビーム源で置き換えられ得ることを除いて、図1aに示されるように構成され得る。加えて、イメージングサブシステムは、商業的に入手可能なフォーカスイオンビーム(FIB)システム、ヘリウムイオン顕微鏡(HIM)システム、及び二次イオン質量分析(SIMS)システムに含まれるもののような、任意の他の適切なイオンビームに基づくイメージングサブシステムであり得る。
検査ツールが、光学的、電子ビーム、又は荷電粒子ビームのいずれかに基づく高及び低解像度イメージングサブシステムを含むものとして上記で記述されているが、高及び低解像度イメージングサブシステムは、必ずしも同じタイプのエネルギーを使用する必要はない。例えば、高解像度イメージングサブシステムが電子ビームタイプのイメージングサブシステムであり得る一方で、低解像度イメージングサブシステムが光学タイプのイメージングサブシステムであり得る。異なるタイプのエネルギーを使用するイメージングサブシステムは、当該技術で既知の任意の適切な方法で単一の検査ツールに組み合わされ得る。
上記のように、イメージングサブシステムは、試料の物理的なバージョンにエネルギー(例えば、光、電子)を向けて且つ/又はその上でエネルギーを走査し、それによって試料の物理的なバージョンに対する実際の画像を生成するように構成され得る。このようにして、イメージングサブシステムは、「仮想の」システムというより「実際の」イメージングシステムとして構成され得る。例えば、図1に示された記憶媒体(図示されず)及びコンピュータサブシステム102は、「仮想の」システムとして構成され得る。「仮想の」検査システムとして構成されるシステム及び方法は、共通に譲渡されている2012年2月28日付けでバスカルらに対して発行された米国特許第8,126,255号及び2015年12月29日付けでダフィーらに対して発行された米国特許第9,222,895号に記述されており、これらの特許は両方ともに、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、これらの特許に記述されるようにさらに構成され得る。
上記でさらに記されているように、イメージングサブシステムは、複数のモードで試料の画像を生成するように構成され得る。一般的に「モード」は、試料の画像を生成するために使用されるイメージングサブシステムのパラメータの値又は試料の画像を生成するために使用される出力の値によって規定されることができる。それゆえ異なるモードは、イメージングサブシステムのイメージングパラメータの少なくとも一つの値において、異なり得る。例えば、光学的イメージングサブシステムにおいて、異なるモードは、照射のために異なる波長の光を使用し得る。モードは、異なるモードに対して、ここでさらに記述されるように(例えば、異なる光源、異なるスペクトルフィルタなどを使用することによって)照射波長が異なり得る。高及び低解像度イメージングサブシステムの両方が、異なるモードで試料に対する出力又は画像を生成することができ得る。
高及び低解像度ニューラルネットワークが、ここでさらに記述されるように、様々な異なる構成を有し得る。高及び低解像度ニューラルネットワークは、深層学習(DL)システムのネットワークとして構成され得る。高解像度ニューラルネットワークは、高解像度イメージングサブシステムによって試料に対して生成される高解像度画像を使用して、試料に対して一つ又はそれ以上の機能を実行し得る。低解像度ニューラルネットワークは、低解像度イメージングサブシステムによって試料に対して生成される低解像度画像を使用して、試料に対して一つ又はそれ以上の機能を実行し得る。
ここでさらに記述されるように、高解像度ニューラルネットワークは、低解像度ニューラルネットワークをトレーニングするために使用される欠陥画像を生成するために使用され得て、これがそれから、試料の低解像度画像を使用した試料における欠陥検出のために使用される。このようにして、ここで記述された実施形態は、DLシステムのネットワークを使用して、一般化されたパッチに基づくハイブリッド検査器として構成され得る。例えば、ここで記述された実施形態は、光学的及び可能であればSEM及び設計パッチを組み合わせたDLシステムのネットワークを使用して半導体製造プロセスにおける設計及びプロセスにおける系統的欠陥を識別及び分類する一種のハイブリッド検査器であり得る。「系統的欠陥」という用語は、一般的に当該技術では、試料において実行されるプロセスと試料に対して形成された設計との間の相互作用によって引き起こされる欠陥として定義される。それゆえ、「系統的な」欠陥は、試料全体にわたって複数の反復的な位置で形成され得る。
高及び低解像度ニューラルネットワークの各々は、それをトレーニングするために供給されているデータに従って世界をモデル化する重みのセットを有する深層ニューラルネットワークであり得る。ニューラルネットワークは一般的に、軸索によって接続された生物的なニューロンの比較的大きなクラスターを使って生物的な頭脳が問題を解決する方法を緩やかにモデル化する神経ユニットの比較的大きな集合に基づいた計算的なアプローチとして定義されることができる。各神経ユニットは多くの他のものに接続され、リンクは、接続された神経ユニットの活性化状態において、その効果が強化されているか又は抑制的であることができる。これらのシステムは、明白にプログラムされているというよりも自己学習的で且つトレーニングされ、伝統的なコンピュータプログラムでは解決策又は特徴検出を表現することが困難なエリアで優れている。
ニューラルネットワークは典型的には複数の層から成っており、信号経路は正面から裏面まで横断する。複数の層は、数多くのアルゴリズム又は変換を実行する。一般に、層の数は重要ではなく、場合に応じて使用される。実用的な目的に対して、層の適切な範囲は2層~数十層である。現代のニューラルネットワークプロジェクトは、典型的には数千~数百万の神経ユニット及び何百万もの接続で動作する。ニューラルネットワークのゴールは、問題を人間の脳と同じように解決することであるが、いくつかのニューラルネットワークは、はるかにもっと曖昧である。ニューラルネットワークは、当該技術で既知の任意の適切なアーキテクチャ及び/又は構成を有し得る。いくつかの実施形態では、ニューラルネットワークは、クリチェフスキーらによる「深層畳み込みニューラルネットワークによる画像ネット分類」、NIPS、2012年、計9頁に記述されているように、深層畳み込みニューラルネットワーク(DCNN)として構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、この文献に記述されるようにさらに構成され得る。
ここで記述されるニューラルネットワークは、普通は機械学習と称される計算クラスに属する。機械学習は一般的に、明白にプログラムされることなく学習する能力を有するコンピュータを提供する人工知能(AI)の一つのタイプと定義されることができる。機械学習は、新しいデータにさらされたときに成長し且つ変わるように自分自身に教示することができるコンピュータプログラムの発展に焦点を当てる。言い換えると、機械学習は、「コンピュータに明白にプログラムされることなく学習する能力を与える」コンピュータ科学のサブフィールドとして定義されることができる。機械学習は、データから学習し且つデータについての予測をすることができるアルゴリズムの研究及び構築を探求し、そのようなアルゴリズムは、単純な入力からモデルを構築することを通して、データに駆動された予測又は決定をすることにより、引き続く厳密に静的なプログラム指令を克服する。
ここで記述されるニューラルネットワークは、スギヤマによる「統計的機械学習入門」、モーガン・カウフマン、2016年、計534頁、「弁別的、生成的、且つ模倣的な学習」、ジェバラ、MIT学位論文、2002年、計212頁、及びハンドらの「データマイニングの原理(適応計算及び機械学習)」、MITプレス、2001年、計578頁に記述されているようにさらに構成され得る。これらの文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、これらの文献に記述されるようにさらに構成され得る。
ここで記述されるニューラルネットワークは、また又は代替的に、普通はDLと称される計算クラスに属し得る。一般的に述べると、「DL」(深層構築学習、階層的学習、又は深層機械学習としても知られている)は、データ内の高レベルの抽象概念をモデル化しようと試みるアルゴリズムのセットに基づく機械学習の一分野である。単純な場合には、2セットのニューロンが存在し得て、その一方は入力信号を受け取り、他方は出力信号を送る。入力層が入力信号を受け取ると、それは入力の改変版を次の層に渡す。DLに基づくモデルでは、入力と出力との間に多くの層が存在し(且つそれらの層はニューロンからできていないが、そのように考えることの手助けをすることができる)、複数の線形及び非線形の変換から構成された複数の処理層をアルゴリズムが使用することを可能にする。
DLは、データ表現の学習に基づく機械学習方法のより広範なファミリーの一部である。観察(例えば画像)は、画素毎の強度値のベクトルのような多くの方法で、あるいは、1セットのエッジ、特定の形状の領域などのようなより曖昧な方法で、表現されることができる。いくつかの表現は、学習タスクを単純化する点で、他のものより良い(例えば、顔認識又は顔表現認識)。DLの見込みの一つは、手作りの特徴を、教師無し又は半教師付きの特徴学習及び階層的特徴抽出のための効率的なアルゴリズムで置き換えることである。
このエリアにおける研究は、より良い表現を作成し、且つ大規模な未ラベルのデータからこれらの表現を学習するためのモデルを創り出すことを試みている。表現のいくつかは、神経科学における進歩に触発されて、脳内における様々な刺激と関連した神経反応との間の関係を規定しようと試みる神経コーディングのような神経系における情報処理及び通信の解釈に緩く基づいている。
一つの実施形態では、高解像度ニューラルネットワークが半教師付きDLフレームワークとして構成される。他の実施形態では、低解像度ニューラルネットワークが半教師付きDLフレームワークとして構成される。例えば、ネットワークの半教師付き状態が、ここで記述されるDLネットワークにて使用されることができる。そのようなDLフレームワークは、教師付きラベル情報及び教師無し構造情報の両方を使用してチャンネル選択に関する決定をジョイントで行う2レベルプロセスのために構成され得る。例えば、ラベル情報は特徴抽出で使用され得て、未ラベル情報は、教師付きトレーニングを規則化するために一体化され得る。このようにして、教師付き情報及び教師無し情報の両方が、モデル変動を低減するためにトレーニングプロセスの間に使用され得る。制約化ボルツマンマシン(RBM)のような生成的モデルが、表現上の特徴を抽出し且つデータ次元性を低減するために使用され得て、このことは、ラベル化情報の希少性のインパクトを大いに減らすことができる。教師無し情報のみを利用する最初のチャンネル選択手順は、構造情報がほとんど無い無関係なチャンネルを除去してデータ次元性を低減し得る。最初のチャンネル選択の結果に基づいて、良好なチャンネル選択手順が、雑音の多いチャンネル問題を取り扱うために使用されることができる。それゆえ、そのようなDLフレームワークは、非常に雑音が多い情報の取り扱いのために特に有用であり得て、これは、ここでさらに記述される試料のいくつかに対する場合であり得る。DLフレームワークは、2014年IEEEバイオインフォマティクス及びバイオエンジニアリングに関する国際会議BIBE‘14予稿集、2014年11月10~12日、IEEEコンピュータソサイエティ、ワシントンDCの第30~37頁におけるジアらによる「EEG信号における情緒的状態認識のための新規な半教師付き深層学習フレームワーク」に記述されているように、さらに構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、この文献に記述されるようにさらに構成され得る。
ここで記述される実施形態は、雑音又はニューサンスの抑制vs.対象の欠陥(DOI)の検出という問題を、本質的に区分し且つ克服し得る。例えば、ここで記述されるコンピュータサブシステムは一種の反復的トレーニングを実行することができて、そこでは、トレーニングが最初にニューサンス抑制のために、それからDOI検出のために、実行される。その用語がここで使用されている「ニューサンス」(ときどき「ニューサンス欠陥」と相互交換可能に使用される)は、一般的には、ユーザが気にしていない欠陥、及び/又は、試料上で検出されるが本当は試料上の実際の欠陥ではないイベントとして、定義される。実際には欠陥ではないニューサンスは、試料上の非欠陥雑音源(例えば、試料上の金属線における結晶粒、試料上の下地層又は材料からの信号、線エッジの粗さ(LER)、パターン化された形状における比較的小さな臨界寸法(CD)の変動、厚さの変動、など)、及び/又は、検査のために使用される検査サブシステムそれ自身又はその構成における余裕度によるイベントとして、検出され得る。
ここで使用される「DOI」という用語は、試料上で検出され且つ本当に試料上の実際の欠陥である欠陥として、定義されることができる。それゆえ、DOIはユーザにとっての興味の対象である。なぜなら、ユーザは一般的に、検査されている試料上にどれだけ多くの且つどのような種類の実際の欠陥があるかを気にするからである。いくつかの文脈では、「DOI」という用語は、試料上の実際の欠陥のすべてのうちの一つのサブセットを指すために使用され、これは、ユーザが気にする実際の欠陥のみを含む。例えば、任意の所与のウエハ上に複数のタイプのDOIが存在し得て、それらの一つ又はそれ以上に対して、ユーザは、一つ又はそれ以上の他のタイプよりも大きな関心を有し得る。しかし、ここで記述される実施形態の文脈では、「DOI」という用語は、ウエハ上の本当の欠陥の任意のもの及び全てを指すように使用される。
それゆえ一般的に、検査の目標は、試料上のニューサンスを検出することではない。そのようなニューサンスの検出を避けようとする実質的な努力にも関わらず、そのような検出を完全に除去することは、実際には不可能である。それゆえ、異なるタイプの欠陥に対する情報が別個に使用されることができるように、例えば、DOIに対する情報がその試料に対して実行される一つ又はそれ以上の製造プロセスを診断して且つ/又は変更を行うために使用され得る一方で、ニューサンスに対す情報が無視され、排除されて、あるいは、試料上の雑音及び/又は検査プロセス又はツールの余裕度を診断するために使用されることができるように、検出されたイベントの内のどれがニューサンスであり、どれがDOIであるかを識別することが重要である。
雑音の最小化に焦点を当てるならば、ニューサンスの抑制問題にモード(すなわち画像獲得)又はアルゴリズム(すなわち画像処理)に基づいて取り組むことが、はるか容易である。雑音は、ここで記述される試料の低解像度画像には豊富に存在する。例えば、結晶粒は、低解像度画像に比べてはるかに低いスループットからの影響をもちろん受ける高解像度画像では洗い流される傾向にあるが、低NA画像では実質的に雑音を作り出しやすい。その用語がここで使用されている「結晶粒」は、(アルミニウム又は銅のような)金属の結晶構造における転位を指す。結果として、イメージングされている金属に結晶粒が存在すると、平滑な表面を「見る」代わりに数多くの不連続性が存在して、それらは、比較的低いNAでは目立つ傾向にある。対照的に比較的高いNAでは、不連続性は洗い流される(例えば減少する)傾向にある。
ここでさらに記述される様々なトレーニングステップでは、画像(トレーニングされているニューラルネットワークに応じて高又は低解像度)ならびに他の情報は、トレーニングされているニューラルネットワークに入力されることができる。例えば、他の情報は、試料の設計についての情報(例えば、設計情報それ自身又は設計に関連したいくつかの他のデータ)、及び検査ツールによるイメージングに先立って試料に実行されたプロセスのいずれかについての任意の情報を含み得るプロセス情報を含み得る。トレーニングのためにそのような付加的な情報を使用することは、いくつかの理由から有益であり得る。例えば、設計情報は、先の層の欠陥(すなわち、現在の層の検査の目的のためにはユーザが興味を有していない欠陥)を低減するために有用であることができる。いくつかのそのような例では、ルールが、「アプリオリな知識によって規定されるルール」を介してニューラルネットワークに入れられるか、又は「設計によって提供されるセグメンテーション情報に基づいて学習される」ことができる(その用語がここで使用されている「セグメンテーション情報」は、一般的に、試料上の異なるエリアを検査するために異なって、例えば試料の画像を異なるセグメントに分離することによって、使用される情報として定義され、その検査は、そのセグメントに対する設計又は他の情報に基づいて決定される)。加えて、半導体デバイス製造プロセスの背面端における再分配(RDL)層は、(正面端に比べて)いくらか単純であり、例えばそれらは典型的には3又は4層を含み、それゆえに「プロセス」の知識もまた、潜在的なモードを識別するためのこれらの層についての入力として、ならびにプロセスが誘起する種類の欠陥に対する入力としての両方として、追加されることができる。この情報はそれゆえ幾何学的である設計に比べて、プロセス知識の性質に関する。プロセス情報は、DLネットワークに合成されるラベル又はルール、あるいはテキストとしてでも、入力され得る。
コンピュータサブシステムは、高解像度ニューラルネットワークをトレーニングするために構成され得る。高解像度ニューラルネットワークのトレーニングは、教師付き、半教師付き、又は教師無しの方法で実行され得る。例えば、教師付きトレーニング方法では、試料の一つ又はそれ以上の画像に、画像内の雑音又は雑音性のエリア及び画像内の静かな(非雑音性の)エリアを示すラベルで注釈が付けられ得る。ラベルは、任意の適切な方法で(例えば、ユーザによって、グランドツルース法を使用して、又は、比較的高い精度で高解像度画像における雑音から欠陥を分離できることが知られている欠陥検出方法又はアルゴリズムを使用して)、画像に割り当てられ得る。画像及びそれらのラベルはトレーニングのために高解像度ニューラルネットワークに入力され得て、高解像度ニューラルネットワークの出力がトレーニング入力に合致するまで、高解像度ニューラルネットワークの一つ又はそれ以上のパラメータが変えられる。
教師無しのトレーニング方法では、未ラベルの画像が、トレーニングのために高解像度ニューラルネットワークに入力され得て、高解像度ニューラルネットワークは、画像内の雑音を識別するためにその画像を使用し得る。例えば、高解像度ニューラルネットワークに入力された画像の高解像度のために、高解像度画像は、試料上のニューサンスエリア及び試料上の非ニューサンスエリアを識別するための一種のグラウンドツルース情報として機能することができて、及び/又は、ニューサンスを欠陥から分ける欠陥検出及び/又は分類方法を実行することによって、試料上のニューサンスエリア及び非ニューサンスエリアが識別されることができる。トレーニングはそれから、上記で記述されたように高解像度ニューラルネットワークの一つ又はそれ以上のパラメータを変更することを含み得る。
一つの実施形態では、高解像度ニューラルネットワークをトレーニングするために使用される高解像度イメージングサブシステムによって生成された画像が、高解像度イメージングサブシステムの一つより多くのモードによって生成された試料の画像を含む。ここで記述されたこの及び他のステップにおいて画像が生成され獲得され且つ使用される対象となるモードの数は、検査ツールの様々な可能な構成設定に依存して、及び/又は、時間及び/又は記憶空間の考慮からの単純に実用的なことに依存して、変わり得る。
いくつかの実施形態では、検査ツールは、試料上のスワスを走査する一方で試料からのエネルギーを検出するように構成されており、一つ又はそれ以上のコンピュータサブシステムは、高解像度イメージングサブシステムによって生成された画像のスワスの少なくとも3つを、それらスワスの少なくとも3つが欠陥画像のトレーニングセットの生成における使用のために利用可能であるように獲得し且つ記憶するように構成されている。検査ツールは、ここでさらに記述されるように試料上のスワスを走査し得て、スワスの走査によって生成される出力又は画像は、出力又は画像のスワスと称され得る。ここで記述される実施形態は、高及び低解像度モードの両方において、複数の、例えば30のモードに対して、データの行全体(すなわち、試料上の行全体におけるダイ全体をカバーする画像又はデータのスワス)を記憶することができる。スワスの全ての高解像度パッチを記憶するために十分な記憶容量が無ければ、3つのスワス(例えば、頂部、中央、及び底部)が記憶されることができる。高解像度画像は、少なくとも3つのスワス全体について走査され得て、仮想検査器のマクロ検査器バージョンに、そのようなシステムがここでさらに記述された低解像度画像を記憶することができるのと同様に、同時に記憶され得る。
複数のモードからの画像は、ここでさらに記述されるように、トレーニングのために高解像度ニューラルネットワークに入力され得る。異なるモードからの画像が、高解像度ニューラルネットワークのトレーニングのために、別個に又は組み合わされて、使用され得る。例えば、異なるモードによって生成された画像は、トレーニングステップにおける複数チャンネル入力として使用され得る。異なるモードからの画像は、画像における及び/又は試料上のニューサンスを非ニューサンスに対して識別するために、組み合わせて使用され得る。高解像度ニューラルネットワークパラメータがそれから、高解像度ニューラルネットワークによる画像におけるそのようなニューサンスの検出を抑制するために、変えられ得る。他の例では、異なるモードの一つ又はそれ以上で生成された画像が、ここで記述されるように実行され得る高解像度ニューラルネットワークのトレーニングのために使用され得て、それから高解像度ニューラルネットワークが、他の一つの又は他の複数の異なるモードによって生成された画像で、再トレーニングされ得る。このようにして、高解像度ニューラルネットワークが、一つ又はそれ以上のモードから別の一つ又はそれ以上のモードへの一種の転移学習を使用して、トレーニングされ得る。
一つ又はそれ以上のコンピュータサブシステムが、欠陥画像のトレーニングセットを生成するために構成される。欠陥画像の少なくとも一つが、高解像度イメージングサブシステムによって生成された画像の少なくとも一つを使用して、高解像度イメージングサブシステムによって合成的に生成される。トレーニングセットの生成は、ここで記述されるように実行され得る。トレーニングセットに含まれる欠陥画像は、ここでさらに記述される様々なタイプの欠陥画像を含み得る。少なくとも一つの欠陥画像が、ここでさらに記述されるように合成的に生成され得る。
一つ又はそれ以上のコンピュータサブシステムは、欠陥画像のトレーニングセットを入力として使用して、低解像度イメージングサブシステムをトレーニングするように構成される。このようにして、コンピュータサブシステムは、高解像度ニューラルネットワークをトレーニングすることによって作り出された情報の低解像度ニューラルネットワークへのあるタイプの転移学習を実行するように構成され得る。例えば、ここで記述された実施形態の一つの利点は、それらが、限定されたトレーニングセットで効率的にトレーニング可能なニューラルネットワークを使用する半導体デバイスの検査のためのシステム及び方法を提供することである。このために、一連の転移学習法が、原則的な方法でのニューラルネットワークの効率的なトレーニングを可能にして且つ加速するために使用されることができる。
転移学習は一般的に、既に学習された関連タスク(一つ又はそれ以上のソースタスク)からの知識の転移を通した新しいタスク(又はターゲットタスク)の学習の改良として定義されることができる。ここで記述される実施形態では、それゆえに、高解像度ニューラルネットワークをトレーニングすることは、一つ又はそれ以上のソースタスクの学習を伴い得て、低解像度ニューラルネットワークをトレーニングすることは、高解像度ニューラルネットワークのトレーニングの結果を使って実行され得て、それによりソースタスク(高解像度ニューラルネットワークのトレーニング)からの知識をターゲットタスク(低解像度ニューラルネットワークのトレーニング)に転移する。転移学習では、エージェントは、ソースタスクを学習している一方で、ターゲットタスクについては何も(あるいはターゲットタスクがあることさえ)知らない。例えば、ここで記述される実施形態では、高解像度ニューラルネットワークは、トレーニングされている間は、低解像度ニューラルネットワークについては何も知らない。
ここで記述された転移学習は、任意の適切な方法で実行され得る。例えば、誘導的学習タスクでは、目的は、トレーニング例のセットから予測的なモデルを導くことである。誘導的学習における転移は、ソースタスクの知識がターゲットタスクの誘導バイアスに影響することを許容することによって作用する。誘導的転移法では、ターゲットタスクの誘導バイアスはソースタスクの知識に基づいて選ばれるか又は調整される。これが行われる方法は、ソース及びターゲットタスクを学習するためにどの学習アルゴリズムが使用されるかに応じて変わる。
誘導的転移は、標準的な教師付き学習タスクにおける学習を改善する一つの方法として見られるだけではなく、比較的小さなデータセットを伴うタスクによって持ち出される困難さをオフセットする方法としても見られることができる。すなわち、あるタスクに対して比較的少量のデータ又はクラスラベルがあったら、それをターゲットタスクとして取り扱って関連ソースタスクから誘導的転移を実行することは、より正確なモデルを導くことができる。これらのアプローチはそれゆえに、2つのデータセットが異なる確率分布から来ていると推定されるという事実にかかわらず、ソースタスクデータを使用してターゲットタスクデータを強化する。
ここで記述された転移学習は、E.ソリア、J.マーチン、R.マグダレーナ、M.マルチネス、及びA.セラーノによって編集されてIGIグローバルによって出版された機械学習アプリケーションに関する研究のハンドブックにおけるトーリーらの「転移学習」、2009年、計22頁、ならびにヨシンスキらの「深層ニューラルネットワークにおける特徴はどのように転移可能か?」NIPS2014、2014年11月6日、計14頁に記述されているように、さらに実行されることができる。これらの文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、これらの文献に記述されるようにさらに構成され得る。
一つの実施形態では、欠陥画像のトレーニングセットは、低解像度イメージングサブシステムの一つより多くのモードによって生成された試料の画像を含む。例えば、低解像度ニューラルネットワークをトレーニングするために使用される画像は、低解像度イメージングサブシステムの30又はそれ以上のモードを使用して生成された低解像度画像を含み得る。ここで記述されるコンピュータサブシステムは、そのような大量の低解像度画像データを記憶することができる。マルチモード低解像度画像は、ここで記述されるように生成され得て、且つここで記述されるようにトレーニングのために使用され得る。その画像がここに記述される実施形態にて使用される低解像度イメージングサブシステムのマルチモードは、ここでさらに記述されるように構成され且つ選択され得る。
いくつかの実施形態では、コンピュータサブシステムは高解像度ニューラルネットワークをトレーニングするように構成され、高解像度ニューラルネットワークのトレーニング及び低解像度ニューラルネットワークのトレーニングは、生成的な対立ネットワーク(GAN)又は変分ベイズ法を使用して実行される。例えば、生成的な高解像度ならびに低解像度のニューラルネットワークは、ただニューサンスな空間を最初に見ることによって創り出されることができる。そのようなシステムは、GAN又は変分ネットワークなどであることができる。特に、ここで記述される実施形態によって使用されるトレーニングアーキテクチャは、好ましくは最小数のサンプルで(検証サンプルに対する)グラウンドツルースに収束するように設計される。
一つのそのような実施形態では、一つ又はそれ以上の構成要素が一つ又はそれ以上の追加の構成要素を含み、高及び/又は低解像度ニューラルネットワークのトレーニングが、その一つ又はそれ以上の追加の構成要素を使用して実行され、一つ又はそれ以上の追加の構成要素は、コモンマザーネットワーク、グランドコモンマザーネットワーク、対立ネットワーク、GAN、深層の対立生成的ネットワーク、対立的自動エンコーダ、ベイズ式ニューラルネットワーク、対立ベイズ法のために構成された構成要素、ラダーネットワーク、又はそれらのいくつかの組み合わせを含む。例えば、ここで記述された実施形態で使用され得る転移学習法は、後端の線(BEOL)層に対してコモンマザーネットワークを使用すること、BEOL層に対してグランドコモンマザーネットワークを使用すること(SEMで動作する可能性が高い)、トレーニングを加速するために対立ネットワークを使用すること、より少ない層を必要とするベイズニューラルネットワーク(変分ベイズ)を使用すること、及びトレーニングのためにラダーネットワークの概念を使用することを含む。ここで記述される実施形態は、サンプルを「適法に増幅する」ことによってトレーニングを加速するように構成され得る。これらの方法はまた、半教師付きとしても知られている(わずかな例が利用可能であるが、大多数は人間又はグラウンドツルースによってラベル付けされていない)。
コンピュータサブシステムはまた、ベイズ生成的モデリングを組み合わせる半教師付き方法のような方法を使用して、最小数のサンプルで結果を達成することもできる。そのような方法の例は、2017年5月25日付けで発行されたチャンらによる米国特許出願公報第2017/0148226号、及びキングマらによる「深層生成的モデルを用いた半教師付き学習」、NIPS2014、2014年10月31日、第1~9頁に記述されており、これらは、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、これらの文献に記述されるようにさらに構成され得る。加えて、コンピュータサブシステムはラダーネットワークに影響力を行使し得て、そこでは、ラスムスらによる「ラダーネットワークを用いた半教師付き学習」、NIPS2015、2015年11月24日、第1~19頁にて提案されているもののような、教師付き及び教師無し学習が深層ニューラルネットワークで組み合わされる。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。ここで記述されたコンピュータサブシステムはさらに、グッドフェローらによる「生成的対立ネット」、2014年6月10日、第1~9頁に記述されたタイプの深層対立生成的ネットワークを使用して、低解像度ニューラルネットワークをトレーニングするように構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。加えて又は代替的に、ここで記述されたコンピュータサブシステムはさらに、ミクツァニらの「対立的自動エンコーダ」、arXiv:1511.05644v2、2016年5月25日、計16頁に記述されているもののような対立的自動エンコーダ(変分自動エンコーダ(VAE)と深層生成的対立ネットワーク(DGAN)とを組み合わせる方法)を使用して低解像度ニューラルネットワークをトレーニングするように構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。いくつかの例では、コンピュータサブシステムは、ニールの「ニューラルネットワークのためのベイズ学習」、スプリンガー・フェアラーク・ニューヨーク、1996年、計204頁に記述されているように、ベイズ学習を実行するように構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。コンピュータサブシステムはまた、スミドゥルの「信号処理における変分ベイズ法」、スプリンガー・フェアラーク・ベルリン、2006年、計228頁に記述されているように、変分ベイズ法を実行するように構成され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。
他の実施形態では、低解像度イメージングサブシステムによって生成され且つ一つ又はそれ以上のコンピュータサブシステムによって獲得された画像が、焦点を通して取られた画像を含み、一つ又はそれ以上のコンピュータサブシステムは、焦点を通して取られた画像を高解像度イメージングサブシステムによって生成された画像上にマッピングするように構成され、低解像度ニューラルネットワークのトレーニングが、高解像度ニューラルネットワークのトレーニングの結果及びマッピングの結果に基づいて実行される。例えば、コンピュータサブシステムは、低解像度(多くの画像が表現できる)と高解像度(グラウンドツルース)との間の多数対一のマッピング表現問題を解決する目的で、焦点を通して取られた画像データのパッチを利用することができる。試料上の同じエリアに対応する(且つそれゆえにお互いに対応する)高及び低解像度画像の識別は、それゆえに複数の焦点設定で取られた低解像度画像を使用して容易にされ得る。
いくつかのそのような実施形態は、容積測定検査技法を使用して実行され得る。一般的に容積測定検査は、検査ツールを使用して、サンプルの複数のxy位置の各々から複数の焦点設定で強度データセットを収集することを含む。複数の係数を有する多項等式が、焦点設定の関数として、xy位置における収集された強度データセットの各々に対して抽出される。複数のxy位置に対する係数値のセットの各々は、対応する係数画像平面で表現される。係数画像平面のターゲットセット及び係数画像平面の参照セットはそれから、サンプル上の欠陥を検出するために分析される。このようにして、信号を雑音から分離する目的で、容積測定画像のタプルがフーリエ空間領域に変換されることができる。容積測定検査はさらに、2016年7月21日付けのチェンらによる米国特許出願公報第2016/0209334号に記述されているように実行され得る。この特許は、ここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。ここで記述された実施形態において、低解像度イメージングサブシステムの光学式に基づく出力は、光学画像の容積測定スタック(例えば3~5zスタックの間)を含み得て、光学空間におけるいわゆる「一対多数のマッピング」問題に対する解決策を可能にする。光学システムのタプル概念はまた、異なる波長及び開口のようなz焦点画像を除いて他の光学モードを含むように拡張されることができる。
DLアプローチは、z画像の光学的容積測定スタックと組み合わされるときに特に優れていると信じられている。例えば、ここで記述されたニューラルネットワークは、一対多数の変換問題を有し得る。特に、仮想的に全ての光学システムは薄膜スタックとしてモデル化されることができるので、ウエハのトポグラフィにおける変動とともに膜スタックにおける変動は、高解像度イメージングから低解像度イメージングに行く際に、一対多数のマッピングを起こし得る。全てのこれらの変動は学習されることができるが、それらはまた、特に局所的に起きると、(例えば局所的な色の変動により)雑音源となり得る。これらに取り組むための無数の手作りのアルゴリズムがあるが、それらのいずれもが完全に有効ではない。画像の容積測定スタックは、一対多数のマッピング問題を軽減して「信号」を支える手助けをすることができる。例えば、容積測定情報は、光学画像から「強度」情報に加えて「位相」情報を獲得する。対照的に、通常の光学に基づく検査は「強度」のみから動作して、これが曖昧さの原因となる(「一対多数」のマッピングにおける「多数」)。それゆえ、ここで記述される実施形態は、低解像度(多くの画像が表現できる)と高解像度(グラウンドツルース)との間の多数対一のマッピング表現問題を解決する目的で、焦点を通して取られた画像データのパッチを利用することができる。
欠陥をとらえないシステムを設計することは極めて容易であるが、極めて使えない。幸運なことに、ここで記述される用途に対して、検査されている試料の層、例えばRDL層におけるキーとなる欠陥の性質は良く理解されている。例えば、既知のDOIは、開放、短絡、突起、及び貫入を含み得る。
欠陥画像のトレーニングセットは、高解像度画像を含む既知のDOIについての様々な情報を含み得る。例えば、トレーニングセットは、既知のDOIの各々に対する設計情報(設計パッチ、コンピュータ補助設計(CAD)設計データ、レンダリングされた設計データ、設計コンテキスト情報)を含み得る。トレーニングセットはまた、既知のDOIの各々に対するテスト画像、参照画像、差画像、セグメンテーション画像などの他の画像を含み得る。トレーニングセットはまた、欠陥分類、サイズ、形状、位置などのような欠陥情報を含み得る。一般に、トレーニングセットは既知のDOIに関する任意の情報を含み得て、それらはトレーニング及び/又は実行の間に高及び低解像度ニューラルネットワークに入力され得る。
既知のDOIは、多数の異なるソースから、ここで記述される多数の異なる種類のDOIを含み得る。一般に、トレーニングセットにおける既知のDOIは、一つ又はそれ以上の方法又はシステムによって特定された既知のDOIを含み得る。既知のDOIは、好ましくは各タイプの既知のDOIの(可能なときには)2つ又はそれ以上の例を含む(例えば、2つ又はそれ以上の開放の例、2つ又はそれ以上の短絡の例、など)。
トレーニングは、既知のDOIのトレーニングセットについての情報を高及び/又は低解像度ニューラルネットワークに入力するステップと、既知のDOIについて高及び/又は低解像度ニューラルネットワークによって作り出された出力がトレーニングセットにおける既知のDOIについての情報と合致(又は実質的に合致)するまで、高及び/又は低解像度ニューラルネットワークの一つ又はそれ以上のパラメータを変更するステップと、を含み得る。高及び/又は低解像度ニューラルネットワークのトレーニングはまた、一種の再トレーニングも含み得て、これは、高及び/又は低解像度ニューラルネットワークのいくつかの層(例えば畳み込み層)の全ての重みを転移するステップと、高及び/又は低解像度ニューラルネットワークの他の層(例えば完全に接続された層)の重みを微調整するステップと、を含み得る。しかし、トレーニングは、ニューラルネットワークの任意の一つ又はそれ以上のトレーニング可能なパラメータを変更するステップを含み得る。例えば、ここで記述される実施形態によってトレーニングされるニューラルネットワークの任意の一つ又はそれ以上のパラメータは、トレーニング可能な重みを有するニューラルネットワークの任意の層に対する一つ又はそれ以上の重みを含み得る。一つのそのような例では、重みは畳み込み層に対する重みを含み得るが、プーリング層に対する重みは含まないかもしれない。
いくつかの実施形態では、欠陥画像のトレーニングセットは、高解像度イメージングサブシステムの一つより多くのモードによって生成される試料の画像を表す高解像度画像を含む。トレーニングセットにおける画像に対応する高解像度イメージングサブシステムの一つより多くのモードは、ここで記述されたモードのいずれかを含み得る。トレーニングセットにおける高解像度画像は、高解像度を使用することができるモードの全て又はいくつかのみ(2つ又はそれ以上)によって生成される試料の画像を表し得る。
いくつかの場合には、ここで記述されるように、トレーニングセットにおける画像の少なくともいくつかが、必ずしも高解像度イメージングサブシステムを伴わない方法で生成され得る。例えば、トレーニングセットにおける高解像度画像の一つ又はそれ以上は、一つより多くのモードによって生成された既知のDOIの画像を表し得て、それによって、高解像度イメージングサブシステムの異なるモードによって生成された異なる高解像度画像に対応する。異なる高解像度画像は、高解像度イメージングサブシステムの異なるモードに対してシミュレーションされ得て、それによって、既知のDOIに対して高解像度イメージングサブシステムの異なるモードによって生成されるであろう高解像度画像を表し得る。このようにして、高解像度画像は、試料上の既知のDOIが高解像度イメージングサブシステムによってイメージングされたら高解像度イメージングサブシステムによって生成されるであろう画像をシミュレーション、表現、又は近似する画像を含み得る。
一つの実施形態では、欠陥画像のトレーニングセットは、試料上の一つ又はそれ以上のプログラムされた欠陥の一つ又はそれ以上の画像を含み、一つ又はそれ以上のコンピュータサブシステムが、試料に対する設計を変更して一つ又はそれ以上のプログラムされた欠陥を設計内に創り出すことによって一つ又はそれ以上のプログラムされた欠陥を生成するように構成され、変更された設計は試料上に印刷されて、試料上に一つ又はそれ以上のプログラムされた欠陥を創り出す。その用語がここで使用されている「プログラムされた」欠陥は、一般的に、試料に対する設計情報の操作によって試料上に意図的に生じさせられた一つ又はそれ以上の欠陥として、定義される。
トレーニングのために合成ではあるが現実的な画像を創り出すことを伴う方法と対照的に、プログラムされた欠陥を含むように変更された欠陥を有する試料を印刷することは、実際のDOI(試料上に印刷されたプログラムされた欠陥)が豊富に利用可能であるために、システムの真の権利付与能力が使用されることを許容する。テストウエハを創り出そうとしているユーザは、コンピュータサブシステムによって設計内にプログラムされた欠陥を有するレチクルが、線の正面端(FEOL)にて何十年も影響されるウエハ上の標準直接ステップ(DSW)のように、テストウエハ上に変更された設計を印刷するために使用されることができる。製品ウエハを作るために使用される同じプロセスステップの少なくともいくつかを使用してそのようなテストウエハを作ることは、製品上の本当の例にて期待されるものと同じ光学特性を有する比較的多量の実際のDOI画像が、DOIをニューサンスから分けるようにニューラルネットワークをトレーニングする際の使用のために収集されることを可能にする。
試料に対する設計を変更することによってプログラムされた欠陥を生成することは、任意の適当なソース(例えば、以前の設計又はプロセスの知識、及び/又は欠陥検出結果)に由来するかもしれないタイプ、次元、位置、形状などのような既知のDOIについての情報に基づいて実行され得る。試料に対する設計を変更することは、電子設計自動化(EDA)ツールを使用して実行され得る。このようにして、ここで記述された実施形態は、EDA CADツールで生成されたプログラムされた設計データに影響する追加の次元を有し得る。EDAツールは、任意の適切な商業的に利用可能なEDAツールを含み得る。加えて、CAD作業は、任意の適切なEDAソフトウエア、ハードウエア、システム、又は方法を含み得るプログラム可能な/グラフィックEDAエディタを使って、自動化されることができる。いくつかのそのような実施形態では、ここで記述されたコンピュータサブシステム(例えばコンピュータサブシステム102)の一つ又はそれ以上が、EDAツールとして構成され得るか、又はEDAツールに含まれたコンピュータサブシステムであり得る。
一つのそのような実施形態では、試料に対する設計を変更して一つ又はそれ以上のプログラムされた欠陥を設計内に創り出すことは、設計を変更してプログラムされた欠陥を設計内に創り出すように構成された検査モジュールを使用して実行され得る。例えば、ここで記述されるニューラルネットワークは、天然シーン画像に対してGoogLeNetの開始によって示唆されるもののような欠陥幻視システムによって、トレーニングされ得る。欠陥について前もってトレーニングされている伝統的なニューラルネットワークは、そのときには、これらを後ろ向きに演じて他の幾何学的構造における新しい欠陥タイプを創り出すことができる。GoogLeNetの開始を実行するシステム及び方法の例は、2015年IEEEコンピュータビジョン及びパターン認識に関する国際会議(CVPR)のシェジディらによる「畳み込みで深く進む」、2015年6月、計9頁に見つけられることができる。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、この文献に記述されるようにさらに構成され得る。
変更された設計は、試料上に一つ又はそれ以上の製造プロセスを実行するように構成された半導体製造サブシステムを使用して、試料上に印刷され得る。一つ又はそれ以上の製造プロセスは、変更された設計を使ってレチクル又はマスクを作るステップと、それからそのレチクル又はマスクを使ってウエハを処理するステップと、を含み得る。一つ又はそれ以上の製造プロセスは、当該技術で既知の任意の適切なそのようなプロセスと、を含み得る。図1に示されているように、システムは半導体製造システム108を含み得て、これは、コンピュータサブシステム102、及び/又はここで記述されるシステムの任意の他の要素に結合され得る。半導体製造システムは、リソグラフィートラック、エッチングチャンバ、化学的機械的研磨(CMP)ツール、堆積チャンバ、ストライピング又はクリーニングチャンバなどのような、当該技術で既知の任意の半導体製造ツール及び/又はチャンバを含み得る。ここで記述される実施形態に含まれ得る適切な半導体製造ツールの例は、2005年5月10日付けでレビィらに対して発行された米国特許第6,891,627号に記述されており、この特許は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この特許に記述されるようにさらに構成され得る。
試料が変更された設計で印刷された後に、試料上のプログラムされた欠陥の位置が、高及び低解像度イメージングサブシステムを使用してイメージングされることができる。プログラムされた欠陥の高及び低解像度画像はそれから、ここで記述されるトレーニングステップで使用されることができる。このようにして、実施形態はハイブリッドアプローチを使用し得て、これは、上記で記述されたような設計空間におけるプログラムされたレイアウト欠陥の生成を含む経験的な方法とプログラムされた方法とを組み合わせて伴い、改変された設計を有するマスクを作ることによってウエハ上のプログラムされたレイアウト欠陥の経験的なインパクトを決定し、それらのマスクを使ってウエハを処理する。このようにして、ニューラルネットワークは、プログラムされた欠陥の実際の画像を使用してトレーニングされることができる。
他の実施形態では、欠陥のトレーニングセットは一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を含み、一つ又はそれ以上のコンピュータサブシステムが、設計における一つ又はそれ以上の合成欠陥を創り出すように試料に対する設計を変更することによって一つ又はそれ以上の合成欠陥を生成し、設計における一つ又はそれ以上の合成欠陥に基づいて一つ又はそれ以上の合成欠陥に対するシミュレーションされた高解像度画像を生成し、シミュレーションされた高解像度画像をトレーニングセットに追加するように構成されている。試料に対する設計を変更することによって合成欠陥を生成するステップは、ここで記述されているようにさらに実行され得る。図2に示されているように、一つ又はそれ以上の合成欠陥は「注入された」欠陥208を含み得て、これは任意の適切な方法で決定され得る。注入された欠陥208に対する情報は、CADデータ又はここで記述される任意の他の適切なタイプの設計データであり得る設計データ202を変更するために使用され得る。変更された設計データはそれから、注入された欠陥に対するシミュレーションされた高解像度画像を生成するために使用され得て、これがそれから、トレーニングセットの一部として高解像度ニューラルネットワーク200に入力され得る。トレーニングセットはそれから、ここでさらに記述されるように、高解像度ニューラルネットワークをトレーニングするために使用され得る。
シミュレーションされた高解像度画像の生成は、変更された設計が試料上に印刷されたときに何に見えるかをシミュレーションすることを含み得る。例えば、シミュレーションされた高解像度画像の生成は、合成欠陥がその上に印刷される試料のシミュレーションされた表現を生成すること含み得る。シミュレーションされた試料を生成するために使用され得る経験的にトレーニングされたプロセスモデルの一つの例は、ノースカロライナ州カリィのコヴェンター社から商業的に入手可能なSEMulator 3Dを含む。厳格なリソグラフィーシミュレーションモデルの一例はProlithであり、これはKLA-Tencor社から商業的に入手可能で、且つSEMulator 3D製品と協調して使用されることができる。しかし、シミュレーションされた試料は、設計データから実際の試料を製造する際に関与するプロセスのいずれかの任意の適切なモデルを使用して生成され得る。このようにして、変更された設計(一つ又はそれ以上の合成欠陥を含むように変更された)は、変更された設計がそこに形成されている試料が試料空間で何に見えるか(イメージングシステムに対してそのような試料が何に見えるかでは、必ずしもない)をシミュレーションするために使用され得る。それゆえ、試料のシミュレーションされた表現は、試料の2D又は3D空間で試料が何に見えるかを表し得る。
試料のシミュレーションされた表現はそれから、シミュレーションされた高解像度画像を生成するために使用され得て、これは、合成欠陥がその上に印刷されている試料が、高解像度イメージングサブシステムによって生成される試料の一つ又はそれ以上の実際の画像においてどう見えるかを描く。シミュレーションされた高解像度画像は、WINsimのようなモデルを使用して作り出され得て、これはKLAから商業的に入手可能であり、且つ電磁(EM)波ソルバを使用して検査器の応答を厳密にモデル化することができる。そのようなシミュレーションは、当該技術で既知の任意の他の適切なソフトウエア、アルゴリズム、方法、又はシステムを使用して、実行され得る。
一つのそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムが、高解像度ニューラルネットワークを使用してシミュレーションされた高解像度画像を生成するように構成され、高解像度ニューラルネットワークは深層生成的モデルとして構成される。例えば、コンピュータサブシステムは、設計(EDA/CAD)データ上に欠陥を生成する合成方法と組み合わされた深層生成的モデルを使用して高解像度画像上に現実的な系統的及び偶発的欠陥を創り出し、限定されるものではないがDLシステムを含む任意の機械学習アルゴリズムによる使用のためにトレーニングセットに注入し得る。
「生成的な」モデルは一般的に、本質的に確率的であるモデルとして定義されることができる。言い換えると、「生成的な」モデルは、前進シミュレーション又はルールに基づくアプローチを実行するモデルではなく、そのために、(シミュレーションされた画像がそのために生成されている)実際の画像又は出力の生成に関与するプロセスの物理のモデルは、必要ではない。その代わりに、ここでさらに記述されるように、生成的なモデルは、データの適切なトレーニングセットに基づいて学習される(そのパラメータが学習される)ことができる。生成的なモデルは、DLアーキテクチャを有するように構成され得て、これは数多くのアルゴリズム又は変換を実行する複数の層を含み得る。生成的なモデルに含まれる層の数は、使用される場合に依存し得る。実用的な目的に対しては、適切な層の範囲は2層~数十層である。高解像度画像(実際のウエハの画像)と設計(例えば所期のレイアウトのCAD又はベクトル表現)との間のジョイント確率分布(平均及び分散)を学習する深層生成的モデルは、トレーニングセットに含まれるシミュレーションされた高解像度画像を生成するために使用されることができる。開放、短絡、突起、貫入などのような欠陥人工物がCADに挿入され、それから、2017年5月25日付けで発行されたチャンらによる米国特許出願公報第2017/0148226号、及びキングマらによる「深層生成的モデルを用いた半教師付き学習」、NIPS2014、2014年10月31日、第1~9頁に記述されているようなネットワークによってトレーニングされた生成的モデルに供給されて、現実的な欠陥を創り出すことができる。これらの文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、これらの文献に記述されるようにさらに構成され得る。
付加的な実施形態では、欠陥のトレーニングセットは一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を含み、一つ又はそれ以上のコンピュータサブシステムが、設計における一つ又はそれ以上の合成欠陥を創り出すように試料に対する設計を変更することによって一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を生成するように構成され、且つ一つ又はそれ以上のコンピュータサブシステムが、設計における一つ又はそれ以上の合成欠陥に基づいて一つ又はそれ以上の合成欠陥に対するシミュレーションされた低解像度画像を生成するように構成されている。このようにして、シミュレーションされた低解像度画像は、低解像度イメージングサブシステムによって生成された一つ又はそれ以上の実際の画像において欠陥(例えば既知のDOI)がどのように見えるかを描く。そのため、シミュレーションされた画像は、低解像度イメージングサブシステムによる欠陥の生成され得る画像を表現(例えば、対応、シミュレーション、又は近似)し得る。
試料に対する設計を変更することによって一つ又はそれ以上の合成欠陥を生成することは、ここでさらに記述されるように実行され得る。設計(CAD)が利用可能であれば、適法な欠陥例を注入することが直接的である。例えば、開放、短絡、「マウスバイト」、突起などのようなDOIは様々なサイズでレンダリングされる(描かれる)ことができて、これはDOIの記述に基づいて自動化されることができる。EDAツールを使用して、これらのレンダリングされたDOIは、ランダムな場所の代わりに、配置における「適法な」場所に置かれることができる。一つの例では、短絡は、2つの銅線の間の接続である。そのようなDOIに対して、設計における戦略的なピンチ点に小さい短絡線を単純に追加することができる。プロセスをパターン化する欠陥もまた、セグメンテーションモードで描かれることができる。セグメンテーションモードは一般的に、検査ツールによって生成された画像がユーザ入力又は設計情報とともに又はそれ無しにセグメント化される検査段階を指す。プロセスをパターン化する欠陥は、追加、除去、ピンチオフなどされることができる材料を指し、通常は、(配置又は設計情報は、実際にはそのような欠陥の形成に寄与するが)試料上に形成される配置又は設計パターンからはいくらか独立している方法で生じる。そのようなプロセスをパターン化する欠陥の一つ又はそれ以上の例は、ユーザによってセグメント化された画像に手書きされ、且つそれから、トレーニングの間に、ここで記述されたニューラルネットワークにそのような方法で注入されることができる。
図2に示される一つのそのような例では、一つ又はそれ以上の合成欠陥は「注入された」欠陥208を含み得て、これは、ここで記述されるように決定され得る。注入された欠陥208についての情報は、CADデータ又はここで記述された任意の他の適切なタイプの設計データであり得る設計データ202を変更するために使用され得る。変更された設計データはそれから、注入された欠陥に対するシミュレーションされた低解像度画像を生成するために使用され得て、それがそれからトレーニングのために低解像度ニューラルネットワーク206に入力され得て、これはここでさらに記述されるように実行され得る。
一つのそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムが、深層生成的モデルを使用してシミュレーションされた低解像度画像を生成するように構成される。例えば、コンピュータサブシステムは、設計(EDA/CAD)データ上に欠陥を生成する合成方法と組み合わされた深層生成的モデルを使用して低解像度画像上の現実的な系統的及び偶発的欠陥を作り出し、限定されるものではないがDLシステムを含む任意の機械学習アルゴリズムによって、使用のためにトレーニングセットに注入し得る。深層生成的モデルは、ここで記述されるように構成され得る。
他のそのような実施形態では、シミュレーションされた低解像度画像の生成は生成的な対立ネットワーク(GAN)又は変分ベイズ法を使用して実行される。例えば、設計に最大限の影響を与えるために、GAN又は変分ベイズのレンダリングトリックが、トレーニングのために現実的に見える低解像度画像を創り出すために使用され得る。GAN又は変分ベイズ法は、ここでさらに記述されるように構成され且つ/又は実行され得る。
さらなる実施形態では、欠陥画像のトレーニングセットが一つ又はそれ以上の合成欠陥を含み、一つ又はそれ以上のコンピュータサブシステムは、高解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上と低解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上とを変えてセグメンテーション画像を創り出すことによって一つ又はそれ以上の合成欠陥を生成し、セグメンテーション画像に基づいて高解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上を変えて、変えられた一つ又はそれ以上の画像に基づいて一つ又はそれ以上の合成欠陥に対するシミュレーションされた低解像度画像を生成するように構成されている。例えば、設計(CAD)が利用可能でなければ、高解像度画像及び低解像度画像は、出来るだけ完全なセグメンテーション(二値化)画像を創り出すように影響され得る。このセグメンテーションを実行するために使用されることができる数多くの表現ネットワークがある。特に、高解像度画像は一般的に、低解像度画像よりも雑音が少ない。そのため、セグメンテーションアルゴリズムが、設計のように見える何かを有効に創り出す(あるいは少なくとも、低解像度画像よりも高解像度画像において、より明瞭である)ためにアプリオリに使用されることができて、それから低解像度画像に(単純な幾何学的操作か又はより複雑なニューラルネットワークの画像対画像転送のいずれかにより)転送されることができ、それによってその画像に対する比較的良好な「疑似CAD」を創り出す。ひとたび我々がこのセグメンテーション画像を有すると、欠陥が(手作業で又は自動的に描かれて)注入されることができて、それからシミュレーションされた低解像度画像が注入された欠陥に対してレンダリングされ且つトレーニングのために使用されることができる。画像対画像転送は、2017年7月13日付けで発行されたバスカルらによる米国特許出願公報第2017/0200265号に記述されているように実行され得て、この特許はあたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この特許に記述されるようにさらに構成され得る。画像セグメンテーション及び画像対画像転送また、イソラらによる「条件付き対立ネットワークによる画像対画像転送」、arXiv:1611.07004v2、2017年11月22日、計17頁に記述されているようにこの実施形態で実行され得る。この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。
一つのそのような実施形態では、シミュレーションされた低解像度画像の生成は生成的な対立ネットワーク(GAN)又は変分ベイズ法を使用して実行される。例えば、コンピュータサブシステムは、注入された欠陥の低解像度画像を再生成するためにGAN又は変分ネットワークを使用し得て、これはそれからトレーニングのために使用される。GAN又は変分ベイズ法は、ここでさらに記述されるように構成され且つ/又は使用され得る。
いくつかの実施形態では、一つ又はそれ以上のコンピュータサブシステムが、高解像度イメージングサブシステムによって試料に対して生成された画像の少なくとも一つを変更することによって欠陥画像の少なくとも一つを合成的に生成して、既知のDOIに対する高解像度画像を創り出すように構成される。例えば、既知のDOIに対して設計ルールの適法な構造に例を「ペイントする」行為は、DLネットワークが全く容易に欠陥を検出することを可能にするために使用されることができる。一つのそのような例では、図2に示されているように、欠陥画像の少なくとも一つが「ペイントされた」欠陥210を含み得る。ここで記述されるように獲得され得る既知のDOIについての情報に基づいて、既知のDOIが高解像度画像においてどのように見えるかについての情報が生成され得る。ペイントされた欠陥210についての情報は、高解像度画像を変えてペイントされた欠陥に対する高解像度画像を創り出すために使用され得る。一つの特定の例では、ブリッジ欠陥に対する情報に基づいて、一つ又はそれ以上の高解像度画像が、2つのパターン化された構造の間にそのようなブリッジを示すように変えられることができる。ブリッジ効果に対する情報は、欠陥タイプが高解像度画像でどのように見えるか、ならびに高解像度画像に対して何らかの効果を有し得る次元、材料、形状、テクスチャなどのようなブリッジ効果について期待される特性のような情報を含み得る。変更された高解像度画像は、トレーニングセットの一部として高解像度ニューラルネットワーク200に入力され得て、それからここでさらに記述されるように高解像度ニューラルネットワークをトレーニングするために使用され得る。
他の実施形態では、欠陥画像のトレーニングセットが、試料上に一つ又はそれ以上の人工的な欠陥を生じさせることが知られている試料へのプロセスを実行することによって生成された試料上の一つ又はそれ以上の人工的な欠陥の一つ又はそれ以上の画像を含む。例えば、図2に示されるように、実際のウエハデータ204は、一つ又はそれ以上のウエハ(例えば欠陥性のテストウエハ)で検出されていて且つ好ましくは(必須ではない)グラウンドツルース法(例えば、SEM欠陥レビュー法、手作業で実行されるユーザの検証又は分類、など)を使用して検証及び/又は分類されている欠陥を含み得る。検出された欠陥に対する情報は、トレーニングセットの一部として高解像度ニューラルネットワーク200に入力され得て、それから、ここにさらに記述されるように高解像度ニューラルネットワークをトレーニングするために使用され得る。
いくつかのそのような実施形態では、ユーザが、開放/短絡、及び他のタイプのDOIの例を有する欠陥性テストウエハを提供することができる。プロセス窓資格(PWQ)タイプのDOIウエハもまた、欠陥の実世界の例がユーザによって明白にされるようにモデルをトレーニングするために使用されることができる既知のDOIに対する情報を生成するための欠陥性テストウエハとして使用されることができる。そのような欠陥の例がテスト試料上に作り出されて且つ検出されるように、極端なプロセス条件が意図的に誘導されることができる。RDL層のPWQ等価物が開発されることができる。
試料上に人工的な欠陥を生じさせることが知られているプロセスは、プロセスの一つ又はそれ以上のパラメータの2つ又はそれ以上の異なる値を使って実行され得る。そのようなプロセスは、PWQ法を使用して実行され得る。例えば、PWQのような実験計画(DOE)が、合成欠陥の生成器として使用され得る。一般的に、PWQは、KLA社によって2000年代の初期に、リソグラフィーのフォーカス及び露光プロセス窓特性に対して発明された技法であり、一つの形態又は他のものに幅広く適合されている。PWQの基礎は、名目上のダイスと変調されたダイスとが系統的な様式でお互いに隣り合って存在して検査器に対する信号を最大化する、検査器と互換性があるウエハを提供することである。PWQ法で変えられるプロセスの一つ又はそれ以上のパラメータは、(例えば、フォーカス・露光PWQプロセスにおいてのように)フォーカス及び露光を含み得る。PWQ法はまた、2005年6月7日にピーターソンらに対して発行された米国特許第6,902,855号、2008年8月26日にピーターソンらに対して発行された米国特許第7,418,124号、2010年6月1日にウーらに対して発行された米国特許第7,729,529号、2010年8月3日にケカレらに対して発行された米国特許第7,769,225号、2011年10月18日にパクらに対して発行された米国特許第8,041,106号、2012年2月7日にウーらに対して発行された米国特許第8,111,900号、及び2012年7月3日にピーターソンらに対して発行された米国特許第8,213,704号に記述されているように実行され得る。これらの特許は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、これらの特許に記述されている任意の方法の任意のステップを含み得て、且つこれらの特許に記述されるようにさらに構成され得る。PWQウエハは、これらの特許に記述されているように印刷され得る。
そのようなプロセスはまた、フォーカス露光マトリクス(FEM)法を使用して実行され得る。例えば、FEM法及び/又はウエハのようなDOEは、系統的欠陥の生成器として使用され得る。FEM法は一般的に、リソグラフィープロセスの異なるフォーカス及び露光パラメータ値の組み合わせで、ウエハ上に数多くのダイを印刷するステップを伴う。異なるダイはそれから、異なるダイにおける欠陥を検出するように任意の適切な方法で検査されることができる。その情報はそれから、リソグラフィープロセスのフォーカス及び露光に対するプロセス窓を決定するために使用される。それゆえ、FEM法は、試料上にそのようなダイを印刷するために使用され得て、そのような試料上に検出された欠陥は、既知のDOIを識別するために使用され得る。
それゆえ、上記で記述されたように、PWQ及びFEMウエハのような一つ又はそれ以上のDOEは、系統的欠陥の生成器として使用され得る。このようにして、高解像度ニューラルネットワークは、系統的欠陥の生成器として作用することができるPWQ又はFEMウエハから生成された情報を使用して、トレーニングされ得る。PWQ及びそれらの「いとこの」FEMウエハは、今日では主にプロセスマージンを決定するために使用されるが、それらは、ここで記述されたニューラルネットワークを真の欠陥でトレーニングするために、別目的で再利用されることができる。これは、それらが、これらのウエハ上で豊富に生じるからである。これらのウエハ及びそれらから生成される情報はそれから、ここでさらに記述されるトレーニングのために、トレーニングサンプルとして使用されることができる。そのようなサンプルが可能性のある欠陥の完全なセットを提供しなければ、そのようなウエハから生成された情報が、合成欠陥の生成によって生成されたというような他の情報で補完され得て、これは、ここでさらに記述されるように数多くの異なる方法で実行され得る。
試料上に人工的な欠陥を生じさせることが知られているプロセスを実行することは、欠陥タイプの全てが底部ブリッジ及び金属残渣のような設計を使用して創り出されることができないときに、有用であり得る。そのような欠陥は、窓外プロセス(プロセスが、そのプロセスに対してプロセス窓の外であることが知られている一つ又はそれ以上のパラメータを使用して実行される)によって誘起されることができる。レチクルは、異なる幅のRDLコム/蛇行Rを有し得る。金属接着層の除去の異なる濃度は、これらのタイプの欠陥を作り出すために実験されることができる。これらのプロセス欠陥の位置は、チェーン抵抗を、無限大又は零が開放又は短絡を示すとして測定することによって決定され、それから最適なDLネットワークを創り出す際の使用のためにイメージングされることができる。
一つの追加の実施形態では、欠陥画像のトレーニングセットは、高解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上において試料上に検出された一つ又はそれ以上の欠陥を含み得る。例えば、図2に示されているように、実際のウエハデータ204は、一つ又はそれ以上のウエハ(例えば欠陥性テストウエハ)上で検出されていて且つ好ましくは(必須ではない)グラウンドツルース法(例えば、SEM欠陥レビュー法、手作業で実行されるユーザの検証又は分類、など)を使用して検証及び/又は分類されている欠陥を含み得る。検出された欠陥に対する情報は、トレーニングセットの一部として高解像度ニューラルネットワーク200に入力され得る。トレーニングセットはそれから、ここにさらに記述されるように高解像度ニューラルネットワークをトレーニングするために使用され得る。
一つのそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムが、高解像度イメージングサブシステムによって生成された画像における試料上の欠陥を単一画像検出(SID)によって検出するように構成される。例えば、高解像度イメージングシステムは、SIDアルゴリズムのあるバージョンによってトレーニングされ得る。SIDは、2017年5月18日付けで発行されたカルセンティらによる米国特許出願公報第2017/0140524号に記述された実施形態によって実行され得て、この文献は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述される実施形態は、この文献に記述されるようにさらに構成され得る。
他のそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムが、高解像度イメージングサブシステムによって生成された画像における試料上の欠陥をダイ対データベース検出によって検出するように構成される。例えば、コンピュータサブシステムは、機械学習アルゴリズム又は任意のダイ対データベース検査アルゴリズムにグランドツルーストレーナとして影響を与え得る。ダイ対データベース検出は、高解像度画像をその試料に対する設計データのような参照と比較することによって実行され得る。そのような比較の結果は、それゆえ差画像(参照が高解像度テスト画像から引き算される)であり得る。差画像はそれから、異なる画像における可能性のある欠陥を(例えば、閾値を差画像に適用することによって)識別するために使用され得る。
ここで記述されたようなトレーニングのために使用される欠陥画像のトレーニングセットは、それゆえに、実際の試料上にちょうど検出される実際の欠陥、あるいは、試料上に又はその試料に対してレンダリングされた画像に意図的に引き起こされたプログラムされた合成の且つ人工の欠陥を含む、いくつかの異なるソースに由来することがある。欠陥画像のトレーニングセットはまた、実際の欠陥とプログラムされた合成の且つ人工の欠陥とのいくつかの組み合わせを含むことができる。
プログラムされた、合成の、又は人工の欠陥の使用(恐らくは実際の欠陥との組み合わせで)は、いくつかの理由で有用であり得る。ここで記述されているように、ここで記述される実施形態が創り出されて且つ特に有用である用途の一つはRDLである。微細間隔RDLはチップレイアウトの比較的小さいエリアを占有するのみであるが、それらは、密なRDLパターンのために歩留まり損失の既知のソースである。高い歩留まりを達成するために、インライン欠陥検査がRDL線の形成の間に行われる。問題を複雑しているのは、RDL形成に対するキラー欠陥が、実際の製造環境ではほとんど無く且つごく稀であることである。しかし、キーとなるキラー欠陥の100%の捕捉率が、これらの微細間隔RDL検査で通常は必要とされる。検査レシピの改変の多くの反復が、全てのキラー欠陥のタイプを収容するために行われる必要があり得る。これより、製造の価値がある検査レシピは、小さな実際のキラー欠陥サンプルの希少性のために、微調整のために数週間~数か月を要することがある。
ここでのチャレンジは、最短の時間サイクル及び最小の反復を有する発見フェーズで、モードを最適化することである。例えば、約1ミクロンの実質的に小さなRDL短絡が最初の50個の実際の製品ウエハに現れないなら、アプリケーション技術者は、彼の最適化された検査レシピプロセスが完了して100%の捕捉率を達成するために、51番目のウエハを待たなければならない。しかし、ここで記述される実施形態は、系統的な反復臨界サイズ(例えば、0.5ミクロン、1.0ミクロン、及び2.0ミクロン)の欠陥をリソグラフィーマスクの固定された位置に生成するために、系統的なアプローチを提供する。金属線の短絡及びRDL金属の開放のようなリソグラフィーに基づくキラー欠陥のタイプは、斜めの又はピンドット欠陥のいずれかをマスク上に創り出してマスクパターンを破ることによって、系統的な方法で再生されることができる。3D光学的リソグラフィーシミュレーションツールが、様々なレチクル欠陥シナリオの印刷可能性を予測するために利用されることができる。実験データは、モデル化したデータをプログラム化された明瞭なピンホール及び斜めピンドット欠陥を含むレチクルで露光されたウエハのSEM測定と比較することによって、3Dシミュレータを確認するために使用されることができる。
ここで記述されているトレーニングのために使用されるプログラムされた、合成の、又は人工の欠陥はまた、製造されたリソグラフィー欠陥及びウエットエッチング起因のプロセス欠陥の両方が電気的な故障を引き起こすことができるというような方法でも、設計されることができる。蛇行又は櫛構造が、RDL短絡又は破損に対するプロキシとしてチェーン抵抗を測定するために、デイジーチェーン構造を有して設計されることができる。いくつかの新しい利点が、このアプローチによって提供される。例えば、この技法は、系統的リソグラフィー及びウエットエッチングプロセス欠陥を有する校正ウエハを作るために使用されることができる。加えて、製造された欠陥は、検査ツールによって検出された物理的な欠陥を実際の電気的故障データにマッピングすることによって、電気的故障に合致されることができる。そのようなマッピングの結果は、検査プロセスがその対応している電気的故障率でキラー欠陥を過剰検出又は過少検出するならば、検査プロセスを校正又は変更するために使用されることができる。実施形態はまた、全層RDLスタックが欠陥生成に対する各々のユーザプロセス条件及びマージンを表すので、新しく搭載されたRDL検査器を、搭載後に数週間~数か月も掛ける代わりに短い時間期間内のそのようなアプローチで、その完全集積能力状態まで持っていくロバストな方法を提供する。さらに、同じ系統的なアプローチは、異なるRDL用途に対しても、たとえそれらのRDLプロセスが非常に多様なリソグラフィー処理アプローチ(例えば、マスクアライナ、投射ステッパ、レーザ直接描画、及びレーザアブレーション)を有していても、使用されることができる。ここで記述された実施形態はまた、複数のRDL層についての主要な検出チャレンジであることができる先の層のRDL雑音の要求を満たすことができて、これらを、プログラムされた及び/又は人工の欠陥がそこに形成されているか、あるいはそれに対してプログラムされた及び/又は人工の欠陥が生成されている試料に構築することができる。加えて、プログラムされた及び/又は人工の欠陥がそこに形成されている試料は、系統的な欠陥が電気的テスト構造に相関されることができるように、創り出されるか又は変更され得る。
一つ又はそれ以上のコンピュータサブシステムはさらに、欠陥画像のトレーニングセットを入力として、低解像度ニューラルネットワークをトレーニングするように構成される。このようにして、DLに基づくマクロ検査器が高解像度イメージングシステムをデファクト検査器として使用して、低解像度マクロツールをトレーニングすることができる。低解像度ニューラルネットワークのトレーニングは、ここでさらに記述される転移学習技法の一つを使用して、例えば高解像度ニューラルネットワークをマザーネットワークとして使用することによって、実行され得る。
加えて又は代替的に、高解像度画像における既知のDOI及びそれらの位置が、低解像度画像における既知のDOIの位置を特定するために使用され得る。例えば、ここでさらに記述されるように、コンピュータサブシステムは、ここで記述される画像対画像転送技法を使用して、低解像度画像から高解像度画像を生成する(又はその逆)ように構成され得る。それゆえ、お互いに対応する(試料上の同じ位置で生成されている)高及び低解像度画像は同一であることができる。このようにして、トレーニングセットにおける欠陥画像の少なくとも一つは、高解像度イメージングシステムによって生成された画像の少なくとも一つを使用して、高解像度ニューラルネットワークによって合成的に生成され得る。高解像度画像における既知のDOIの位置がそれから、低解像度画像における既知のDOIの位置を特定するために(例えば、画像座標の転送によって、又は対応する画像の重ね合わせによって)使用されることができる。既知のDOIの位置に生成された高解像度画像はそれから、低解像度ニューラルネットワークをトレーニングするために(転移学習のためにトレーニングされた高解像度ニューラルネットワークを使用して又は使用せずに)使用されることができる。そのようなトレーニングは、高解像度ニューラルネットワークのトレーニングに関してここで記述されるように実行され得る。
一つの実施形態では、欠陥画像のトレーニングセットは、低解像度イメージングサブシステムの一つより多くのモードによって生成された試料の画像を含む。一つのそのような実施形態では、低解像度イメージングサブシステムの一つより多くのモードは、低解像度イメージングサブシステムのモードの全てを含む。例えば、低解像度ニューラルネットワークをトレーニングするために使用される低解像度画像は、30以上のモード(又は低解像度イメージングサブシステムのモードの全て)に対して生成され得る。低解像度ニューラルネットワークをトレーニングするために使用される画像のモードは、低解像度イメージングサブシステムを使用して(例えば低解像度イメージングサブシステムのモードを使用して実際の試料をイメージングすることによって)実際に生成された画像を含み得る。加えて、又は代替的に、低解像度ニューラルネットワークをトレーニングするために使用される低解像度イメージングサブシステムのモードのいくつか(一つ又はそれ以上)又は全てに対する画像は、シミュレーション又は画像対画像転送によって生成され得て、それらの両方は、ここで記述されるように実行され得る。このようにして、低解像度ニューラルネットワークをトレーニングするために使用される画像は、低解像度イメージングサブシステムを使用して生成されたモードの全てに対する画像、低解像度イメージングサブシステムを使用しないで生成されたモードの全てに対する画像、又はそれらのいくつかの組み合わせを含み得る。
ここに記述されるニューラルネットワークはまた、最小数のトレーニングサンプルを必要とするネットワークを含み得る。限定されたトレーニングセットでニューラルネットワークをトレーニングする例は、2017年7月6日付けで発行されたバスカルらによる米国特許出願公報第2017/0193400号に記述されていて、この文献はあたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この文献に記述されるようにさらに構成され得る。ここで実行されるトレーニングはまた、チャンらにより2018年6月5日付けで出願された米国特許出願第62/681,073号に記述されているもののようなアクティブラーニングスキーム(ALS)も含み得る。この出願はあたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、この特許出願に記述されるようにさらに構成され得る。
他のそのような実施形態では、一つ又はそれ以上のコンピュータサブシステムは、低解像度イメージングサブシステムの一つより多くのモードによって生成された画像で低解像度ニューラルネットワークをトレーニングした結果に基づいて、他の一つの試料(又は他の試料)における欠陥を検出するために使用される低解像度イメージングサブシステムの一つより多くのモードの一つ又はそれ以上を選択するように構成される。例えば、上記で記述されたニューサンス抑制技法と組み合わされると、トレーニングのために使用される低解像度画像の複数のモードは、低解像度イメージングサブシステムの一つ又はそれ以上(例えば3つ)の多様なモードのどれが全てのDOIを捉えて且つニューサンスを抑制することができるかを決定することができる。一つのそのような例では、DOI検出及びニューサンス抑制のための最良の組み合わせた性能を提供するモードの一つ又はそれ以上が、他の試料の検査での使用のために選択され得る。他のそのような例では、組み合わせるとDOI検出及びニューサンス抑制のための最良の組み合わせた性能を提供するモードの一つ又はそれ以上が(一つのモードは他のモードを補償し得て、及び/又は、一つより多くのモードを使用して生成された画像が組み合わせで使用される)、他の試料の検査のために選択され得る。一つ又はそれ以上のモードは、光学モードの一つ又はそれ以上のパラメータに関して多様であり得る(例えば、異なる波長、異なる偏光、異なる画素サイズ(倍率)、など)。これらのモードはそれから、ウエハ全体を走査するために使用され、且つそれから、トレーニングされた低解像度ニューラルネットワークが、これらのモードでウエハを走査することによって生成された画像を使用してDOIを検出する。このようにして、ここで記述されたDLに基づくマクロ検査器の実施形態は、検査器のモード空間全体(例えば、波長、開口、BF対DF、など)を利用することができる。
一つ又はそれ以上のコンピュータサブシステムはまた、低解像度イメージングサブシステムによって他の試料に対して生成された画像をトレーニングされた低解像度ニューラルネットワークに入力することによって、他の試料における欠陥を検出するように構成されている。このようにして、ひとたび低解像度ニューラルネットワークがトレーニングされると、低解像度イメージングサブシステムによって他の試料に対して生成された画像(可能性として、ここで記述されるように選択された低解像度イメージングサブシステムの一つ又はそれ以上のモードで)が、コンピュータサブシステムによって低解像度ニューラルネットワークに入力され得て、低解像度ニューラルネットワークは、入力画像における欠陥を検出し得て且つ検出された欠陥に対する情報を生成し得て、これは、検出された欠陥に対して低解像度ニューラルネットワークによって生成されることができる任意の適切な出力を含み得る。
一つの実施形態では、高及び低解像度ニューラルネットワークは、単一画像欠陥検出のために構成される。例えば、ダイ対ダイアルゴリズムは、欠陥検出に対してここで記述されるシステムによって実施されないかもしれない。その代わりに、コンピュータサブシステムは、欠陥検出のためにSIDを使用し得る。そのような欠陥検出を使用することは、あらゆる位置ずれ問題が欠陥検出結果に影響を与えることを排除する。SIDは、さらにここで記述されるように実行され得る。
一つの実施形態では、検査ツールはマクロ検査ツールとして構成される。マクロ検査ツールは、RDL及びポストダイスアプリケーションのような比較的雑音が多いBEOLの検査に適していて、金属線の上の結晶粒のような大きな雑音の存在下で欠陥を検出する。マクロ検査ツールはここでは、必ずしも回折で制約されず且つ約200nm~約2.0ミクロン及びそれ以上の空間解像度を有するシステムとして定義される。そのような空間解像度は、そのようなシステムが検出できる最小の欠陥が約200nmよりも大きい寸法を有しており、これが今日の市場で最先端の検査ツールが検出できる最小の欠陥よりもはるかに大きいことを意味しており、これより「マクロ」検査器という指定になる。そのようなシステムは、今日の市場で最先端の検査ツールに比べて、より長い光の波長(例えば約500nm~約700nm)を利用する傾向にある。これらのシステムは、DOIが比較的大きいサイズを有するとき、及びまた可能性として毎時100枚又はそれ以上のウエハ(wph)というスループットが要求されるときに、使用され得る(ウエハスループットは、ここでは1時間あたりに検査される300mmウエハの枚数を指す)。
ここで記述される実施形態は、モード空間ならびにアルゴリズム検出空間を最適化することによってRDL及び結晶粒性(高雑音)の層におけるニューサンスを抑制する新規なDLに基づくマクロ検査器を提供する。例えば、いくつかの実施形態では、他の試料で検出された欠陥が、他の試料のBEOL層の欠陥である。BEOL層は、ここで記述されるもののような当該技術で既知の任意のBEOL層を含み得る。さらなる実施形態では、他の試料で検出された欠陥が、他の試料のRDL層の欠陥である。RDL層は、当該技術で既知の任意の適切な構成を有し得る。
他の実施形態では、他の試料で検出された欠陥が、他の試料の高雑音性の層の欠陥である。その用語がここで使用される「高雑音性」の層は一般的に、その雑音がその層の検査における主要な障害である層を指す。例えば、任意の検査ツールによって検査される全てのウエハ層が、他の層よりも多い又は少ない雑音を示し得る(及び、そのような雑音の検出を取り扱う技法は、一般的に全てのウエハ層の検査で使用されなければならない)一方で、ウエハ層を連続的に検査する際の主要な障害は、最もしばしば、検出されなければならない極端に小さいサイズの欠陥である。対照的に、ここで記述される実施形態は、比較的大きな(約200nm及びそれ以上のサイズの「マクロな」欠陥)を検出するために特に適している。それゆえ、そのような検査における主要な障害は、検出されなければならない欠陥のサイズでは、必ずしもない(多くの検査ツールの構成はたいていの層におけるそのような大きな欠陥を検出することができるので)。その代わりに、ここで記述される層は一般的に、そのような大きなサイズの欠陥の検出でさえ、不可能ではなくても困難になることがあり得る層に対して生成された画像におけるそのような「高雑音」レベルを示す。しかし、ここで記述される実施形態は、そのような雑音レベルを、そのような高雑音層における欠陥の検出を可能にするここで記述されるトレーニング(及びオプションのモード選択)を介して取り扱うように設計されてきている。
付加的な実施形態では、他の試料で検出された欠陥が、他の試料の金属線を含む層の欠陥である。例えば、ここで記述されるBEOL層およびRDL層は、試料に形成されているデバイスの様々な要素を形成する金属線を含み得る。そのような金属線は、かなりの量の「結晶粒」雑音を作り出し得て、これはここでさらに記述される。しかし、ここでさらに記述される実施形態は、ここで記述される様々なトレーニング法のために、結晶粒雑音にかかわらず、そのような層の雑音の検出を可能にするように構成される。
いくつかの実施形態では、欠陥が検出される他の試料はポストダイス試料である。「ポストダイス」試料は一般的に、複数のデバイスが(例えば異なるダイ又はダイスに)形成され、それから様々な方法の一つでお互いから分離されるウエハ又は他の基板として定義される。「ポストダイス」試料はまた、複数のダイ又はダイスに分離されているがまだパッケージングプロセスに入っていない試料でもあり得る。
そのような層及び試料上で検出される欠陥は、例えば、RDL金属線の欠陥(短絡/ブリッジ、開放/破損した線、金属残渣/底部のブリッジ)、ビア/コンタクトの欠陥(フォトレジスト残渣/ビアの地汚れ)、バンプ欠陥、マイクロバンプ欠陥、銅ピラー欠陥、チップスタック後の欠陥、化学的機械的研磨(CMP)後の欠陥、及びグラインディング後の欠陥を含み得る。それゆえ、ここで記述された実施形態は、試料上で実行されてそのような欠陥をもたらす結果となったプロセスのいずれかをモニタ(且つ可能であれば訂正)するために使用されることができる。
ここで記述された実施形態は、数多くの理由に対するそのような欠陥の検出に特に有効であるように設計された。例えば、そのような欠陥は、実質的に雑音性の(例えば結晶粒性の)背景に置かれる傾向にあるので、検出することが比較的困難である傾向にある。一つのそのような例では、実質的な雑音は、過剰な金属結晶粒によって引き起こされ得るRDL金属線内の雑音のために、検査によって検出されることができる。他のそのような例では、実質的な雑音は、RDL層の上又は下の透明な誘電体ポリマによって引き起こされたRDL金属線間の雑音のために、検査によって検出されることができる。そのため、以前に使用されていた検査システム及び方法によって報告される実際のキラーDOIに対する偽イベントの比は、実質的に高くなることができる。しかし、ここで記述される実施形態によって比較的多数の既知のDOIで実行されることができる、ここで記述されるような低解像度ニューラルネットワークのトレーニングによって、トレーニングされた低解像度ニューラルネットワークは、大量のニューサンスを検出すること無しに、そのようなDOIを検出するために使用されることができる。加えて、そのような欠陥を検出するためにここで記述されるようなSID法を使用することは、ダイ対ダイ欠陥検出の雑音源を減らす。
AIネットワークチップ及びフィールドプログラマブルゲートアレイ(FPGA)のような高性能計算(HPC)アプリケーションは、ますます利用されており、異なる機能を一体化するための先進マルチチップパッケージングが、シリコンオンチップ(SOC)の代わりに、高速の時間対市場及びコスト有効性のある解決策になり得る。したがって、先進的パッケージングのためのはるかに密なダイ対ダイ通信入力/出力(I/O)が必要とされる。この要求を満たすために、比較的多数のダイ間の登録されたルーティング線が、産業界の参加者の間でダイ対ダイRDLのための小型化に対するコンスタントな駆動力を導く。将来の要求を満たすために、2μm/2μmの線/空間のRDL線幅が大量生産に入ろうとしており、活発な開発が、サブミクロン範囲(約1ミクロン未満)まで下がったRDL線幅で始まっている。典型的には、HPCチップのダイサイズは実質的に大きく、不評なことに歩留まりが低い。キーとなる歩留まり損失エリアは、微細ピッチRDL線が配置されているところである。例えば、今日のファンアウトパッケージは、5μmの線及び空間(5-5μm)以上の範囲であり、且つ2-2μmが進行中である。研究開発では、高帯域メモリ(HBM)をサポートすることができるパッケージを含めて1-1μm及びそれ以下のハイエンドのファンアウト技術に取り組んでいる者がいる。ネットワーク/サーバ用途に対してターゲットされて、2-2μmのファンアウトが間もなく現れ得て、2020年あたりには1-1μmが予定され得る。ここで記述される実施形態は、そのようなデバイスにおける有効且つ効率的な欠陥検出のためのシステム及び方法を有益に提供し、それによってそのようなデバイスの首尾よい製造における顕著な障害を克服する。
ここで記述される実施形態はそれゆえ、ここで記述される試料上の欠陥を検出するための他の方法及びシステムに対して数多くの利点を有しており、それらのいくつかは上記で記述されている。加えて、ここで記述されるステップは、ここで記述される試料に対する検査レシピを設定するために現時点で必要とされている2週間のデータ収集を、8時間のデータ収集とそれに引き続く約1~2時間のオフライン処理に低減することができる。このフェーズでは、ネットワークは、ウエハ全体を処理できるようにトレーニングされる。加えて、ここで記述されるトレーニングは、最小限のユーザ関与を必要とする。例えば、ユーザは最大100個のイベントを分類し得て、又は最大100個の欠陥をペイントし得る。ネットワークは、コア欠陥を捕捉し且つ本当の雑音を抑制するようにハイパー調整される。本当のDOIがこのネットワークによって検出されると、それらは、ここで記述された人工の欠陥よりもはるかに重く重み付けされることができて、DOI検出及びニューサンス率の抑制の両方のために低解像度ニューラルネットワークを微調整するために使用されることができる。そのような調整はアクティブラーニング法又はスキームで実行され得て、これは、さらにここで記述されるように実行され得る。
ここで記述された実施形態は、共通に所有されている2017年5月18日付けで発行されたカルセンティらによる米国特許出願公報第2017/0140524号、2017年5月25日付けで発行されたチャンらによる米国特許出願公報第2017/0148226号、2017年7月6日付けで発行されたバスカルらによる米国特許出願公報第2017/0193400号、2017年7月6日付けで発行されたチャンらによる米国特許出願公報第2017/0193680号、2017年7月6日付けで発行されたバスカルらによる米国特許出願公報第2017/0194126号、2017年7月13日付けで発行されたバスカルらによる米国特許出願公報第2017/0200260号、2017年7月13日付けで発行されたパクらによる米国特許出願公報第2017/0200264号、2017年7月13日付けで発行されたバスカルらによる米国特許出願公報第2017/0200265号、2017年11月30日付けで発行されたチャンらによる米国特許出願公報第2017/0345140号、2019年3月7日付けで発行されたブラウアによる米国特許出願公報第2019/0073566号、及び2019年3月7日付けで発行されたヒーらによる米国特許出願公報第2019/0073568号に記述されているようにさらに構成され得る。これらの出願は、あたかもここに完全に明らかにされているかのように参照によって援用される。ここで記述された実施形態は、これらの文献に記述されるようにさらに構成され得る。加えて、ここで記述される実施形態はこれらの文献で記述される任意のステップを実行するように構成され得る。
ここで記述される実施形態の全ては、実施形態の一つ又はそれ以上の結果をコンピュータ読み取り可能な記憶媒体に記憶するステップを含み得る。結果は、ここで記述された結果のいずれかを含み得て、且つ当該技術で既知の任意の方法で記憶され得る。記憶媒体は、ここで記述された任意の記憶媒体、又は当該技術で既知の任意の他の適切な記憶媒体を含み得る。結果が記憶された後に、結果は記憶媒体内でアクセスされることができて、且つここで記述された方法又はシステムの実施形態のいずれかによって使用され、ユーザに対する表示のためにフォーマットされ、他のソフトウエアモジュール、方法、又はシステムなどによって使用されてその試料又は他の試料に対する一つ又はそれ以上の機能を実行することができる。そのような機能は、限定されるものではないが、欠陥が検出された試料に対して実行された又は実行される製造プロセス又はステップのようなプロセスのフィードバック又はフィードフォワード方法での変更などを含む。
ここで記述されたシステムの各々の実施形態の各々は、一つの単一の実施形態に一緒に組み合わせられ得る。
他の実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするためのコンピュータ具現化可能な方法に関する。この方法は、検査ツールの高及び低解像度イメージングサブシステムで試料に対する画像を生成するステップを含む。イメージングサブシステム及び検査ツールは、ここにさらに記述されるように構成される。一つの又はそれ以上の構成要素は一つ又はそれ以上のコンピュータシステムによって実行され、一つの又はそれ以上の構成要素は高解像度ニューラルネットワーク及び低解像度ニューラルネットワークを含む。一つ又はそれ以上の構成要素、一つ又はそれ以上のコンピュータシステム、ならびに高及び低解像度ニューラルネットワークは、ここでさらに記述されるように構成される。方法は、ここでさらに記述された欠陥画像のトレーニングセットの生成、低解像度ニューラルネットワークのトレーニング、及び欠陥検出の各ステップを含む。これらのステップは、一つ又はそれ以上のコンピュータシステムによって実行される。
方法のステップの各々は、ここでさらに記述されるように実行され得る。方法はまた、ここで記述されたシステム、コンピュータシステム、及び/又はニューラルネットワークによって実行されることができる任意の他のステップも含み得る。コンピュータシステムは、ここで記述された実施形態のいずれかに従って構成され得て、例えばコンピュータサブシステム102であり得る。加えて、上記で記述された方法は、ここで記述されたシステム実施形態のいずれかによって実行され得る。
付加的な実施形態は、低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするためのコンピュータ具現化可能な方法を実行するために、一つ又はそれ以上のコンピュータシステムで実行可能なプログラム指令を記憶している非一時的なコンピュータ読み取り可能な媒体に関する。一つのそのような実施形態は、図3に示されている。特に、図3に示されているように、非一時的なコンピュータ読み取り可能な記憶媒体300は、コンピュータシステム304で実行可能なプログラム指令302を含む。コンピュータ具現化可能な方法は、ここで記述された任意の方法の任意のステップを含み得る。
ここで記述されたもののような方法を具現化するプログラム指令302は、コンピュータ読み取り可能媒体300に記憶され得る。コンピュータ読み取り可能媒体は、磁気又は光ディスク、磁気テープ、又は当該技術で既知の任意の他の適切な非一時的なコンピュータ読み取り可能媒体のような記憶媒体であり得る。
プログラム指令は様々な方法のいずれかで具現化され得て、他のものの中で、手順に基づく技法、構成要素に基づく技法、及び/又はオブジェクト指向の技法を含む。例えば、プログラム指令は、所望であれば、ActiveX制御、C++オブジェクト、JavaBeans、マイクロソフトファウンデーションクラス(「MFC」)、SSE(ストリーミングSIMD拡張)、又は他の技法又は計測手法を使用して具現化され得る。
コンピュータシステム304は、ここで記述された実施形態のいずれかにしたがって構成され得る。
本発明の様々な局面のさらなる改変及び代替的な実施形態が、本明細書を考慮すれば、当業者には明らかになるであろう。例えば、低解像度画像における欠陥検出のために低解像度ニューラルネットワークをトレーニングするための方法及びシステムが提供される。したがって、本明細書は、描写的であるだけとみなされるべきであって、本発明を実行する一般的な方法を当業者に教示する目的のものである。ここに示され且つ記述されている本発明の形態が現時点で好適な実施形態として取られるべきであることを理解されたい。本発明の本明細書の恩恵を受けた後に全て当業者に明らかであるように、要素及び材料は、ここで描かれ且つ記述されたものを置換し得て、部分及びプロセスは逆にされ得て、且つ本発明のある特徴は独立して使用され得る。以下の特許請求項に記述された本発明の思想及び範囲から逸脱することなく、ここに記述された要素に変更を成し得る。

Claims (31)

  1. 低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするように構成されたシステムであって、
    高解像度イメージングサブシステムと低解像度イメージングサブシステムとを備え、前記高及び低解像度イメージングサブシステムが少なくともエネルギー源と検出器とを備えており、前記エネルギー源が試料に向けられるエネルギーを生成するように構成されており、前記検出器が前記試料からのエネルギーを検出して且つ前記検出されたエネルギーに応答した画像を生成するように構成されている、検査ツールと、
    前記高及び低解像度イメージングサブシステムによって生成された前記試料の画像を獲得するように構成された一つ又はそれ以上のコンピュータサブシステムと、
    高解像度ニューラルネットワーク及び低解像度ニューラルネットワークを備え、前記一つ又はそれ以上のコンピュータサブシステムによって実行される、一つ又はそれ以上の構成要素と、
    を備えており、
    前記一つ又はそれ以上のコンピュータサブシステムがさらに、
    欠陥画像のトレーニングセットを生成し、前記欠陥画像の少なくとも一つが、前記高解像度イメージングサブシステムによって生成された画像の少なくとも一つを使用して、前記高解像度ニューラルネットワークによって合成的に生成され、
    前記低解像度ニューラルネットワークを、前記欠陥画像のトレーニングセットを入力として使用してトレーニングし、
    前記低解像度イメージングサブシステムによって他の試料に対して生成された画像を前記トレーニングされた低解像度ニューラルネットワークに入力することによって、他の試料における欠陥を検出する、
    ように構成されている、システム。
  2. 前記欠陥画像のトレーニングセットが、前記低解像度イメージングサブシステムの一つより多くのモードによって生成された前記試料の画像を備える、請求項1に記載のシステム。
  3. 前記低解像度イメージングサブシステムの一つより多くのモードが、前記低解像度イメージングサブシステムのモードの全てを備える、請求項2に記載のシステム。
  4. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記低解像度イメージングサブシステムの一つより多くのモードによって生成された画像で前記低解像度ニューラルネットワークをトレーニングした結果に基づいて、前記他の試料における欠陥を検出するために使用される前記低解像度イメージングサブシステムの一つより多くのモードのうちの一つ又はそれ以上を選択するように構成されている、請求項2に記載のシステム。
  5. 前記検査ツールが、マクロ検査ツールとして構成されている、請求項1に記載のシステム。
  6. 前記他の試料にて検出された欠陥が、前記他の試料の後端層の欠陥である、請求項1に記載のシステム。
  7. 前記他の試料にて検出された欠陥が、前記他の試料の再分配層の欠陥である、請求項1に記載のシステム。
  8. 前記他の試料にて検出された欠陥が、前記他の試料の高雑音層の欠陥である、請求項1に記載のシステム。
  9. 前記他の試料にて検出された欠陥が、前記他の試料の金属線を備える層の欠陥である、請求項1に記載のシステム。
  10. 前記欠陥が検出された前記他の試料がポストダイスの試料である、請求項1に記載のシステム。
  11. 前記高及び低解像度ニューラルネットワークが単一画像欠陥検出のために構成されている、請求項1に記載のシステム。
  12. 前記欠陥画像のトレーニングセットが、前記試料における一つ又はそれ以上のプログラムされた欠陥の一つ又はそれ以上の画像を備えており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記試料に対する設計を変えて前記設計における一つ又はそれ以上のプログラムされた欠陥を創り出すことによって前記一つ又はそれ以上のプログラムされた欠陥を生成するように構成されており、前記変えられた設計が前記試料上に印刷されて、前記試料における一つ又はそれ以上のプログラムされた欠陥を創り出す、請求項1に記載のシステム。
  13. 前記欠陥画像のトレーニングセットが一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を備えており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記試料に対する設計を変えて前記設計における一つ又はそれ以上の合成欠陥を創り出すことによって前記一つ又はそれ以上の合成欠陥を生成し、前記設計における一つ又はそれ以上の合成欠陥に基づいて前記一つ又はそれ以上の合成欠陥のシミュレーションされた高解像度画像を生成し、且つ前記シミュレーションされた高解像度画像を前記トレーニングセットに追加するように構成されている、請求項1に記載のシステム。
  14. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度ニューラルネットワークを使用して前記シミュレーションされた高解像度画像を生成するように構成されており、前記高解像度ニューラルネットワークが深層の生成的モデルとして構成されている、請求項13に記載のシステム。
  15. 前記欠陥画像のトレーニングセットが一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を備えており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記試料に対する設計を変えて前記設計における一つ又はそれ以上の合成欠陥を創り出すことによって前記一つ又はそれ以上の合成欠陥の一つ又はそれ以上の画像を生成するように構成されており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記設計における一つ又はそれ以上の合成欠陥に基づいて前記一つ又はそれ以上の合成欠陥に対するシミュレーションされた低解像度画像を生成するように構成されている、請求項1に記載のシステム。
  16. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、深層生成的モデルを使用して前記シミュレーションされた低解像度画像を生成するように構成されている、請求項15に記載のシステム。
  17. 前記シミュレーションされた低解像度画像の生成が、生成的対立ネットワーク又は変分ベイズ法で実行される、請求項15に記載のシステム。
  18. 前記欠陥画像のトレーニングセットが一つ又はそれ以上の合成欠陥を備えており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上と前記低解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上とを変えてセグメンテーション画像を創り出すことによって前記一つ又はそれ以上の合成欠陥を生成し、前記セグメンテーション画像に基づいて前記高解像度イメージングサブシステムによって生成された前記一つ又はそれ以上の画像を変えて、前記変えられた一つ又はそれ以上の画像に基づいて前記一つ又はそれ以上の合成欠陥に対するシミュレーションされた低解像度画像を生成するように構成されている、請求項1に記載のシステム。
  19. 前記シミュレーションされた低解像度画像の生成が、生成的対立ネットワーク又は変分ベイズ法で実行される、請求項18に記載のシステム。
  20. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度イメージングサブシステムによって前記試料に対して生成された画像の少なくとも一つを変えて既知の対象欠陥に対する高解像度イメージを生成することによって、前記欠陥画像の少なくとも一つを合成的に生成するように構成されている、請求項1に記載のシステム。
  21. 前記欠陥画像のトレーニングセットが、前記試料における一つ又はそれ以上の人工欠陥を生じさせることが知られているプロセスを前記試料に対して実行することによって生成された前記試料における一つ又はそれ以上の人工欠陥の一つ又はそれ以上の画像を備えている、請求項1に記載のシステム。
  22. 前記欠陥画像のトレーニングセットが、前記高解像度イメージングサブシステムによって生成された画像の一つ又はそれ以上において前記試料上で検出された一つ又はそれ以上の欠陥を備えている、請求項1に記載のシステム。
  23. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度イメージングサブシステムによって生成された画像において前記試料上の欠陥を単一画像検出によって検出するように構成されている、請求項22に記載のシステム。
  24. 前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度イメージングサブシステムによって生成された画像において前記試料上の欠陥をダイ対データベース検出によって検出するように構成されている、請求項22に記載のシステム。
  25. 前記検査ツールが、前記試料上のスワスを走査しながら前記試料からのエネルギーを検出するように構成されており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記高解像度イメージングサブシステムによって生成された画像のスワスの少なくとも3つを、前記スワスの少なくとも3つが前記欠陥画像のトレーニングセットの生成における使用のために利用可能であるように獲得し且つ記憶するように構成されている、請求項1に記載のシステム。
  26. 前記一つ又はそれ以上のコンピュータサブシステムがさらに前記高解像度ニューラルネットワークをトレーニングするように構成されており、前記高解像度ニューラルネットワークのトレーニング及び前記低解像度ニューラルネットワークのトレーニングが生成的対立ネットワーク又は変分ベイズ法を使用して実行される、請求項1に記載のシステム。
  27. 前記高解像度ニューラルネットワークが半教師付き深層学習フレームワークとして構成されている、請求項1に記載のシステム。
  28. 前記低解像度ニューラルネットワークが半教師付き深層学習フレームワークとして構成されている、請求項1に記載のシステム。
  29. 前記低解像度イメージングサブシステムによって生成され且つ前記一つ又はそれ以上のコンピュータサブシステムによって獲得された画像が、焦点を通して取られた画像を備えており、前記一つ又はそれ以上のコンピュータサブシステムがさらに、前記焦点を通して取られた画像を前記高解像度イメージングサブシステムによって生成された画像にマッピングするように構成されており、前記低解像度ニューラルネットワークのトレーニングがさらに、前記高解像度ニューラルネットワークのトレーニングの結果及び前記マッピングの結果に基づいて実行される、請求項1に記載のシステム。
  30. 低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするコンピュータ具現化可能な方法を実行するために一つ又はそれ以上のコンピュータシステムで実行可能なプログラム指令を記憶している非一時的コンピュータ読み取り可能媒体であって、
    前記コンピュータ具現化可能な方法が、
    検査ツールの高及び低解像度イメージングサブシステムで試料に対する画像を生成するステップであって、
    前記高及び低解像度イメージングサブシステムが少なくともエネルギー源と検出器とを備えており、前記エネルギー源が試料に向けられるエネルギーを生成するように構成されており、前記検出器が前記試料からのエネルギーを検出して且つ前記検出されたエネルギーに応答した画像を生成するように構成されており、
    一つ又はそれ以上の構成要素が前記一つ又はそれ以上のコンピュータサブシステムによって実行され、前記一つ又はそれ以上の構成要素が高解像度ニューラルネットワークと低解像度ニューラルネットワークとを備えている、ステップと、
    欠陥画像のトレーニングセットを生成するステップであって、前記欠陥画像の少なくとも一つが、前記高解像度イメージングシステムによって生成された画像の少なくとも一つを使用して、前記高解像度ニューラルネットワークによって合成的に生成される、ステップと、
    前記低解像度ニューラルネットワークを、前記欠陥画像のトレーニングセットを入力として使用してトレーニングするステップと、
    前記低解像度イメージングサブシステムによって他の試料に対して生成された画像を前記トレーニングされた低解像度ニューラルネットワークに入力することによって、他の試料における欠陥を検出するステップであって、前記欠陥の検出が前記一つ又はそれ以上のコンピュータシステムによって実行される、ステップと、
    を包含している、非一時的コンピュータ読み取り可能媒体。
  31. 低解像度画像における欠陥検出のためにニューラルネットワークをトレーニングするコンピュータ具現化可能な方法であって、
    検査ツールの高及び低解像度イメージングサブシステムで試料に対する画像を生成するステップであって、
    前記高及び低解像度イメージングサブシステムが少なくともエネルギー源と検出器とを備えており、前記エネルギー源が試料に向けられるエネルギーを生成するように構成されており、前記検出器が前記試料からのエネルギーを検出して且つ前記検出されたエネルギーに応答した画像を生成するように構成されており、
    一つ又はそれ以上の構成要素が前記一つ又はそれ以上のコンピュータサブシステムによって実行され、前記一つ又はそれ以上の構成要素が高解像度ニューラルネットワークと低解像度ニューラルネットワークとを備えている、ステップと、
    欠陥画像のトレーニングセットを生成するステップであって、前記欠陥画像の少なくとも一つが、前記高解像度イメージングシステムによって生成された画像の少なくとも一つを使用して、前記高解像度ニューラルネットワークによって合成的に生成される、ステップと、
    前記低解像度ニューラルネットワークを、前記欠陥画像のトレーニングセットを入力として使用してトレーニングするステップと、
    前記低解像度イメージングサブシステムによって他の試料に対して生成された画像を前記トレーニングされた低解像度ニューラルネットワークに入力することによって、他の試料における欠陥を検出するステップであって、前記欠陥の検出が前記一つ又はそれ以上のコンピュータシステムによって実行される、ステップと、
    を包含している、方法。
JP2020549565A 2018-03-28 2019-03-28 低解像度画像における欠陥検出のためのニューラルネットワークのトレーニング Active JP7122386B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862649443P 2018-03-28 2018-03-28
US62/649,443 2018-03-28
US16/364,140 US10599951B2 (en) 2018-03-28 2019-03-25 Training a neural network for defect detection in low resolution images
US16/364,140 2019-03-25
PCT/US2019/024453 WO2019191346A1 (en) 2018-03-28 2019-03-28 Training a neural network for defect detection in low resolution images

Publications (2)

Publication Number Publication Date
JP2021518597A JP2021518597A (ja) 2021-08-02
JP7122386B2 true JP7122386B2 (ja) 2022-08-19

Family

ID=68056375

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020549565A Active JP7122386B2 (ja) 2018-03-28 2019-03-28 低解像度画像における欠陥検出のためのニューラルネットワークのトレーニング

Country Status (7)

Country Link
US (1) US10599951B2 (ja)
EP (1) EP3762961A4 (ja)
JP (1) JP7122386B2 (ja)
KR (1) KR102390313B1 (ja)
CN (1) CN111819676B (ja)
TW (1) TWI773888B (ja)
WO (1) WO2019191346A1 (ja)

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3526766A1 (en) * 2017-01-12 2019-08-21 EOS GmbH Electro Optical Systems Method of detecting process irregularities by means of volume image data of the manufactured object
TWI653605B (zh) * 2017-12-25 2019-03-11 由田新技股份有限公司 利用深度學習的自動光學檢測方法、設備、電腦程式、電腦可讀取之記錄媒體及其深度學習系統
US10846845B2 (en) * 2018-07-25 2020-11-24 Fei Company Training an artificial neural network using simulated specimen images
US10867108B2 (en) * 2018-09-18 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Optical mode optimization for wafer inspection
US10964006B2 (en) * 2018-11-16 2021-03-30 Artifical Intelligence Foundation, Inc Identification of neural-network-generated fake images
US10964015B2 (en) * 2019-01-15 2021-03-30 International Business Machines Corporation Product defect detection
JP2020160616A (ja) * 2019-03-25 2020-10-01 ブラザー工業株式会社 生成装置、コンピュータプログラム、生成方法
US11551348B2 (en) 2019-04-09 2023-01-10 KLA Corp. Learnable defect detection for semiconductor applications
US11521309B2 (en) 2019-05-30 2022-12-06 Bruker Nano, Inc. Method and apparatus for rapid inspection of subcomponents of manufactured component
US11636584B2 (en) * 2019-06-14 2023-04-25 Zhejiang University Real-time traceability method of width of defect based on divide-and-conquer
US11948292B2 (en) * 2019-07-02 2024-04-02 MakinaRocks Co., Ltd. Systems and methods for detecting flaws on panels using images of the panels
WO2021033792A1 (ko) * 2019-08-19 2021-02-25 엘지전자 주식회사 Ai 기반의 사전 훈련 모델 결정 시스템 및 이를 이용한 제품 생산 라인을 위한 ai 기반의 비전 검사 관리 시스템
CN112686831B (zh) * 2019-10-17 2024-06-14 神讯电脑(昆山)有限公司 基于人工神经网络的物件表面型态的检测方法
CN110880176B (zh) * 2019-11-19 2022-04-26 浙江大学 一种基于对抗生成网络的半监督工业图像缺陷分割方法
CN110991299B (zh) * 2019-11-27 2023-03-14 中新国际联合研究院 一种物理域上针对人脸识别系统的对抗样本生成方法
CN111104967B (zh) * 2019-12-02 2023-12-22 精锐视觉智能科技(上海)有限公司 图像识别网络训练方法、图像识别方法、装置及终端设备
TWI732370B (zh) 2019-12-04 2021-07-01 財團法人工業技術研究院 神經網路模型的訓練裝置和訓練方法
CN111198815B (zh) * 2019-12-24 2023-11-03 中移(杭州)信息技术有限公司 用户界面的兼容性测试方法及装置
CN111145162B (zh) * 2019-12-30 2023-04-18 南京诚芯集成电路技术研究院有限公司 一种基于迁移学习确定euv掩膜版缺陷尺寸的方法
US11449711B2 (en) * 2020-01-02 2022-09-20 Applied Materials Isreal Ltd. Machine learning-based defect detection of a specimen
CN111260621B (zh) * 2020-01-14 2023-05-09 湖南大学 一种印制电路板表面缺陷定位与识别方法
US11256967B2 (en) * 2020-01-27 2022-02-22 Kla Corporation Characterization system and method with guided defect discovery
WO2021160380A1 (en) * 2020-02-14 2021-08-19 Asml Netherlands B.V. Determining lithographic matching performance
US11961219B2 (en) 2020-02-27 2024-04-16 KLA Corp. Generative adversarial networks (GANs) for simulating specimen images
US11675340B2 (en) * 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
US11379972B2 (en) * 2020-06-03 2022-07-05 Applied Materials Israel Ltd. Detecting defects in semiconductor specimens using weak labeling
EP3923193B1 (de) 2020-06-12 2024-03-27 Robert Bosch GmbH Messung der empfindlichkeit von bildklassifikatoren gegen veränderungen des eingabebildes
WO2021250884A1 (ja) * 2020-06-12 2021-12-16 株式会社日立ハイテク 欠陥検査のための方法、システム、及びコンピューター可読媒体
TWI758762B (zh) * 2020-06-24 2022-03-21 萬里雲互聯網路有限公司 兼顧不平衡資料集與高召回率要求的對抗樣本生成方法、系統及電腦可讀取記錄媒體
US11232550B2 (en) * 2020-06-29 2022-01-25 Applied Materials Israel Ltd. Generating a training set usable for examination of a semiconductor specimen
CN111783338B (zh) * 2020-06-30 2023-04-21 平安国际智慧城市科技股份有限公司 基于人工智能的微观组织金属强度分布预测方法及装置
CN111768404A (zh) * 2020-07-08 2020-10-13 北京滴普科技有限公司 口罩外观缺陷检测系统、方法、装置以及存储介质
CN111915622B (zh) * 2020-07-09 2024-01-23 沈阳先进医疗设备技术孵化中心有限公司 一种图像分割网络模型的训练及图像分割方法、装置
US11328410B2 (en) * 2020-08-03 2022-05-10 KLA Corp. Deep generative models for optical or other mode selection
US11776108B2 (en) 2020-08-05 2023-10-03 KLA Corp. Deep learning based defect detection
CN112116560B (zh) * 2020-08-20 2023-09-26 华南理工大学 一种焊接图像缺陷识别方法、装置、存储介质及设备
US11727052B2 (en) 2020-09-03 2023-08-15 General Electric Company Inspection systems and methods including image retrieval module
US11507616B2 (en) 2020-09-03 2022-11-22 General Electric Company Inspection systems and methods including image retrieval module
US11900581B2 (en) 2020-09-22 2024-02-13 Future Dial, Inc. Cosmetic inspection system
US11836912B2 (en) * 2020-09-22 2023-12-05 Future Dial, Inc. Grading cosmetic appearance of a test object based on multi-region determination of cosmetic defects
US20220114438A1 (en) * 2020-10-09 2022-04-14 Kla Corporation Dynamic Control Of Machine Learning Based Measurement Recipe Optimization
CN112200797B (zh) * 2020-10-28 2024-04-05 佛山市南海区广工大数控装备协同创新研究院 一种基于pcb噪声标注数据的有效训练方法
CN112557399B (zh) * 2020-11-30 2022-11-22 河北白沙烟草有限责任公司 一种烟机设备质量检测系统点检方法及装置
AU2020281143B1 (en) 2020-12-04 2021-03-25 Commonwealth Scientific And Industrial Research Organisation Creating super-resolution images
TWI786799B (zh) * 2020-12-07 2022-12-11 南亞科技股份有限公司 電子系統及樣品鑑定方法
CN112685504B (zh) * 2021-01-06 2021-10-08 广东工业大学 一种面向生产过程的分布式迁移图学习方法
CN112818764B (zh) * 2021-01-15 2023-05-02 西安交通大学 一种基于特征重建模型的低分辨率图像人脸表情识别方法
CN114862740A (zh) * 2021-01-18 2022-08-05 富泰华工业(深圳)有限公司 瑕疵检测方法、装置、电子设备及计算机可读存储介质
TW202238110A (zh) * 2021-02-23 2022-10-01 以色列商奧寶科技有限公司 使用混合成像系統之自動光學檢測
KR102588298B1 (ko) 2021-03-16 2023-10-12 한국과학기술원 조작 종류 판별 장치 및 방법
CN112967267B (zh) * 2021-03-23 2024-01-23 湖南珞佳智能科技有限公司 一种全卷积神经网络的激光定向能量沉积溅射计数方法
CN113052865B (zh) * 2021-04-16 2023-12-19 南通大学 一种基于图像相似度的输电线路小样本温度图像扩增方法
CN117893817A (zh) * 2021-04-30 2024-04-16 上海众壹云计算科技有限公司 晶圆缺陷分类方法及其装置、系统、电子设备和存储介质
CN113450307B (zh) * 2021-05-12 2023-07-25 西安电子科技大学 一种产品边缘缺陷检测方法
US20220374720A1 (en) * 2021-05-18 2022-11-24 Samsung Display Co., Ltd. Systems and methods for sample generation for identifying manufacturing defects
TWI782539B (zh) * 2021-05-21 2022-11-01 聯策科技股份有限公司 智慧化加工之方法與系統
EP4102318A1 (en) * 2021-06-10 2022-12-14 Siemens Aktiengesellschaft A method of virtually inspecting a quality of a product
CN113344886A (zh) * 2021-06-11 2021-09-03 长江存储科技有限责任公司 晶圆表面缺陷检测方法和设备
CN114119557B (zh) * 2021-11-29 2024-04-26 哈尔滨工业大学 一种基于卷积神经网络的光学元件快速暗场检测方法
US20230196732A1 (en) * 2021-12-20 2023-06-22 Kla Corporation Machine learning using a global texture characteristic for semiconductor-based applications
KR102428326B1 (ko) * 2021-12-21 2022-08-02 서울시립대학교 산학협력단 인공지능 기반의 결함 탐지 방법 및 시스템
WO2023127081A1 (ja) * 2021-12-28 2023-07-06 株式会社日立ハイテク 画像検査装置、画像処理方法
TWI795153B (zh) * 2021-12-29 2023-03-01 國立成功大學 網路惡意流量分析之平衡式資料集生成與處理系統及其方法
CN114399790B (zh) * 2021-12-31 2022-11-18 中国科学院大学 一种基于非配准多模态数据的微小人物检测方法、介质和设备
DE102022205835A1 (de) 2022-06-08 2023-12-14 Robert Bosch Gesellschaft mit beschränkter Haftung Verfahren zum Zuordnen von wenigstens einem Algorithmus des maschinellen Lernens eines Ensemble-Algorithmus des maschinellen Lernens zu einem von wenigstens zwei Rechenknoten zur Ausführung
CN115345782A (zh) * 2022-08-12 2022-11-15 腾讯科技(深圳)有限公司 图像处理方法、装置、计算机、可读存储介质及程序产品
WO2024088665A1 (en) * 2022-10-23 2024-05-02 Asml Netherlands B.V. Training a machine learning model to predict images representative of defects on a substrate
CN115631178B (zh) * 2022-11-03 2023-11-10 昆山润石智能科技有限公司 自动晶圆缺陷检测方法、系统、设备及存储介质
CN115713653B (zh) * 2022-11-10 2023-10-10 中国铁塔股份有限公司黑龙江省分公司 塔桅结构破损位置图像识别方法
CN117689966B (zh) * 2024-02-04 2024-05-24 中国科学院深圳先进技术研究院 一种基于量子贝叶斯神经网络的磁共振图像分类方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345140A1 (en) 2016-05-25 2017-11-30 Kla-Tencor Corporation Generating simulated images from input images for semiconductor applications

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6466314B1 (en) * 1998-09-17 2002-10-15 Applied Materials, Inc. Reticle design inspection system
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US7418124B2 (en) 2002-07-15 2008-08-26 Kla-Tencor Technologies Corp. Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
US6902855B2 (en) 2002-07-15 2005-06-07 Kla-Tencor Technologies Qualifying patterns, patterning processes, or patterning apparatus in the fabrication of microlithographic patterns
JP2004354251A (ja) 2003-05-29 2004-12-16 Nidek Co Ltd 欠陥検査装置
US7409372B2 (en) * 2003-06-20 2008-08-05 Hewlett-Packard Development Company, L.P. Neural network trained with spatial errors
US7729529B2 (en) * 2004-12-07 2010-06-01 Kla-Tencor Technologies Corp. Computer-implemented methods for detecting and/or sorting defects in a design pattern of a reticle
WO2006137253A1 (ja) * 2005-06-22 2006-12-28 Matsushita Electric Industrial Co., Ltd. 画像生成装置および画像生成方法
US7769225B2 (en) 2005-08-02 2010-08-03 Kla-Tencor Technologies Corp. Methods and systems for detecting defects in a reticle design pattern
US7570796B2 (en) * 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
JP4933186B2 (ja) * 2006-07-26 2012-05-16 キヤノン株式会社 画像処理装置、画像処理方法、プログラム及び記憶媒体
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US7782452B2 (en) 2007-08-31 2010-08-24 Kla-Tencor Technologies Corp. Systems and method for simultaneously inspecting a specimen with two distinct channels
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
US8041106B2 (en) 2008-12-05 2011-10-18 Kla-Tencor Corp. Methods and systems for detecting defects on a reticle
JP5297261B2 (ja) * 2009-04-28 2013-09-25 株式会社日立ハイテクノロジーズ 観察欠陥選択処理方法、欠陥観察方法、観察欠陥選択処理装置、欠陥観察装置
US8577820B2 (en) * 2011-03-04 2013-11-05 Tokyo Electron Limited Accurate and fast neural network training for library-based critical dimension (CD) metrology
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
US8716662B1 (en) * 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) * 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
CN103196915B (zh) * 2013-02-26 2015-05-27 无锡微焦科技有限公司 一种物体探测系统
US9613411B2 (en) 2014-03-17 2017-04-04 Kla-Tencor Corp. Creating defect classifiers and nuisance filters
US9816940B2 (en) 2015-01-21 2017-11-14 Kla-Tencor Corporation Wafer inspection with focus volumetric method
US10012599B2 (en) * 2015-04-03 2018-07-03 Kla-Tencor Corp. Optical die to database inspection
CN106248684B (zh) * 2015-06-03 2019-12-17 法国圣戈班玻璃公司 用于检测透明基底的内部瑕疵的光学装置及方法
US10062543B2 (en) * 2015-06-23 2018-08-28 Kla-Tencor Corp. Determining multi-patterning step overlay error
US10186026B2 (en) 2015-11-17 2019-01-22 Kla-Tencor Corp. Single image detection
US9965901B2 (en) 2015-11-19 2018-05-08 KLA—Tencor Corp. Generating simulated images from design information
US9721334B2 (en) * 2015-12-03 2017-08-01 International Business Machines Corporation Work-piece defect inspection via optical images and CT images
TWI737659B (zh) * 2015-12-22 2021-09-01 以色列商應用材料以色列公司 半導體試樣的基於深度學習之檢查的方法及其系統
US9916965B2 (en) 2015-12-31 2018-03-13 Kla-Tencor Corp. Hybrid inspectors
US11580375B2 (en) 2015-12-31 2023-02-14 Kla-Tencor Corp. Accelerated training of a machine learning based model for semiconductor applications
US10416087B2 (en) * 2016-01-01 2019-09-17 Kla-Tencor Corporation Systems and methods for defect detection using image reconstruction
US10648924B2 (en) * 2016-01-04 2020-05-12 Kla-Tencor Corp. Generating high resolution images from low resolution images for semiconductor applications
US10360477B2 (en) 2016-01-11 2019-07-23 Kla-Tencor Corp. Accelerating semiconductor-related computations using learning based models
US10181185B2 (en) 2016-01-11 2019-01-15 Kla-Tencor Corp. Image based specimen process control
US10043261B2 (en) 2016-01-11 2018-08-07 Kla-Tencor Corp. Generating simulated output for a specimen
US10210613B2 (en) * 2016-05-12 2019-02-19 Siemens Healthcare Gmbh Multiple landmark detection in medical images based on hierarchical feature learning and end-to-end training
US10346740B2 (en) * 2016-06-01 2019-07-09 Kla-Tencor Corp. Systems and methods incorporating a neural network and a forward physical model for semiconductor applications
US10319076B2 (en) * 2016-06-16 2019-06-11 Facebook, Inc. Producing higher-quality samples of natural images
CN106127684B (zh) * 2016-06-22 2019-03-15 中国科学院自动化研究所 基于双向递归卷积神经网络的图像超分辨率增强方法
US10115040B2 (en) 2016-09-14 2018-10-30 Kla-Tencor Corporation Convolutional neural network-based mode selection and defect classification for image fusion
US10482639B2 (en) * 2017-02-21 2019-11-19 Adobe Inc. Deep high-resolution style synthesis
US10713534B2 (en) 2017-09-01 2020-07-14 Kla-Tencor Corp. Training a learning based defect classifier
US10607119B2 (en) * 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification
CN107767343B (zh) * 2017-11-09 2021-08-31 京东方科技集团股份有限公司 图像处理方法、处理装置和处理设备
US10169852B1 (en) * 2018-07-03 2019-01-01 Nanotronics Imaging, Inc. Systems, devices, and methods for providing feedback on and improving the accuracy of super-resolution imaging
US10460169B1 (en) * 2019-01-14 2019-10-29 Sourcewater, Inc. Image processing of aerial imagery for energy infrastructure analysis using joint image identification

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170345140A1 (en) 2016-05-25 2017-11-30 Kla-Tencor Corporation Generating simulated images from input images for semiconductor applications

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
画像検査におけるCNNの入力を考える -周辺視と固視微動に学んで-,映像情報メディア学会技術報告 Vol. 41 No. 29,2017年08月29日

Also Published As

Publication number Publication date
US20190303717A1 (en) 2019-10-03
KR102390313B1 (ko) 2022-04-25
US10599951B2 (en) 2020-03-24
KR20200128162A (ko) 2020-11-11
EP3762961A1 (en) 2021-01-13
EP3762961A4 (en) 2021-12-08
CN111819676B (zh) 2022-01-14
TWI773888B (zh) 2022-08-11
JP2021518597A (ja) 2021-08-02
CN111819676A (zh) 2020-10-23
WO2019191346A1 (en) 2019-10-03
TW201945861A (zh) 2019-12-01

Similar Documents

Publication Publication Date Title
JP7122386B2 (ja) 低解像度画像における欠陥検出のためのニューラルネットワークのトレーニング
JP6893514B2 (ja) ハイブリッドインスペクタ
EP3465174B1 (en) Generating simulated images from input images for semiconductor applications
US11580375B2 (en) Accelerated training of a machine learning based model for semiconductor applications
US9965901B2 (en) Generating simulated images from design information
CN108475351B (zh) 用于训练基于机器学习的模型的系统和计算机实施方法
US10679333B2 (en) Defect detection, classification, and process window control using scanning electron microscope metrology
JP2019537839A (ja) 半導体用途向けに構成された深層学習モデルのための診断システムおよび方法
KR20180094121A (ko) 학습 기반의 모델을 사용하여 반도체 관련 계산을 가속화
KR20180094111A (ko) 이미지 기반 표본 프로세스 제어
US11774371B2 (en) Defect size measurement using deep learning methods
TW202211092A (zh) 訓練機器學習模型以自檢查影像產生較高解析度影像

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220322

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220322

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220726

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220808

R150 Certificate of patent or registration of utility model

Ref document number: 7122386

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150