JP6832088B2 - Method of Integrating Halide-Containing ALD Membrane on Sensitive Material - Google Patents

Method of Integrating Halide-Containing ALD Membrane on Sensitive Material Download PDF

Info

Publication number
JP6832088B2
JP6832088B2 JP2016146849A JP2016146849A JP6832088B2 JP 6832088 B2 JP6832088 B2 JP 6832088B2 JP 2016146849 A JP2016146849 A JP 2016146849A JP 2016146849 A JP2016146849 A JP 2016146849A JP 6832088 B2 JP6832088 B2 JP 6832088B2
Authority
JP
Japan
Prior art keywords
barrier layer
sublayer
layer
halide
double barrier
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016146849A
Other languages
Japanese (ja)
Other versions
JP2017034245A5 (en
JP2017034245A (en
Inventor
ジョン・ヘンリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2017034245A publication Critical patent/JP2017034245A/en
Publication of JP2017034245A5 publication Critical patent/JP2017034245A5/ja
Application granted granted Critical
Publication of JP6832088B2 publication Critical patent/JP6832088B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/021Formation of the switching material, e.g. layer deposition
    • H10N70/023Formation of the switching material, e.g. layer deposition by chemical vapor deposition, e.g. MOCVD, ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • H10N70/061Patterning of the switching material
    • H10N70/063Patterning of the switching material by etching of pre-deposited switching material layers, e.g. lithography
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Description

様々な半導体デバイスがバリア層を含むように加工される。バリア層は、デバイス内の材料を保護するため、例えば、製造中に大気への暴露ならびに/もしくは他の層または処理への暴露から生じる損傷を防ぐために提供されうる。かかるバリア層は、半導体デバイスの劣化を遅らせるかまたは防止しうる。 Various semiconductor devices are processed to include a barrier layer. The barrier layer may be provided to protect the material in the device, eg, to prevent damage resulting from exposure to the atmosphere and / or exposure to other layers or treatments during manufacturing. Such a barrier layer can delay or prevent the deterioration of the semiconductor device.

本明細書の様々な実施形態は、製造途中の半 導体デバイス上に二重バリア層を蒸着させるための方法および装置に関する。二重バリア層は、通例、少なくとも2つのサブ層を備える。第1のサブ層は、第2のサブ層を蒸着させるために用いられるハロゲン化物またはその他の有害な化学物質への暴露に関連する損傷から下層の材料を保護しうる。第2のサブ層は、下層の材料を酸化から保護しうる。この二重層アプローチは、下層の材料が製造の間に適切に保護されることを保証する助けになる。 Various embodiments herein relate to methods and devices for depositing a double barrier layer on an in-process semi-conductor device. The double barrier layer typically comprises at least two sublayers. The first sublayer may protect the underlying material from damage associated with exposure to halides or other harmful chemicals used to deposit the second sublayer. The second sublayer can protect the underlying material from oxidation. This double layer approach helps ensure that the underlying material is properly protected during manufacturing.

開示されている実施形態の一態様において、製造途中の半導体デバイス上に二重バリア層を蒸着させる方法が提供されており、その方法は:
(a)第1のハロゲン化物感受性材料層を含む基板を準備する工程であって、第1のハロゲン化物感受性材料層は、工程(a)で準備される時に少なくとも部分的に露出される、準備工程と;
(b)二重バリア層を蒸着させる蒸着工程であって、
(i)二重バリア層の第1のサブ層を基板上に蒸着させる工程であって、第1のサブ層は、少なくとも約40重量%の炭素を含み、第1のハロゲン化物感受性材料層の露出部分上に蒸着される、工程と、
(ii)二重バリア層の第2のサブ層を二重バリア層の第1のサブ層上に蒸着させる工程であって、二重バリア層の第2のサブ層は、窒化シリコンを含み、ハロゲン化物含有化学物質を用いて蒸着され、二重バリア層の第2のサブ層の蒸着中に、二重バリア層の第1のサブ層が、第1のハロゲン化物感受性材料層をハロゲン化物含有化学物質から保護する、工程と、
によって実行される蒸着工程と、を備える。
In one aspect of the disclosed embodiments, a method of depositing a double barrier layer on a semiconductor device in the process of manufacture is provided, the method of which is:
(A) A step of preparing a substrate containing a first halide-sensitive material layer, wherein the first halide-sensitive material layer is at least partially exposed when prepared in step (a). With the process;
(B) A thin-film deposition process for depositing a double barrier layer.
(I) A step of depositing the first sublayer of the double barrier layer on the substrate, wherein the first sublayer contains at least about 40% by weight of carbon and is the first halide-sensitive material layer. The process and the process, which is deposited on the exposed part,
(Ii) A step of depositing a second sublayer of the double barrier layer on the first sublayer of the double barrier layer, wherein the second sublayer of the double barrier layer contains silicon nitride. It is vapor-deposited using a halide-containing chemical, and during the deposition of the second sub-layer of the double-barrier layer, the first sub-layer of the double-barrier layer contains a halide-containing first halide-sensitive material layer. Protecting from chemicals, processes and
It comprises a vapor deposition process performed by.

方法は、いくつかの例において相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で実行されてよい。特定の実施形態において、第1のハロゲン化物感受性材料層は、カルコゲニド材料を含む。カルコゲニド材料は、炭素層の間に挟まれてよい。 The method may be performed in the context of forming a phase-change random access memory (PCRAM) device in some examples. In certain embodiments, the first halide sensitive material layer comprises a chalcogenide material. The chalcogenide material may be sandwiched between carbon layers.

これらの実施形態または他の実施形態において、二重バリア層の第1のサブ層は、化学蒸着処理で蒸着された非晶質炭素を含む。いくつかの他の実施形態において、二重バリア層の第1のサブ層は、熱分解および重合を含む処理で蒸着されたパリレン材料を含む。パリレン材料の一例は、パリレンAF−4である。 In these or other embodiments, the first sublayer of the double barrier layer comprises amorphous carbon deposited by chemical vapor deposition. In some other embodiments, the first sublayer of the double barrier layer comprises a parylene material deposited by a process involving thermal decomposition and polymerization. An example of a parylene material is parylene AF-4.

様々な技術が、二重バリア層の第2のサブ層を蒸着させるために用いられてよい。一例において、工程(b)(ii)は、原子層蒸着処理で二重バリア層の第2のサブ層を蒸着させる工程を含む。別の例において、工程(b)(ii)は、化学蒸着処理で二重バリア層の第2のサブ層を蒸着させる工程を含む。特定の例において、基板は、第1のハロゲン化物感受性材料層の下方に配置された第2のハロゲン化物感受性材料層を含み、方法は、さらに:
)工程(b)(ii)の後に、第2のハロゲン化物感受性材料層の一部を露出させるが第1のハロゲン化物感受性材料層を露出させずに、第1のハロゲン化物感受性材料層が二重バリア層によって少なくとも部分的に被覆されたままになるように、基板をエッチングする工程と;
)第2の二重バリア層を基板上に蒸着させる工程であって、
(i)二重バリア層の第2のサブ層を基板上に蒸着させる工程であって、第2の二重バリア層の第1のサブ層は、少なくとも約40重量%の炭素を含み、第2のハロゲン化物感受性材料層の露出部分上に蒸着される工程と、
(ii)第2の二重バリア層の第2のサブ層を第2の二重バリア層の第1のサブ層上に蒸着させる工程であって、第2の二重バリア層の第2のサブ層は、窒化シリコンを含み、原子層蒸着処理でハロゲン化物含有化学物質を用いて蒸着され、第2の二重バリア層の第2のサブ層の蒸着中に、第2の二重バリア層の第1のサブ層が、第2のハロゲン化物感受性材料層をハロゲン化物含有化学物質から保護する工程と、
によって実行される工程と、を備える。
Various techniques may be used to deposit a second sublayer of the double barrier layer. In one example, steps (b) and (ii) include a step of depositing a second sublayer of the double barrier layer in an atomic layer deposition process. In another example, steps (b) and (ii) include the step of depositing a second sublayer of the double barrier layer by chemical vapor deposition. In a particular example, the substrate comprises a second halide sensitive material layer located below the first halide sensitive material layer, and the method further:
( C ) After the steps (b) and (ii), a part of the second halide-sensitive material layer is exposed, but the first halide-sensitive material layer is not exposed, and the first halide-sensitive material layer is exposed. With the step of etching the substrate so that is at least partially covered by the double barrier layer;
( D ) A step of depositing a second double barrier layer on a substrate.
(I) A step of depositing a second sublayer of the double barrier layer on a substrate, wherein the first sublayer of the second double barrier layer contains at least about 40% by weight of carbon and is the first. The step of depositing on the exposed part of the halide-sensitive material layer of No. 2 and
(Ii) A step of depositing a second sublayer of the second double barrier layer on the first sublayer of the second double barrier layer, which is a second step of the second double barrier layer. The sub-layer contains silicon nitride and is vapor-deposited with a halide-containing chemical in the atomic layer deposition process, and during the deposition of the second sub-layer of the second double-barrier layer, the second double-barrier layer. The first sublayer protects the second halide-sensitive material layer from halide-containing chemicals.
It comprises a process performed by.

いくつかの実施形態において、二重バリア層の第1のサブ層は、約15〜100Åの厚さまで蒸着されてよく、二重バリア層の第2のサブ層は、少なくとも約20Åの厚さまで蒸着されてよい。 In some embodiments, the first sublayer of the double barrier layer may be deposited to a thickness of about 15-100 Å and the second sublayer of the double barrier layer may be deposited to a thickness of at least about 20 Å. May be done.

ハロゲン化物含有化学物質は、いくつかの例において、塩素を含んでよい。例えば、ハロゲン化物含有化学物質は、クロロシランを含んでよい。一例において、クロロシランは、ジクロロシランである。ジクロロシランは、窒素含有反応物質と組み合わせて用いられてもよい。窒素含有反応物質の一例は、アンモニアである。 Halide-containing chemicals may contain chlorine in some examples. For example, the halide-containing chemical may include chlorosilane. In one example, chlorosilane is dichlorosilane. Dichlorosilane may be used in combination with a nitrogen-containing reactant. An example of a nitrogen-containing reactant is ammonia.

特定の実施形態において、二重バリア層の第1のサブ層は、単一のRF周波数を用いて生成されたプラズマに基板を暴露させる工程を含むプラズマ化学蒸着処理で形成される。プラズマを生成するために用いられるRF周波数は、高周波(HF)RF周波数であってよい。第1および第2のサブ層は、同じ反応チャンバ内で蒸着されてもよいし、異なる反応チャンバ内で蒸着されてもよい。一実施例において、二重バリア層の第1のサブ層は、反応チャンバ内で蒸着され、二重バリア層の第2のサブ層は、同じ反応チャンバ内で蒸着される。別の実施例において、二重バリア層の第1のサブ層は、第1の反応チャンバ内で蒸着され、二重バリア層の第2のサブ層は、第2の反応チャンバ内で蒸着され、第1および第2の反応チャンバは共に、マルチチャンバツール上に設けられている。この場合に、方法は、さらに、基板を第1の反応チャンバから第2の反応チャンバまで真空条件下で移動させる工程を備えてもよい。 In certain embodiments, the first sublayer of the dual barrier layer is formed by plasma chemical vapor deposition, which involves exposing the substrate to plasma generated using a single RF frequency. The RF frequency used to generate the plasma may be a radio frequency (HF) RF frequency. The first and second sublayers may be deposited in the same reaction chamber or in different reaction chambers. In one embodiment, the first sublayer of the double barrier layer is deposited in the reaction chamber and the second sublayer of the double barrier layer is deposited in the same reaction chamber. In another embodiment, the first sublayer of the double barrier layer is deposited in the first reaction chamber and the second sublayer of the double barrier layer is deposited in the second reaction chamber. Both the first and second reaction chambers are provided on a multi-chamber tool. In this case, the method may further comprise the step of moving the substrate from the first reaction chamber to the second reaction chamber under vacuum conditions.

多くの場合に、二重バリア層の第1および第2のサブ層は、共形蒸着される。いくつかの場合に、第1および第2のサブ層の各々について、サブ層の最も薄い部分は、サブ層の最も厚い部分の少なくとも約60%である。 In many cases, the first and second sublayers of the double barrier layer are conformally deposited. In some cases, for each of the first and second sublayers, the thinnest part of the sublayer is at least about 60% of the thickest part of the sublayer.

開示されている実施形態の別の態様において、二重バリア層を製造途中の半導体デバイス上に蒸着させるための装置が提供されており、その装置は:
1または複数の反応チャンバであって、チャンバの内の少なくとも1つは、二重バリア層の第1のサブ層を蒸着させるように構成され、チャンバの内の少なくとも1つは、二重バリア層の第2のサブ層を蒸着させるように構成され、反応チャンバは、処理ガスを供給するための流入口と、処理ガスおよび副生成物を除去するための流出口とを備える反応チャンバと;
(i)二重バリア層の第1のサブ層を基板上に蒸着させる工程であって、第1のサブ層は、少なくとも約40重量%の炭素を含み、第1のハロゲン化物感受性材料層の露出部分上に蒸着される工程と、
(ii)二重バリア層の第2のサブ層を二重バリア層の第1のサブ層上に蒸着させる工程であって、二重バリア層の第2のサブ層は、窒化シリコンを含み、ハロゲン化物含有化学物質を用いて蒸着され、二重バリア層の第2のサブ層の蒸着中に、二重バリア層の第1のサブ層が、第1のハロゲン化物感受性材料層をハロゲン化物含有化学物質から保護する、工程と、
によって二重バリア層を蒸着させるように構成されたコントローラと、を備える。
In another aspect of the disclosed embodiments, an apparatus is provided for depositing a double barrier layer onto a semiconductor device in the process of being manufactured, wherein the apparatus is:
One or more reaction chambers, at least one of which is configured to deposit a first sublayer of the double barrier layer, and at least one of the chambers is a double barrier layer. The reaction chamber is configured to deposit a second sublayer of the above, and the reaction chamber includes an inlet for supplying the treatment gas and an outlet for removing the treatment gas and by-products;
(I) A step of depositing the first sublayer of the double barrier layer on the substrate, wherein the first sublayer contains at least about 40% by weight of carbon and is the first halide-sensitive material layer. The process of depositing on the exposed part and
(Ii) A step of depositing a second sublayer of the double barrier layer on the first sublayer of the double barrier layer, wherein the second sublayer of the double barrier layer contains silicon nitride. It is vapor-deposited using a halide-containing chemical, and during the deposition of the second sub-layer of the double-barrier layer, the first sub-layer of the double-barrier layer contains a halide-containing first halide-sensitive material layer. Protecting from chemicals, processes and
It comprises a controller configured to deposit a double barrier layer.

一例において、二重バリア層の第1のサブ層を蒸着させるように構成された反応チャンバは、二重バリア層の第2のサブ層を蒸着させるように構成された反応チャンバと同じである。この場合に、装置は、さらに、二重バリア層の第1のサブ層を蒸着させるように構成された第1の反応チャンバと、二重バリア層の第2のサブ層を蒸着させるように構成された第2の反応チャンバとの間で、基板を真空条件下で移動させるための真空搬送チャンバを備えてもよい。 In one example, the reaction chamber configured to deposit the first sublayer of the double barrier layer is the same as the reaction chamber configured to deposit the second sublayer of the double barrier layer. In this case, the apparatus is further configured to deposit a first reaction chamber configured to deposit a first sublayer of the double barrier layer and a second sublayer of the double barrier layer. A vacuum transfer chamber may be provided for moving the substrate under vacuum conditions to and from the second reaction chamber.

開示されている実施形態のさらなる態様において、凹部フィーチャを有する基板上に二重バリア層を蒸着させる方法が提供されており、その方法は:
(i)二重バリア層の第1のサブ層を基板上に蒸着させる工程であって、二重バリア層の第1のサブ層は、非晶質炭素または炭素含有ポリマを含み、少なくとも約40重量%の炭素を含み、凹部フィーチャを内張りするように共形に蒸着される工程と、
(ii)二重バリア層の第2のサブ層を二重バリア層の第1のサブ層上に蒸着させる工程であって、二重バリア層の第2のサブ層は、窒化シリコンを含み、ハロゲン化物含有化学物質を用いて共形に蒸着され、二重バリア層の第2のサブ層の蒸着中に、二重バリア層の第1のサブ層が、二重バリア層の第1のサブ層の下にある材料をハロゲン化物含有化学物質から保護する工程と、
を備える。
In a further aspect of the disclosed embodiments, a method of depositing a double barrier layer on a substrate having recessed features is provided, the method of which is:
(I) A step of depositing a first sublayer of a double barrier layer on a substrate, wherein the first sublayer of the double barrier layer contains amorphous carbon or a carbon-containing polymer and is at least about 40. A process that contains% by weight of carbon and is co-deposited to line the recessed features,
(Ii) A step of depositing a second sublayer of the double barrier layer on the first sublayer of the double barrier layer, wherein the second sublayer of the double barrier layer contains silicon nitride. It is co-deposited using a halide-containing chemical, and during the deposition of the second sublayer of the double barrier layer, the first sublayer of the double barrier layer becomes the first sub of the double barrier layer. The process of protecting the material underneath the layer from halide-containing chemicals,
To be equipped.

これらの特徴および他の特徴については、関連する図面を参照しつつ以下で説明する。 These features and other features will be described below with reference to the relevant drawings.

相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で製造途中のデバイスを示す断面図。A cross-sectional view showing a device in the process of being manufactured in the context of forming a phase-change random access memory (PCRAM) device. 相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で製造途中のデバイスを示す断面図。A cross-sectional view showing a device in the process of being manufactured in the context of forming a phase-change random access memory (PCRAM) device. 相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で製造途中のデバイスを示す断面図。A cross-sectional view showing a device in the process of being manufactured in the context of forming a phase-change random access memory (PCRAM) device. 相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で製造途中のデバイスを示す断面図。A cross-sectional view showing a device being manufactured in the context of forming a phase-change random access memory (PCRAM) device. 相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で製造途中のデバイスを示す断面図。A cross-sectional view showing a device in the process of being manufactured in the context of forming a phase-change random access memory (PCRAM) device.

特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment. 特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment. 特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment. 特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment. 特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment. 特定の実施形態に従って、PCRAMを形成する文脈で製造途中のデバイスを示す断面図。FIG. 6 is a cross-sectional view showing a device being manufactured in the context of forming a PCRAM according to a particular embodiment.

様々な実施形態に従って、二重バリア層の第1のサブ層として利用できる高炭素含有材料を蒸着させる方法を示すフローチャート。A flowchart showing a method of depositing a high carbon-containing material that can be used as a first sublayer of a double barrier layer according to various embodiments.

様々な実施形態に従って、二重バリア層の第1のサブ層として利用できるパリレン膜を蒸着させる方法を示すフローチャート。A flowchart showing a method of depositing a parylene film that can be used as a first sublayer of a double barrier layer according to various embodiments.

図3Bに関連して記載したようにパリレン膜を形成するために利用できる装置を示す簡略図。FIG. 6 is a simplified diagram showing an apparatus that can be used to form a parylene film as described in connection with FIG. 3B.

図3Bに関連して記載したようにパリレンAF−4膜を形成するために利用できる反応機構を示す図。FIG. 6 shows a reaction mechanism that can be used to form a parylene AF-4 membrane as described in connection with FIG. 3B.

分子層蒸着法で膜(例えば、二重バリア層の第1のサブ層)を形成する方法を示すフローチャート。The flowchart which shows the method of forming a film (for example, the first sublayer of a double barrier layer) by a molecular layer deposition method.

原子層蒸着法で膜(例えば、二重バリア層の第2のサブ層)を蒸着させる方法を示すフローチャート。FIG. 5 is a flowchart showing a method of vapor-depositing a film (for example, a second sub-layer of a double barrier layer) by an atomic layer deposition method.

化学蒸着法で膜(例えば、二重バリア層の第2のサブ層)を蒸着させる方法を示すフローチャート。The flowchart which shows the method of vapor-depositing a film (for example, the second sub-layer of a double barrier layer) by a chemical vapor deposition method.

本明細書に記載の様々な蒸着法を実行するために利用可能な単一ステーション反応チャンバを示す簡略図。Schematic representation of a single station reaction chamber available to perform the various vapor deposition methods described herein.

本明細書に記載の様々な蒸着法を実行するために利用可能なマルチステーション反応チャンバを示す簡略図。Schematic representation of a multi-station reaction chamber available to perform the various vapor deposition methods described herein.

本明細書の特定の実施形態に従って、複数の反応チャンバを有するクラスタツールを示す簡略図。Schematic showing a cluster tool having multiple reaction chambers according to a particular embodiment herein.

試験された異なる膜について、漏れ電流および破壊電圧を示す表。A table showing leakage current and breakdown voltage for the different membranes tested.

試験された異なるタイプの膜について、HClバブルテストの結果を示すグラフ。Graph showing the results of the HCl bubble test for the different types of membranes tested.

本願では、「半導体ウエハ」、「ウエハ」、「基板」、および、「半導体基板」という用語が、交換可能に用いられている。「製造途中の半導体デバイス」にも言及されている。当業者であれば、「製造途中の半導体デバイス」という用語は、製造の多くの段階の内のいずれかの間の半導体デバイスウエハを指しうることがわかる。半導体デバイス産業で用いられるウエハまたは基板は、通例、200mm、または、300mm、または、450mmの直径を有する。以下の詳細な説明は、実施形態が半導体ウエハであるワークピースに対して実施されることを想定している。ただし、実施形態はそれらに限定されない。ワークピースは、様々な形状、サイズ、および、材料を有してよい。半導体ウエハに加えて、開示された実施形態を利用しうるその他のワークピースは、プリント回路基板、磁気記録媒体、磁気記録センサ、鏡、光学素子、微小機械素子など、様々な物品を含む。同様に、以下の説明は主に相変化ランダムアクセスメモリ(PCRAM)デバイスに言及するが、実施形態はこれに限定されない。ハロゲン化物含有化学物質から損傷を受けやすい任意の製造途中のデバイスを含め、他のデバイスにも、開示されている実施形態の利点がありうる。 In the present application, the terms "semiconductor wafer", "wafer", "substrate", and "semiconductor substrate" are used interchangeably. It is also mentioned as "semiconductor device in the process of manufacturing". Those skilled in the art will appreciate that the term "semiconductor device in the process of manufacturing" can refer to a semiconductor device wafer between any of the many stages of manufacturing. Wafers or substrates used in the semiconductor device industry typically have a diameter of 200 mm, or 300 mm, or 450 mm. The following detailed description assumes that the embodiment is performed on a workpiece that is a semiconductor wafer. However, the embodiments are not limited to them. Workpieces may have a variety of shapes, sizes, and materials. In addition to semiconductor wafers, other workpieces that may utilize the disclosed embodiments include various articles such as printed circuit boards, magnetic recording media, magnetic recording sensors, mirrors, optics, micromechanical elements, and the like. Similarly, the following description primarily refers to phase-change random access memory (PCRAM) devices, but embodiments are not limited thereto. Other devices, including any off-the-shelf device that is susceptible to damage from halide-containing chemicals, may also have the advantages of the disclosed embodiments.

以下の説明では、提示した実施形態の完全な理解を促すために、数多くの具体的な詳細事項が示されている。開示された実施形態は、これらの具体的な詳細事項の一部またはすべてがなくとも実施可能である。また、開示した実施形態が不必要に不明瞭となることを避けるため、周知の処理動作の詳細な説明は省略した。開示した実施形態は、具体的な実施形態に関連して説明されているが、開示した実施形態を限定する意図はないことを理解されたい。 In the following description, a number of specific details are provided to facilitate a complete understanding of the presented embodiments. The disclosed embodiments can be implemented without some or all of these specific details. Further, in order to avoid unnecessarily obscuring the disclosed embodiments, detailed description of well-known processing operations has been omitted. Although the disclosed embodiments have been described in the context of specific embodiments, it should be understood that there is no intent to limit the disclosed embodiments.

多くの半導体デバイスが、酸化に弱い材料を含む。かかる材料は、酸素含有大気または水蒸気含有大気に暴露されると、すぐに劣化する。かかる劣化を防ぐために、これらの材料は、バリア層で被覆されることが多い。バリア層は、下にある酸化感受性材料が酸化されないように、酸化剤の通過を遮断する。 Many semiconductor devices contain materials that are sensitive to oxidation. Such materials quickly deteriorate when exposed to oxygen-containing or water vapor-containing air. To prevent such deterioration, these materials are often coated with a barrier layer. The barrier layer blocks the passage of oxidants so that the underlying oxidation sensitive material is not oxidized.

バリア層として利用されてきた材料の1つは、窒化シリコン(SiN)である。本明細書で用いられているように、窒化シリコンという用語は、ドープされた形態およびドープされていない形態の窒化シリコン、ならびに、定比性の形態および非定比の形態の窒化シリコンを含むと理解される。例えば、膜は、いくつかの例において、炭窒化シリコン膜、酸素窒化シリコン膜などであってよい。様々な文脈において、SiNは、非導電性であり、非常によく酸化体の通過を遮断するよう機能するので、バリア層材料として望ましい。さらに、SiNは、プラズマ原子層蒸着反応(PEALD)などの原子層蒸着(ALD)反応を用いて蒸着できる。したがって、高アスペクト比のフィーチャ(例えば、少なくとも約10の深さ/幅アスペクト比を有するフィーチャ)内に高い共形性で蒸着できる。相変化ランダムアクセスメモリ(PCRAM)デバイスを形成する文脈で実行される本明細書の様々な実施形態では、凹部フィーチャが、少なくとも約10のアスペクト比を有しうる。多くの場合、凹部フィーチャのアスペクト比は、約15である。かかるフィーチャのクリティカルディメンション(例えば、幅)の例は、約300Å(例えば、約200〜400Åの間)であってよい。 One of the materials that has been used as a barrier layer is silicon nitride (SiN). As used herein, the term silicon nitride includes the doped and undoped forms of silicon nitride, as well as the constant and non-constant ratio forms of silicon nitride. Understood. For example, the membrane may be a silicon nitride film, an oxygen nitride silicon film, or the like in some examples. In various contexts, SiN is desirable as a barrier layer material because it is non-conductive and functions very well to block the passage of oxidants. Further, SiN can be deposited using an atomic layer deposition (ALD) reaction such as a plasma atomic layer deposition reaction (PEALD). Therefore, it can be deposited with high conformality within features with a high aspect ratio (eg, features with a depth / width aspect ratio of at least about 10). In various embodiments of the specification performed in the context of forming a phase-change random access memory (PCRAM) device, recessed features can have an aspect ratio of at least about 10. In most cases, the recess feature has an aspect ratio of about 15. An example of the critical dimension (eg, width) of such a feature may be about 300 Å (eg, between about 200 and 400 Å).

しかしながら、SiN(特に、ALD蒸着されたSiN)は、通例、ハロゲン化物含有化学物質を用いて蒸着される。多くの場合、SiNは、反応物質の1つとしてジクロロシラン(DCS、HSiCl)を用いて蒸着される。例えば、DCSは、アンモニア(NH)と反応してSiNの層を形成しうる。残念ながら、このハロゲン化物含有化学物質は、製造途中の半導体デバイスに存在する特定のハロゲン化物感受性材料を攻撃して劣化させうる。本明細書で用いられているように、ハロゲン化物感受性材料は、ハロゲン化物含有化学物質に暴露された時に劣化する(例えば、望ましくない反応をする)材料である。 However, SiN (particularly ALD-deposited SiN) is usually deposited using a halide-containing chemical. In many cases, SiN is deposited using dichlorosilane (DCS, H 2 SiCl 2) as one of the reactants. For example, DCS can react with ammonia (NH 3 ) to form a layer of SiN. Unfortunately, this halide-containing chemical can attack and degrade certain halide-sensitive materials present in semiconductor devices in the process of manufacture. As used herein, a halide sensitive material is a material that deteriorates (eg, undergoes an undesired reaction) when exposed to a halide-containing chemical.

カルコゲニド材料は、ハロゲン化物に弱い一群の材料の一例である。カルコゲニド材料(例えば、カルコゲニドガラス、例として、GeSbTeおよびAgInSbTe)が、相変化メモリデバイスの製造に利用されうる。SiNバリア層が、上述の化学物質を用いてカルコゲニド材料上に蒸着される時、DCSおよびNHの間の反応から形成されたHClが、露出したカルコゲニド材料を攻撃して劣化させうる。 The chalcogenide material is an example of a group of materials that are vulnerable to halides. Calcogenide materials (eg, chalcogenide glass, eg, GeSbTe and AgInSbTe) can be utilized in the manufacture of phase change memory devices. When the SiN barrier layer is deposited on the chalcogenide material using the chemicals described above, the HCl formed from the reaction between DCS and NH 3 can attack and degrade the exposed chalcogenide material.

図1A〜図1Eは、様々な製造工程中の製造途中のPCRAM半導体デバイスを示す断面図である。図1Aに示すように、材料のスタックが、下層の上に蒸着されており、この例の下層は、酸化物層101である。この例における材料のスタックは、金属層102(例えば、タングステンまたは別の金属)、第1の炭素層103、第1のカルコゲニド層104、第2の炭素層105、第2のカルコゲニド層106、第3の炭素層107、および、窒化物キャップ層108を備える。金属層102は、電気接触層として機能する。カルコゲニド層104および106は、デバイスの動作中に相変化を受ける層である。炭素層103、105、および、107は、カルコゲニド層104および106が互いに干渉することを防ぐと共に、カルコゲニド層104および106を相変化させるために用いられる電気経路を提供する。 1A-1E are cross-sectional views showing PCRAM semiconductor devices in the middle of manufacturing during various manufacturing processes. As shown in FIG. 1A, a stack of materials is deposited on top of the lower layer, the lower layer of this example being the oxide layer 101. The stack of materials in this example includes a metal layer 102 (eg, tungsten or another metal), a first carbon layer 103, a first chalcogenide layer 104, a second carbon layer 105, a second chalcogenide layer 106, a first. The carbon layer 107 of 3 and the nitride cap layer 108 are provided. The metal layer 102 functions as an electrical contact layer. Calcogenide layers 104 and 106 are layers that undergo a phase change during device operation. Carbon layers 103, 105, and 107 provide electrical pathways used to phase change the chalcogenide layers 104 and 106 while preventing the chalcogenide layers 104 and 106 from interfering with each other.

処理中、凹部フィーチャが、図1Bに示すように、部分的にスタック内にエッチングされる。このエッチング処理は、第2のカルコゲニド層106を貫通するなど、スタックの一部をエッチングしてよい。次に、図1Cに示すように、第1の窒化シリコンバリア層109が蒸着される。この第1の窒化シリコンバリア層109は、スタックがさらにエッチングされる時に、第2のカルコゲニド層106を保護するのに役立ちうる。例えば、図1Dに示すように、処理は、第2のエッチング処理を継続して、スタックを酸化物層101までさらにエッチングする。第1の窒化シリコンバリア層109が蒸着されなかった場合、1つのカルコゲニド層(例えば、カルコゲニド層104)からのエッチング副生成物が、他のカルコゲニド層(例えば、カルコゲニド層106)上に再び堆積して、汚染/欠陥を引き起こしうる。スタックが図1Dに示すようにエッチングされた後、第2の窒化シリコンバリア層110が、図1Eに示すように蒸着されてよい。絶縁膜(図示せず)が、エッチングされた凹部において蒸着されてもよい。絶縁膜は、酸化物(酸化シリコン、スピンオンガラスなど)であってよい。 During the process, the recessed features are partially etched into the stack, as shown in FIG. 1B. This etching process may etch a part of the stack, such as penetrating the second chalcogenide layer 106. Next, as shown in FIG. 1C, the first silicon nitride barrier layer 109 is vapor-deposited. The first silicon nitride barrier layer 109 can help protect the second chalcogenide layer 106 as the stack is further etched. For example, as shown in FIG. 1D, the process continues the second etching process to further etch the stack to the oxide layer 101. If the first silicon nitride barrier layer 109 was not deposited, etching by-products from one chalcogenide layer (eg, chalcogenide layer 104) would re-deposit on the other chalcogenide layer (eg, chalcogenide layer 106). Can cause contamination / defects. After the stack has been etched as shown in FIG. 1D, the second silicon nitride barrier layer 110 may be deposited as shown in FIG. 1E. An insulating film (not shown) may be deposited in the etched recesses. The insulating film may be an oxide (silicon oxide, spin-on glass, etc.).

第1および第2のSiNバリア層109および110は、下層を汚染および酸化から保護するのに役立つ。特に、SiNバリア層は、後の統合工程中に、例えば、凹部が酸化物材料で満たされる時に、酸化に対して非常に良好な保護を提供する。PCRAMの文脈で良好なバリア層として機能するためには、バリア層材料が、(a)低温(例えば、約250℃以下)で蒸着されること、(b)高アスペクト比フィーチャ内に比較的均一に蒸着されるように良好なステップカバレッジ/共形性を示すこと、(c)酸化への良好な耐性を提供すること、(d)カルコゲニド層の二次汚染を最小化すること、(e)凹部フィーチャのクリティカルディメンションの良好な制御を提供すること、(f)非導電性であること、および、(g)下層への良好な付着を提供すること、が有利である。概して、SiNバリア層は、これらの性質を示す。しかし、第1および/または第2の窒化シリコンバリア層109および110は、通例、上述のように、ハロゲン化物含有化学物質を用いて蒸着される。しばしば、ハロゲン化物は、塩素(例えば、ジクロロシラン(DCSとも呼ぶ)として供給される)であるが、その他のハロゲン化物が一部の例で用いられてもよい。ハロゲン化物は、他の反応物質(例えば、アンモニア)と反応して、カルコゲニド層104および106を不必要に攻撃して劣化させる種(例えば、HCl)を形成する。 The first and second SiN barrier layers 109 and 110 help protect the underlying layer from contamination and oxidation. In particular, the SiN barrier layer provides very good protection against oxidation during the subsequent integration process, for example when the recesses are filled with an oxide material. In order to function as a good barrier layer in the context of PCRAM, the barrier layer material must be (a) deposited at low temperatures (eg, about 250 ° C or less) and (b) relatively uniform within the high aspect ratio features. To exhibit good step coverage / symmetry to be deposited on, (c) to provide good resistance to oxidation, (d) to minimize secondary contamination of the chalcogenide layer, (e). It is advantageous to provide good control of the critical dimension of the recessed features, (f) to be non-conductive, and (g) to provide good adhesion to the underlying layer. In general, the SiN barrier layer exhibits these properties. However, the first and / or second silicon nitride barrier layers 109 and 110 are typically vapor-deposited with a halide-containing chemical, as described above. Often, the halide is chlorine (eg, supplied as dichlorosilane (also referred to as DCS)), but other halides may be used in some examples. The halide reacts with other reactants (eg ammonia) to form species (eg HCl) that unnecessarily attack and degrade the chalcogenide layers 104 and 106.

二重バリア層
本明細書の様々な実施形態では、バリア層が、2つのサブ層として蒸着されてよい。2つのサブ層は、まとめて二重層と呼んでもよい。バリア層の第1のサブ層は、(a)スタック内の層を攻撃/劣化させることなしに第1のサブ層を蒸着でき、(b)第1のサブ層がスタック内の層(特に、第1および/または第2のカルコゲニド層104および106)を保護するように、最適化されてよい。バリア層の第2のサブ層は、酸化に対する高品質なバリア層を提供するように最適化されてよい。このように、スタック材料は、酸化と、酸化バリア(例えば、SiN)を蒸着させるために用いられる化学物質との両方に由来する劣化から保護されうる。
Double Barrier Layer In various embodiments herein, the barrier layer may be deposited as two sublayers. The two sublayers may be collectively referred to as a bilayer. The first sublayer of the barrier layer can (a) deposit the first sublayer without attacking / degrading the layers in the stack, and (b) the first sublayer is the layer in the stack (particularly). It may be optimized to protect the first and / or second chalcogenide layers 104 and 106). The second sublayer of the barrier layer may be optimized to provide a high quality barrier layer against oxidation. In this way, the stack material can be protected from degradation due to both oxidation and the chemicals used to deposit the oxidation barrier (eg SiN).

図2A〜図2Eは、特定の実施形態に従って、様々な製造工程中の製造途中のPCRAM構造を示す断面図である。図2Fは、図2Eの一部を示す拡大図である。この実施形態では、材料のスタックが、下層の上に蒸着されており、この例の下層は、酸化物層101である。スタックは、金属層102(例えば、タングステンまたは別の金属)、第1の炭素層103、第1のカルコゲニド層104、第2の炭素層105、第2のカルコゲニド層106、第3の炭素層107、および、窒化物キャップ層108を備える。図2Aのスタックは、図1Aに示したものと同じである。図2Bに示すように、スタックは、最初のエッチング動作中に部分的にエッチングされる。次いで、第1のバリア層が、図2Cに示すように蒸着されてよい。ここで、第1のバリア層は、2つのサブ層109aおよび109bを備える。第1のバリア層の第1のサブ層109aは、第1の材料(例えば、非晶質炭素、パリレン、または、その他の非導電性/高炭素含有材料などの炭素材料)、であってよく、第1のバリア層の第2のサブ層109bは、第2の材料(例えば、SiN、または、酸化に対する良好な保護および第1のサブ層への良好な付着を提供する別の材料)であってよい。 2A-2E are cross-sectional views showing a PCRAM structure in the middle of manufacturing during various manufacturing steps according to a particular embodiment. FIG. 2F is an enlarged view showing a part of FIG. 2E. In this embodiment, a stack of materials is deposited on top of the lower layer, the lower layer of this example being the oxide layer 101. The stack comprises a metal layer 102 (eg, tungsten or another metal), a first carbon layer 103, a first carbonide layer 104, a second carbon layer 105, a second carbonide layer 106, a third carbon layer 107. , And a nitride cap layer 108. The stack of FIG. 2A is the same as that shown in FIG. 1A. As shown in FIG. 2B, the stack is partially etched during the initial etching operation. The first barrier layer may then be deposited as shown in FIG. 2C. Here, the first barrier layer includes two sub-layers 109a and 109b. The first sublayer 109a of the first barrier layer may be a first material (eg, a carbon material such as amorphous carbon, parylene, or other non-conductive / high carbon-containing material). The second sublayer 109b of the first barrier layer is a second material (eg, SiN, or another material that provides good protection against oxidation and good adhesion to the first sublayer). It may be there.

次に、凹部フィーチャは、図2Dに示すように、さらにエッチングされてよい。スタックがエッチングされ、下層の酸化物層101が露出された後、第2のバリア層が、図2Eに示すように蒸着されてよい。第1のバリア層と同様に、第2のバリア層は、2つのサブ層で構成されてよい。第2のバリア層の第1のサブ層110aは、第1の材料(例えば、非晶質炭素、パリレン、または、その他の非導電性/高炭素含有材料などの炭素材料)、であってよく、第2のバリア層の第2のサブ層110bは、第2の材料(例えば、SiN、または、酸化に対する良好な保護および第1のサブ層への良好な付着を提供する別の材料)であってよい。第1のバリア層の第1のサブ層109aは、第2のバリア層の第1のサブ層110aと同じまたは異なる材料であってよい。同様に、第1のバリア層の第2のサブ層109bは、第2のバリア層の第2のサブ層110bと同じまたは異なる材料であってよい。 The recessed features may then be further etched, as shown in FIG. 2D. After the stack has been etched to expose the underlying oxide layer 101, a second barrier layer may be deposited as shown in FIG. 2E. Like the first barrier layer, the second barrier layer may be composed of two sublayers. The first sublayer 110a of the second barrier layer may be a first material (eg, a carbon material such as amorphous carbon, parylene, or other non-conductive / high carbon-containing material). The second sublayer 110b of the second barrier layer is a second material (eg, SiN, or another material that provides good protection against oxidation and good adhesion to the first sublayer). It may be there. The first sub-layer 109a of the first barrier layer may be made of the same or different material as the first sub-layer 110a of the second barrier layer. Similarly, the second sublayer 109b of the first barrier layer may be made of the same or different material as the second sublayer 110b of the second barrier layer.

図2Eの上隅に、点線のボックスが示されている。図のこの部分は、図2Fの拡大図に示されている。図2A〜図2Fに示した例において、第1および第2のバリア層の各々は、2つのサブ層として実施されている。2つのサブ層は、二重層と呼んでもよい。いくつかの実施形態では、1つのバリア層のみが、2つのサブ層として実施されてもよい。図1Eを参照すると、いくつかの実施形態において、第1のバリア層109が単層であり、第2のバリア層110が二重層であってもよい。他の実施形態において、第1のバリア層109が二重層であり、第2のバリア層110が単一層であってもよい。ALD蒸着されたSiNを含むように加工されたバリア層は、特に、バリア層がハロゲン化物含有化学物質に弱い材料の上に蒸着される場合に、本明細書に開示された二重層技術を用いた加工に特に適しうる。しかしながら、本明細書に記載の技術は、この文脈に限定されない。 A dotted box is shown in the upper corner of FIG. 2E. This part of the figure is shown in the enlarged view of FIG. 2F. In the examples shown in FIGS. 2A-2F, each of the first and second barrier layers is implemented as two sublayers. The two sublayers may be referred to as the double layer. In some embodiments, only one barrier layer may be implemented as two sublayers. Referring to FIG. 1E, in some embodiments, the first barrier layer 109 may be a single layer and the second barrier layer 110 may be a bilayer. In other embodiments, the first barrier layer 109 may be a bilayer and the second barrier layer 110 may be a single layer. Barrier layers processed to contain ALD-deposited SiN utilize the dual layer technology disclosed herein, especially when the barrier layer is deposited on a material that is sensitive to halide-containing chemicals. It can be particularly suitable for processing. However, the techniques described herein are not limited to this context.

図1A〜図1Eおよび図2A〜図2Fは、PCRAMデバイスを形成する文脈で提供されているが、実施形態はこれに限定されない。本明細書に記載の技術は、多くの異なる文脈で有用である。概して、実施形態は、ハロゲン化物含有化学物質(例えば、HClなどの有害な種に基板を暴露することにつながる塩素含有化学物質)への暴露による損傷から下層を保護することが望ましい用途で有用である。上述のカルコゲニド材料に加えて、ハロゲン化物感受性材料の他の例は、銅膜およびアルミニウム膜を含むが、これらに限定されない。二重層の第1のサブ層は、ハロゲン化物含有化学物質(例えば、HCl)からの損傷に対する保護を提供する。この第1のサブ層は、時に、ハロゲン化物遮断層、または、より具体的にはHCl遮断層と呼ばれる。二重層の第2のサブ層は、下層材料は酸化されないように、酸化に対する保護を提供する。これらのサブ層は共に、高品質/多目的な保護を下層に提供する。 1A-1E and 2A-2F are provided in the context of forming a PCRAM device, but embodiments are not limited thereto. The techniques described herein are useful in many different contexts. In general, embodiments are useful in applications where it is desirable to protect the underlayer from damage from exposure to halide-containing chemicals (eg, chlorine-containing chemicals that lead to exposure of the substrate to harmful species such as HCl). is there. In addition to the chalcogenide materials described above, other examples of halide sensitive materials include, but are not limited to, copper and aluminum films. The first sublayer of the bilayer provides protection against damage from halide-containing chemicals (eg, HCl). This first sublayer is sometimes referred to as a halide blocking layer, or more specifically, an HCl blocking layer. The second sublayer of the bilayer provides protection against oxidation so that the underlying material is not oxidized. Both of these sublayers provide high quality / versatile protection to the underlayer.

本明細書の実施形態の多くは、二重層として実装された2つのサブ層を含むバリア層の文脈に提示されているが、一部の例では、さらなるサブ層が存在してもよいことを理解されたい。さらなるバリア層のサブ層が、本明細書に記載の2つのサブ層の間に設けられてもよいし、かかる層の外側(例えば、本明細書に記載の両方のサブ層の下または上)に設けられてもよい。本明細書に記載の2つのサブ層は、しばしば、互いに直接物理的に接触しているが、必ずしもその必要はない。 Many of the embodiments herein are presented in the context of a barrier layer that includes two sublayers implemented as a double layer, but in some examples additional sublayers may be present. I want to be understood. Sublayers of additional barrier layers may be provided between the two sublayers described herein, or outside such layers (eg, below or above both sublayers described herein). It may be provided in. The two sublayers described herein are often in direct physical contact with each other, but not necessarily.

二重バリア層内のサブ層の材料
上述のように、本明細書に記載のサブ層は、異なる目的のために提供される。これらの異なる目的を達成するために、サブ層は、異なる材料で形成されてよい。通例、第1のサブ層(HClまたはその他のハロゲン化物含有化学物質からの損傷に弱い材料の上に直接蒸着されうる)は、HClおよび/またはその他の有害なハロゲン化物含有化学物質に対する高品質のバリアを提供する材料で形成される。第2のサブ層(第1のサブ層の上に蒸着されうる)は、通例、酸化に対する高品質のバリアを提供する材料で形成される。第1および/または第2のサブ層のために選択される材料は、低い蒸着温度(例えば、約250℃以下)、高アスペクト比のトレンチを被覆するための高品質のステップカバレッジおよび共形性など、特定のさらなる品質を示しうる。共形性に関しては、多くの場合で、凹部フィーチャの側壁上で測定して、サブ層の最も薄い部分が、サブ層の最も厚い部分の厚さの少なくとも約60%であってよい。第1および/または第2のサブ層は、通例、電気絶縁材料で形成される。
Materials for Sublayers in the Double Barrier Layer As mentioned above, the sublayers described herein are provided for different purposes. To achieve these different objectives, the sublayers may be made of different materials. Typically, the first sublayer (which can be deposited directly on a material that is vulnerable to damage from HCl or other halide-containing chemicals) is of high quality against HCl and / or other harmful halide-containing chemicals. Formed from a material that provides a barrier. The second sublayer, which can be deposited on top of the first sublayer, is typically formed of a material that provides a high quality barrier to oxidation. The materials selected for the first and / or second sublayers have high quality step coverage and conformability for coating trenches with low deposition temperatures (eg, about 250 ° C. or less) and high aspect ratios. Can indicate certain additional qualities, such as. With respect to conformality, the thinnest part of the sublayer may be at least about 60% of the thickness of the thickest part of the sublayer, often measured on the sidewalls of the recessed features. The first and / or second sublayers are typically formed of an electrically insulating material.

第1のサブ層に関して、高い炭素含有量を有する材料が、HClなどのハロゲン化物に対する高品質のバリアを提供することが示された。したがって、多くの実施形態において、バリア層の第1のサブ層は、高い炭素含有量を有する材料で形成される。いくつかの例において、第1のバリア層の材料は、少なくとも約40重量%の炭素(例えば、少なくとも約99重量%の炭素)を有してよい。第1のサブ層としての利用に適しうる一群の材料は、アッシャブルハードマスク(AHM)材料である。AHM材料の例としては、主に炭素で構成され、残りの成分が、通例は水素であり、一部の例では窒素などの他の元素を微量に含む非晶質炭素材料が挙げられる。 For the first sublayer, materials with high carbon content have been shown to provide a high quality barrier to halides such as HCl. Therefore, in many embodiments, the first sublayer of the barrier layer is formed of a material with a high carbon content. In some examples, the material of the first barrier layer may have at least about 40% by weight carbon (eg, at least about 99% by weight carbon). A group of materials that may be suitable for use as a first sublayer is an ashable hardmask (AHM) material. Examples of AHM materials include amorphous carbon materials that are predominantly composed of carbon, the remaining component is usually hydrogen, and in some cases contains trace amounts of other elements such as nitrogen.

いくつかの他の例において、第1のサブ層の材料は、パリレン材料であってもよい。パリレンとは、通例は蒸着技術で蒸着される様々な有機ポリマのことである。パリレンAF−4およびパリレンNなど、第1のサブ層のための材料として有用でありうる多くの様々な種類のパリレンがあるが、これらに限定されない。様々な実施形態で第1のサブ層に利用されうるその他の高炭素材料は、ポリナフタレン−N、ポリナフタレン−F、フッ素化非晶質炭素、フッ素化炭化水素、テフロン−AF(テフロンは登録商標)、および、熱蒸着されたフッ化炭素(例えば、CVDフッ化炭素)を含むが、これらに限定されない。本明細書で挙げたような高炭素含有膜は、損傷を与えるハロゲン化物(HClなど)に対する高品質のバリアを提供することが示されている。 In some other examples, the material of the first sublayer may be a parylene material. Parylenes are various organic polymers that are typically deposited by thin-film deposition techniques. There are many different types of parylene that can be useful as materials for the first sublayer, such as parylene AF-4 and parylene N, but not limited to these. Other high carbon materials that can be utilized in the first sublayer in various embodiments are polynaphthalene-N, polynaphthalene-F, fluorinated amorphous carbon, fluorinated hydrocarbons, Teflon-AF (Teflon is registered). Trademarks) and, but are not limited to, thermally vapor-deposited fluorocarbons (eg, CVD fluorocarbons). High carbon-containing membranes such as those mentioned herein have been shown to provide a high quality barrier against damaging halides (such as HCl).

特定の実施例において、第1のサブ層は、有機ポリマまたは有機金属ポリマ材料であってよい。様々なポリマ材料が、高品質HClバリアを提供すると示されている。多くの例において、第1のサブ層は、ハロゲン化物含有化学物質を用いることなしに蒸着される。同様に、第1のサブ層は、下層材料を酸化しない反応物質/条件を用いて蒸着されうる。様々な実施形態において、第1のサブ層は、酸化プラズマにも水素系プラズマにも基板を暴露させることなしに蒸着されうる。 In certain embodiments, the first sublayer may be an organic polymer or an organometallic polymer material. Various polymer materials have been shown to provide high quality HCl barriers. In many examples, the first sublayer is deposited without the use of halide-containing chemicals. Similarly, the first sublayer can be deposited using reactants / conditions that do not oxidize the underlying material. In various embodiments, the first sublayer can be deposited on either the oxide plasma or the hydrogen-based plasma without exposing the substrate.

第2のサブ層の材料は、下層材料の酸化に対する良好な保護を提供することが好ましい。高品質な酸化保護を提供することがわかっている材料の1つは、SiNである。また、SiNは、比較的低温で共形に蒸着できるので有用である。SiNは、第1のサブ層の下にある材料を損傷しうるハロゲン化物含有化学物質を用いて蒸着されうるので、第2のサブ層材料として特に適切である。 The material of the second sublayer preferably provides good protection against oxidation of the underlying material. One of the materials known to provide high quality oxidative protection is SiN. In addition, SiN is useful because it can be deposited conformally at a relatively low temperature. SiN is particularly suitable as a second sublayer material as it can be deposited with a halide-containing chemical that can damage the material beneath the first sublayer.

本明細書の実施形態の多くは、SiNを第2のサブ層として有する二重バリア層の文脈で提供されているが、これは必ずしも当てはまるものではない。第2のサブ層の材料は、酸化に対する良好な保護を提供する任意の非導電材料であってよい。しばしば、第2のサブ層は、ハロゲン化物含有(例えば、塩素含有)化学物質を用いて蒸着される材料である。様々な例において、第2のサブ層は、損傷を与える化学物質(HClなど)への基板の暴露につながる化学物質を用いて蒸着されうる。SiNの場合(例えば、多くの場合は、ALD蒸着されたSiN)、SiN材料の蒸着は、互いに反応してHClを形成しうるジクロロシランおよびアンモニアへの基板の暴露を伴いうる。第2のサブ層に利用できる材料のさらなる例は、SiCNおよびSiCを含むが、これらに限定されない。これらの材料は、第1のサブ層の下にある材料を損傷する化学物質(例えば、水素プラズマ)を用いて蒸着されうる。しかしながら、第1のサブ層が、第2のサブ層の蒸着中に下層の材料を保護できる。 Many of the embodiments herein are provided in the context of a dual barrier layer with SiN as the second sublayer, but this is not always the case. The material of the second sublayer may be any non-conductive material that provides good protection against oxidation. Often, the second sublayer is a material that is deposited with a halide-containing (eg, chlorine-containing) chemical. In various examples, the second sublayer can be deposited with a chemical that leads to exposure of the substrate to damaging chemicals (such as HCl). In the case of SiN (eg, in many cases ALD-deposited SiN), the deposition of SiN material can involve exposure of the substrate to dichlorosilane and ammonia, which can react with each other to form HCl. Further examples of materials available for the second sublayer include, but are not limited to, SiCN and SiC. These materials can be deposited with chemicals (eg, hydrogen plasma) that damage the material beneath the first sublayer. However, the first sublayer can protect the underlying material during the deposition of the second sublayer.

二重バリア層内の第1のサブ層の形成
二重バリア層内の第1のサブ層は、通例、上述のように高炭素材料である。第1のサブ層は、一般的に、蒸着技術を用いて蒸着される。いくつかの異なる方法を記載する。
Formation of First Sublayer in Double Barrier Layer The first sublayer in the double barrier layer is typically a high carbon material as described above. The first sublayer is generally deposited using a thin-film deposition technique. Several different methods are described.

本明細書で挙げるプロセスパラメータの多くは、300mmウエハ上に材料を蒸着するための4ステーションを有するVector(商標)モジュール(カリフォルニア州フレモントのLam Research社製)に対応する。図5〜図7(後に詳述する)は、図3Aに示す方法を実行するための適切な装置の例を提示する。当業者であれば、プロセスパラメータが、蒸着チャンバの容積、ウエハサイズ、および、その他の要素に基づいて増減されてよいことが容易にわかる。例えば、LF発生器およびHF発生器の電力出力は、通例、ウエハの蒸着表面積に正比例する。300mmのウエハに用いられる電力は、一般に、200mmのウエハに用いられる電力よりも2.25高い。同様に、流量は、蒸着チャンバの空容量に依存し、空容量は、4つのNovellus社Vector(商標)蒸着チャンバの各々について195Lである。 Many of the process parameters listed herein correspond to Vector ™ modules (manufactured by Lam Research, Fremont, Calif.) That have four stations for depositing material on 300 mm wafers. 5 to 7 (discussed later) provide an example of a suitable device for performing the method shown in FIG. 3A. Those skilled in the art will readily appreciate that process parameters may be increased or decreased based on the volume of the deposition chamber, wafer size, and other factors. For example, the power output of an LF generator and an HF generator is typically directly proportional to the vapor deposition surface area of the wafer. The power used for a 300 mm wafer is generally 2.25 higher than the power used for a 200 mm wafer. Similarly, the flow rate depends on the empty capacity of the vapor deposition chamber, which is 195 L for each of the four Novellus Vector ™ vapor deposition chambers.

図3Aは、特定の実施形態に従って、高炭素含有材料を形成するための一般的な処理フローにおける段階を示す。高炭素含有材料は、アッシャブルハードマスク材料として一般に用いられる材料であってよい。この高炭素含有材料は、本明細書に開示するように、二重バリア層の第1のサブ層を形成しうる。アッシャブルハードマスク材料は、エッチングマスクとして一般に用いられる炭素系の膜である。様々な実施形態において、ハードマスク材料は、非晶質炭素系の膜である。図の実施形態において、方法300は、蒸着チャンバ内に半導体基板を準備する工程で始まる(ブロック302)。例えば、半導体基板は、Vector(商標)モジュールに適した300mm半導体ウエハであってよい。次いで、前駆体処理ガスが、チャンバに導入される(ブロック304)。特定の例において、前駆体処理ガスは、少なくともアセチレンを含む。前駆体ガスのその他の例は、メタン、プロピレン、および、その他の炭化水素(例えば、C、ただし、2<x<4および2<y<10)を含む。 FIG. 3A shows the steps in a general processing flow for forming a high carbon-containing material according to a particular embodiment. The high carbon-containing material may be a material generally used as an ashable hard mask material. This high carbon-containing material can form a first sublayer of the double barrier layer, as disclosed herein. The ashable hard mask material is a carbon-based film generally used as an etching mask. In various embodiments, the hardmask material is an amorphous carbon-based film. In the embodiment of the figure, method 300 begins with the step of preparing a semiconductor substrate in the vapor deposition chamber (block 302). For example, the semiconductor substrate may be a 300 mm semiconductor wafer suitable for the Vector ™ module. The precursor treatment gas is then introduced into the chamber (block 304). In certain examples, the precursor treatment gas comprises at least acetylene. Other examples of precursor gases include methane, propylene, and other hydrocarbons (eg, C x Hy , but 2 <x <4 and 2 <y <10).

蒸着チャンバサイズおよびその他のプロセスパラメータに応じて、アセチレンの流量は、蒸着処理中に約3,000〜10,000sccmであってよい。一実施形態において、アセチレンの流量は、約5,000〜8,000sccmであってよい。上述のように、処理ガスは、メタン、エチレン、プロピレン、ブタン、シクロヘキサン、ベンゼン、および、トルエンなど、他の炭素含有前駆体を含んでもよい。 Depending on the deposition chamber size and other process parameters, the flow rate of acetylene may be from about 3,000 to 10,000 sccm during the deposition process. In one embodiment, the flow rate of acetylene may be from about 5,000 to 8,000 sccm. As mentioned above, the treatment gas may include other carbon-containing precursors such as methane, ethylene, propylene, butane, cyclohexane, benzene, and toluene.

搬送ガスが、前駆体を希釈するために用いられてよい。搬送ガスは、ヘリウム、アルゴン、窒素、水素、または、それらの組みあわせなど、半導体処理に用いられる任意の適切な搬送ガスを含みうる。搬送ガスの総流量は、蒸着チャンバサイズおよびその他のプロセスパラメータに依存してよく、約500〜10,000sccmの範囲であってよい。具体的な一実施形態において、窒素およびヘリウムが、約500〜5,000sccmおよび約300〜3,000sccmの対応する流量範囲を有する搬送ガスとして用いられる。 The carrier gas may be used to dilute the precursor. The transport gas may include any suitable transport gas used in semiconductor processing, such as helium, argon, nitrogen, hydrogen, or a combination thereof. The total flow rate of the carrier gas may depend on the deposition chamber size and other process parameters and may range from about 500 to 10,000 sccm. In one specific embodiment, nitrogen and helium are used as transport gases with corresponding flow ranges of about 500-5,000 sccm and about 300-3,000 sccm.

図の実施形態では、次に、高炭素含有材料が、プラズマ化学蒸着(PECVD)またはその他の蒸着処理によって半導体基板上に蒸着される(ブロック306)。例えば、単一周波数のプラズマ生成処理では、高周波発生器が、蒸着処理中に、約2〜60MHz(例えば、一部の例では、約7〜13.56MHz)で、約1000〜3000Wの間または約1500〜2500Wの間(一例では、約2000W)の電力を供給してよい。この電力は、4つのステーション/基板に供給される。この電力は、(設定電力および基板の面積を考慮すると)、約3500〜11000W/mの間の電力密度に対応しうる。これは、(基板への電力効率/供給を考慮すると)、約500〜4400W/mの間の受信電力密度に対応しうる。周波数の一例は、13.56MHzである。電力効率は、通例、設定電力に関して約70〜80%の間である。一例において、入力電力の約70〜80%がイオン衝撃によってシャワーヘッド/ペデスタルに伝達されるが、残りは、プラズマを維持すると共にガスを加熱するために消費される。蒸着処理は、基板の温度が約50〜400℃の間にある時に実行されてよい。蒸着チャンバの圧力は、約2〜8Torrでに維持されてよい。高炭素含有材料蒸着のための処理条件の一例を、表1にまとめた。所望の厚さの膜が蒸着されるまで、蒸着が続けられる。様々な実施形態によれば、第1のサブ層は、約15〜100Åの間(例えば、約20〜50Åの間)の厚さまで蒸着されてよい。蒸着速度の例は、一部の場合では、約20Å/分であってよい。
In the embodiment of the figure, the high carbon-containing material is then deposited onto the semiconductor substrate by plasma chemical vapor deposition (PECVD) or other vapor deposition process (block 306). For example, in a single frequency plasma generation process, the high frequency generator may be between about 1000 and 3000 W at about 2-60 MHz (eg, about 7 to 13.56 MHz in some examples) during the vapor deposition process. Power may be supplied between about 1500 and 2500 W (in one example, about 2000 W). This power is supplied to four stations / boards. This power can correspond to a power density between about 3500 to 11000 W / m 2 (taking into account the set power and substrate area). This can correspond to a received power density between about 500-4400 W / m 2 (taking into account the power efficiency / supply to the substrate). An example of the frequency is 13.56 MHz. Power efficiency is typically between about 70-80% with respect to set power. In one example, about 70-80% of the input power is transferred to the showerhead / pedestal by ionic impact, while the rest is consumed to maintain the plasma and heat the gas. The vapor deposition process may be performed when the temperature of the substrate is between about 50 and 400 ° C. The pressure in the deposition chamber may be maintained at about 2-8 Torr. Table 1 summarizes examples of treatment conditions for vapor deposition of high carbon-containing materials. The deposition is continued until a film of the desired thickness is deposited. According to various embodiments, the first sublayer may be deposited to a thickness between about 15-100 Å (eg, between about 20-50 Å). Examples of deposition rates may be about 20 Å / min in some cases.

上記の処理条件はすべて、結果としての膜が、HCl(または、その他の有害なハロゲン化物含有化学物質)に対する高品質のバリアを提供する非導電性の高炭素膜である限りは、表1に示した範囲例の外側に変更されてもよい。流量の例が表1に記載されているが、特定の実施形態において、本発明の方法は、低流量処理(えば、100〜1000sccm以下のアセチレン流量)で用いられる。これらの低流量での希釈が再現性にとって特に有害でありうるので、低蒸気圧スタビライザの利用が有利である。高炭素含有アッシャブルハードマスク材料を形成するための方法が、以下の特許および特許出願でさらに記載されており、各々は、参照によってその全体が本明細書に組み込まれる:米国特許第7,820,556号;米国特許第7,955,990号;2014年5月5日出願の米国特許出願第14/270,001号「SULFUR DOPED CARBON HARD MASKS」;および、2014年4月8日出願の米国特許出願第14/248,046号「HIGH SELECTIVITY AND LOW STRESS CARBON HARDMASK BY PULSED LOW FREQUENCY RF POWER」。 All of the above treatment conditions are shown in Table 1 as long as the resulting membrane is a non-conductive high carbon membrane that provides a high quality barrier to HCl (or other harmful halide-containing chemicals). It may be changed to the outside of the range example shown. Examples of flow rates are shown in Table 1, but in certain embodiments, the methods of the invention are used in low flow rate treatments (eg, acetylene flow rates of 100-1000 sccm or less). The use of low vapor pressure stabilizers is advantageous because these low flow dilutions can be particularly detrimental to reproducibility. Methods for forming high carbon-containing washable hardmask materials are further described in the following patents and patent applications, each of which is incorporated herein by reference in its entirety: US Pat. No. 7,820. , 556; U.S. Patent No. 7,955,990; U.S. Patent Application No. 14 / 270,001 filed May 5, 2014 "SULFUR DOPED CARBON HARD MASKS"; and filed April 8, 2014. US Patent Application No. 14 / 248,046 "HIGH SELECTIVITY AND LOW STRESS CARBON HARDMASK BY PULSED LOW FREQUENCY RF POWER".

図3Bは、二重バリア層の第1のサブ層として利用できるパリレン膜を形成する方法のフローチャートを示す。方法は、パリレンAF−4を形成する文脈で説明されているが、その他のタイプのパリレン膜が一部の例で用いられてもよい。図3Cは、図3Bに示す方法を実行するために利用できる装置の簡略図である。図3Dは、図3Bに示す方法および図3Cに示す装置を用いてパリレンAF−4膜を形成するために利用できる反応を示している。図3Bの方法は、図3Cおよび図3Dを参照して説明される。 FIG. 3B shows a flow chart of a method of forming a parylene film that can be used as the first sublayer of the double barrier layer. The method is described in the context of forming parylene AF-4, but other types of parylene membranes may be used in some examples. FIG. 3C is a simplified diagram of an apparatus that can be used to perform the method shown in FIG. 3B. FIG. 3D shows the reactions that can be used to form the parylene AF-4 membrane using the method shown in FIG. 3B and the apparatus shown in FIG. 3C. The method of FIG. 3B will be described with reference to FIGS. 3C and 3D.

図3Bに示すように、方法310は、反応チャンバ322に基板326を供給することによって始まる(ブロック312)。方法310は、二量体(例えば、固体二量体AF−4)を昇華させて気相二量体(例えば、気体二量体AF−4)を形成し、熱分解器320内で気相二量体を熱分解して気相単量体を形成する工程に進む(ブロック314)。熱分解工程は、酸素(および/または任意のハロゲン)の不在下で高温で有機材料を熱化学分解することを含む。熱分解の温度の例は、約400℃超であってよい。熱分解の圧力の例は、約10mトール〜100トールの間であってよい。次いで、気相単量体は、反応チャンバ322に供給されて重合され、基板上(凹部フィーチャの側壁上など)にポリマ膜(例えば、パリレンAF−4膜)の層を形成する(ブロック316)。 As shown in FIG. 3B, method 310 begins by feeding substrate 326 to reaction chamber 322 (block 312). Method 310 sublimates a dimer (eg, solid dimer AF-4) to form a gas phase dimer (eg, gas dimer AF-4), and the gas phase in the thermal decomposer 320. Proceed to the step of thermally decomposing the dimer to form a gas phase monomer (block 314). The pyrolysis step involves thermochemically decomposing the organic material at high temperatures in the absence of oxygen (and / or any halogen). An example of a pyrolysis temperature may be above about 400 ° C. An example of a pyrolysis pressure may be between about 10 m toll and 100 toll. The gas phase monomer is then fed to the reaction chamber 322 and polymerized to form a layer of polymer film (eg, parylene AF-4 film) on the substrate (eg, on the sidewalls of the recessed features) (block 316). ..

反応チャンバ322は、基板支持ペデスタル324上に基板326を収容しうる。基板支持ペデスタルは、特定の温度(例えば、約400℃超)に基板を維持してよい。反応チャンバは、約10mトール〜100トールの間の圧力に維持されてよい。ポリマ膜の形成前に、基板326は、HClまたはその他の有害なハロゲン化物含有化学物質に弱い露出した材料(例えば、カルコゲニド層、銅層、アルミニウム層など)を有しうる。パリレンAF−4膜の形成後、二重バリア層の第1のサブ層の蒸着が完了し、第2のサブ層が蒸着されてよい。上述の方法と同様に、第1のサブ層は、約15〜100Åの間(例えば、約20〜50Åの間)の厚さまで蒸着されてよい。多くの例において、第1のサブ層は、少なくとも約20Åの厚さである。第1のサブ層の厚さの上限は、基板上のフィーチャ(かかるフィーチャが存在する場合)のアスペクト比、および、かかるフィーチャが第2のサブ層(例えば、SiN)で完全に満たされるか、第2のサブ層で裏張りされるだけで酸化物などの別の材料で後に満たされるか、に依存しうる。The reaction chamber 322 may house the substrate 326 on the substrate support pedestal 324. The substrate support pedestal may maintain the substrate at a particular temperature (eg, above about 400 ° C.). The reaction chamber may be maintained at a pressure between about 10 m toll and 100 toll. Prior to the formation of the polymer film, the substrate 326 may have exposed materials that are sensitive to HCl or other harmful halide-containing chemicals (eg, chalcogenide layer, copper layer, aluminum layer, etc.). After the formation of the parylene AF-4 film, the deposition of the first sublayer of the double barrier layer may be completed, and the second sublayer may be deposited. Similar to the method described above, the first sublayer may be deposited to a thickness between about 15-100 Å (eg, between about 20-50 Å). In many examples, the first sublayer is at least about 20 Å thick. The upper limit of the thickness of the first sublayer is the aspect ratio of the features on the substrate (if such features are present) and whether the features are completely filled with the second sublayer (eg SiN). It may depend on whether it is only lined with a second sublayer and later filled with another material such as an oxide.

第1のサブ層がパリレンAF−4以外のパリレン膜である場合、他の二量体が利用されうる。同様に、他の反応パラメータ(温度、圧力、など)が、関連するパリレン膜の形成に適するものとして用いられてよい。 If the first sublayer is a parylene film other than parylene AF-4, other dimers may be utilized. Similarly, other reaction parameters (temperature, pressure, etc.) may be used as suitable for the formation of the associated parylene membrane.

図3Eは、分子層蒸着(MLD)法を用いて有機ポリマ膜を形成する方法を示すフローチャートである。いくつかの実施形態において、この方法は、二重バリア層の第1のサブ層を形成するために用いられてよい。MLD法は、2つの半反応を含むALDのようなサイクルを用いて有機ポリマの薄膜を蒸着しうる。いくつかの例において、MLD法は、従来のALD法ほどは吸着を制限されずに駆動されうる。例えば、特定のMLD法は、反応物質の不飽和または過飽和を利用してよい。ALD法およびMLD法は、特定の実施形態においてフィーチャの側壁を裏張りする共形膜を形成するのに特によく適している。MLD法は、以下の米国特許出願においてさらに論じられており、特許出願の各々は、参照によって全体が本明細書に組み込まれる:2014年7月30日出願の米国特許出願第14/446,427号「METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS」、および、2015年5月25日出願の米国特許出願第14/724,574号「TECHNIQUE TO DEPOSIT SIDEWALL PASSIVATION FOR HIGH ASPECT RATIO CYLINDER ETCH」。 FIG. 3E is a flowchart showing a method of forming an organic polymer film by using a molecular layer deposition (MLD) method. In some embodiments, this method may be used to form a first sublayer of the double barrier layer. The MLD method can deposit a thin film of organic polymer using an ALD-like cycle involving two half-reactions. In some examples, the MLD method can be driven with less restricted adsorption than the conventional ALD method. For example, certain MLD methods may utilize unsaturated or supersaturated reactants. The ALD and MLD methods are particularly well suited to form conformal membranes that line the sidewalls of features in certain embodiments. The MLD method is further discussed in the following U.S. patent applications, each of which is incorporated herein by reference in its entirety: U.S. Patent Application No. 14 / 446,427 filed July 30, 2014. No. "METHOD OF CONDITIONING VACUUM CHAMBER OF SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS" and US Patent Application No. 14 / 724,574 "TECHNIQUE TODEPORT

方法330は、第1の反応物質が反応チャンバ内に流されて基板面上に吸着する工程331で始まる。反応物質は、部分的にエッチングされたフィーチャ内に深く浸透し、フィーチャの側壁上に吸着しうる。第1の反応物質は、吸着層を形成する。いくつかの実施例において、第1の反応物質は、有機金属材料である。特定の実施形態において、有機金属材料は、アルミニウムを含む。第1の反応物質として利用できるアルミニウム含有有機金属材料の一例は、トリメチルアルミニウム(TMA)である。いくつかの別の例において、有機金属材料は、タングステン含有材料(例えば、WCN)であってもよい。多くの他の有機金属材料が用いられてもよい。いくつかの実施例において、第1の反応物質は、酸無水物であってもよい。適切な酸無水物の一例は、無水マレイン酸である。第1の反応物質は、不活性搬送ガス(例えば、窒素、アルゴン、ヘリウム、ネオンなど)と共に供給されてよい。第1の反応物質が流れる継続期間は、約0.1〜20秒の間であってよい。 Method 330 begins with step 331 in which the first reactant is flowed into the reaction chamber and adsorbed on the substrate surface. The reactants can penetrate deep into the partially etched features and adsorb on the sidewalls of the features. The first reactant forms an adsorption layer. In some examples, the first reactant is an organometallic material. In certain embodiments, the organometallic material comprises aluminum. An example of an aluminum-containing organometallic material that can be used as the first reactant is trimethylaluminum (TMA). In some other examples, the organometallic material may be a tungsten-containing material (eg, WCN). Many other organometallic materials may be used. In some examples, the first reactant may be an acid anhydride. An example of a suitable acid anhydride is maleic anhydride. The first reactant may be supplied with an inert carrier gas (eg, nitrogen, argon, helium, neon, etc.). The duration of flow of the first reactant may be between about 0.1 and 20 seconds.

次に、工程333で、反応チャンバは、余分な第1の反応物質を反応チャンバから除去するために、任意選択的にパージされてもよい。次に、工程335で、第2の反応物質が、反応チャンバに供給される。工程335の継続期間の例は、約0.1〜20秒の間であってよい。いくつかの実施形態において、第2の反応物質は、ジアミン、ジオール、チオール、または、三官能性化合物であってよい。特定の実施形態において、第2の反応物質は、エチレングリコールおよび/またはエタノールアミンであってもよい。第2の反応物質は、第1の反応物質と反応して、基板上に保護膜を形成する。特定の一例において、第1の反応物質は有機金属材料(例えば、TMAまたはその他)であり、第2の反応物質はエチレングリコールである。別の特定の例において、第1の反応物質は酸無水物(例えば、無水マレイン酸またはその他)であり、第2の反応物質はエタノールアミンである。かかる反応物質の組み合わせは、HClに対する高品質バリアを提供する膜につながることがわかっている。ポリマ膜は、全くプラズマに依存することなしに、熱反応で形成されうる。いくつかの実施形態において、基板は、ポリマ膜の形成中に約25〜250℃の間の温度に維持されてよい。ポリマ膜の形成中、膜の蒸着に用いられる反応チャンバは、約0.5〜10トールの間の圧力に維持されてよい。 The reaction chamber may then be optionally purged in step 333 to remove excess first reactants from the reaction chamber. Next, in step 335, a second reactant is supplied to the reaction chamber. An example of the duration of step 335 may be between about 0.1 and 20 seconds. In some embodiments, the second reactant may be a diamine, diol, thiol, or trifunctional compound. In certain embodiments, the second reactant may be ethylene glycol and / or ethanolamine. The second reactant reacts with the first reactant to form a protective film on the substrate. In a particular example, the first reactant is an organometallic material (eg, TMA or otherwise) and the second reactant is ethylene glycol. In another particular example, the first reactant is an acid anhydride (eg, maleic anhydride or the like) and the second reactant is ethanolamine. Such reactant combinations have been found to lead to membranes that provide a high quality barrier to HCl. Polymer films can be formed by thermal reaction without any dependence on plasma. In some embodiments, the substrate may be maintained at a temperature between about 25 and 250 ° C. during the formation of the polymer film. During the formation of the polymer membrane, the reaction chamber used for film deposition may be maintained at a pressure between about 0.5-10 tolls.

次に、工程337で、反応チャンバは、任意選択的にパージされてもよい。工程333および337におけるパージは、非反応性ガスで反応チャンバを一掃する(sweep)こと、反応チャンバを排気すること、または、それらの何らかの組みあわせ、によって実行されてよい。パージの目的は、任意の非吸着反応物質および副生成物を反応チャンバから除去することである。パージ工程333および337はいずれも任意選択的であるが、望ましくない気相反応を防ぐのに役立つと共に、蒸着結果の改善につながりうる。 The reaction chamber may then be optionally purged in step 337. Purging in steps 333 and 337 may be performed by sweeping the reaction chamber with a non-reactive gas, exhausting the reaction chamber, or any combination thereof. The purpose of purging is to remove any non-adsorbed reactants and by-products from the reaction chamber. Although the purging steps 333 and 337 are both optional, they help prevent unwanted gas phase reactions and can lead to improved deposition results.

次に、工程339で、ポリマ膜が十分に厚いか否かが判定される。かかる判定は、サイクルごとに蒸着される厚さおよび実行されたサイクル回数に基づいてなされてよい。様々な実施形態において、各サイクルは、約0.1〜1nmの間の膜を蒸着し、その厚さは、反応物質が反応チャンバへ流される時間の長さ、および、結果として得られる反応物質の飽和レベルに依存する。膜がまだ十分に厚くない場合、方法330は、工程331から繰り返して、さらなる層を蒸着することによって膜厚を追加する。そうでない場合、方法330は完了する。後続の反復において、工程331は、さらなる第1の反応物質を基板上に吸着させる工程と、工程335の以前の反復によって存在しうる第2の反応物質と第1の反応物質を反応させる工程との両方を含んでよい。換言すると、最初のサイクル後、工程331および335の両方が、第1および第2の反応物質の間の反応を含みうる。 Next, in step 339, it is determined whether or not the polymer film is sufficiently thick. Such a determination may be made on the basis of the thickness deposited per cycle and the number of cycles performed. In various embodiments, each cycle deposits a film between about 0.1 and 1 nm, the thickness of which is the length of time that the reactants flow into the reaction chamber, and the resulting reactants. Depends on the saturation level of. If the film is not yet thick enough, method 330 repeats from step 331 to add film thickness by depositing additional layers. If not, method 330 is complete. In subsequent iterations, step 331 includes a step of adsorbing a further first reactant onto the substrate and a step of reacting the second reactant that may be present in the previous iteration of step 335 with the first reactant. May include both. In other words, after the first cycle, both steps 331 and 335 may include a reaction between the first and second reactants.

上述のように、二重バリア層の第1のサブ層は、しばしば、高炭素膜である。高炭素膜を形成するために用いられる方法は、図3A〜図3Eに関して説明した方法に限定されない。 As mentioned above, the first sublayer of the double barrier layer is often a high carbon film. The method used to form the high carbon film is not limited to the methods described with respect to FIGS. 3A-3E.

二重バリア層内の第2のサブ層の形成
二重バリア層の第2のサブ層は、第1のサブ層の上に形成される。第2のサブ層は、酸化に対する保護を下層材料に提供する。様々な実施形態において、第2のサブ層は、いずれも熱エネルギおよび/またはプラズマを用いて蒸着反応を促進することによって実行されうる化学蒸着(CVD)または原子層蒸着(ALD)などの蒸着方法を用いて蒸着される。多くの例において、第2のサブ層はSiNであるが、その他の材料が必要に応じて用いられてもよい。
Formation of a Second Sublayer in the Double Barrier Layer The second sublayer of the double barrier layer is formed on top of the first sublayer. The second sublayer provides protection against oxidation to the underlying material. In various embodiments, the second sublayer is a vapor deposition method such as chemical vapor deposition (CVD) or atomic layer deposition (ALD), all of which can be performed by promoting a vapor deposition reaction using thermal energy and / or plasma. Is vapor-deposited using. In many examples, the second sublayer is SiN, but other materials may be used as needed.

図4Aは、プラズマ原子層蒸着を用いて材料を蒸着する方法400を示すフローチャートである。方法400は、SiNを形成する文脈で説明されているが、適切な反応物質および反応条件が提供されれば、その他の材料が形成されてもよい。いくつかの例において、方法400は、カリフォルニア州フレモントのLam Research社製のVector(登録商標)製品群の反応チャンバで実行されてよい。方法400を実行するために利用できる装置の例が、図5〜図7に提示されている。 FIG. 4A is a flowchart showing a method 400 for depositing a material using plasma atomic layer deposition. Method 400 is described in the context of forming SiN, but other materials may be formed if appropriate reactants and reaction conditions are provided. In some examples, method 400 may be performed in the reaction chamber of the Vector® product line from Lam Research, Fremont, Calif. Examples of devices that can be used to perform method 400 are presented in FIGS. 5-7.

方法400は、反応チャンバに基板を供給することによって始まる(ブロック401)。基板は、約50〜400℃の間の温度に維持されてよい。反応チャンバは、約0.1〜100トールの間の圧力に維持されてよい。次に、第1の反応物質が反応チャンバ内に流され、基板の表面上に吸着することを許容される(ブロック403)。様々な実施例において、第1の反応物質は、ハロゲン化物含有反応物質(例えば、塩素含有反応物質)であってよい。第1の反応物質は、多くの例において、シリコン含有反応物質であってもよい。特定の例において、第1の反応物質は、ジクロロシラン(DCS)である。第1の反応物質の流量の例は、約0.25〜5L/分であってよい(単一のステーション/基板への流量)。第1の反応物質は、不活性搬送ガスと共に供給されてよい。第1の反応物質が供給される継続時間は、約0.1〜20秒の間であってよい。次いで、反応チャンバは、任意選択的にパージされてもよい(ブロック405)。パージは、チャンバを排気すること、不活性ガスでチャンバを一掃すること、または、それらの何らかの組みあわせ、によって実行されてよい。 Method 400 begins by feeding the substrate into the reaction chamber (block 401). The substrate may be maintained at a temperature between about 50 and 400 ° C. The reaction chamber may be maintained at a pressure between about 0.1 and 100 tolls. The first reactant is then allowed to flow into the reaction chamber and adsorb on the surface of the substrate (block 403). In various examples, the first reactant may be a halide-containing reactant (eg, a chlorine-containing reactant). The first reactant may be a silicon-containing reactant in many cases. In a particular example, the first reactant is dichlorosilane (DCS). An example of the flow rate of the first reactant may be about 0.25-5 L / min (flow rate to a single station / substrate). The first reactant may be supplied with the inert carrier gas. The duration of supply of the first reactant may be between about 0.1 and 20 seconds. The reaction chamber may then be optionally purged (block 405). Purging may be performed by exhausting the chamber, cleaning the chamber with an inert gas, or any combination thereof.

第1の反応物質の流れが止まった後、および、反応チャンバが任意選択的にパージされた後、第2の反応物質が反応チャンバ内に流され、第1の反応物質と反応して膜を形成する(ブロック407)。多くの実施形態において、第2の反応物質は、窒素含有反応物質である。第2の反応物質は、多くの例において、水素含有反応物質であってもよい。第2の反応物質の一例は、アンモニアである。第2の反応物質の流量の例は、約0.25〜20L/分であってよい(単一のステーション/基板への流量)。第2の反応物質は、不活性搬送ガスと共に供給されてよい。第2の反応物質が流れる継続期間は、約0.1〜20秒の間であってよい。いくつかの実施例において、第2の反応物質は、基板へ連続的に流れてよい。 After the flow of the first reactant has stopped and after the reaction chamber has been optionally purged, the second reactant has been flushed into the reaction chamber and reacted with the first reactant to form a membrane. Form (block 407). In many embodiments, the second reactant is a nitrogen-containing reactant. The second reactant may be a hydrogen-containing reactant in many cases. An example of the second reactant is ammonia. An example of the flow rate of the second reactant may be about 0.25 to 20 L / min (flow rate to a single station / substrate). The second reactant may be supplied with the inert carrier gas. The duration of the second reactant flowing may be between about 0.1 and 20 seconds. In some embodiments, the second reactant may flow continuously onto the substrate.

反応チャンバは、所望の膜を形成するために、プラズマに暴露されて第1の反応物質と第2の反応物質との間の反応を促進する(ブロック409)。この膜は、二重バリア層の第2のサブ層である。ブロック407での第2の反応物質の供給は、ブロック409でのプラズマ暴露の前、または、ブロック409でのプラズマ暴露と同時に、実行されてよい。多くの実施形態において、プラズマは、容量結合プラズマである。ただし、その他のタイプのプラズマが用いられてもよい(例えば、誘導結合プラズマ)。RF、DC、および、マイクロ波プラズマ発生器など、様々なタイプのプラズマ発生器が用いられてよい。単一周波数プラズマおよび二重周波数プラズマの両方が用いられてよい。いくつかの例において、プラズマは、約50〜400Hzの間の周波数で供給された約25〜1000Wの間の低周波(LF)成分(単一のステーション/基板への電力)を用いて生成されてよく、この電力は、(設定電力と基板の面積とを考慮し、効率/供給からの損失を考慮せず)約350〜14,500W/mの間の電力密度に対応しうる。これらの例またはその他の例において、プラズマは、約2〜60Hzの間の周波数(例えば、約13.56Hz、または、一部の例では約27Hz)で供給された約25〜5000Wの間の高周波(HF)成分(単一のステーション/基板への電力)を用いて生成されてよく、この電力は、(設定電力と基板の面積とを考慮し、効率/供給からの損失を考慮せず)約350〜70,000W/mの間の電力密度に対応しうる。 The reaction chamber is exposed to plasma to facilitate the reaction between the first and second reactants in order to form the desired membrane (block 409). This membrane is the second sublayer of the double barrier layer. The supply of the second reactant at block 407 may be performed prior to plasma exposure at block 409 or at the same time as plasma exposure at block 409. In many embodiments, the plasma is capacitively coupled plasma. However, other types of plasma may be used (eg, inductively coupled plasma). Various types of plasma generators may be used, such as RF, DC, and microwave plasma generators. Both single frequency plasmas and dual frequency plasmas may be used. In some examples, the plasma is generated using a low frequency (LF) component (power to a single station / substrate) between about 25 and 1000 W supplied at a frequency between about 50 and 400 Hz. This power may correspond to a power density between about 350 and 14,500 W / m 2 (considering the set power and the area of the substrate, not the loss from efficiency / supply). In these or other examples, the plasma is supplied at frequencies between about 2-60 Hz (eg, about 13.56 Hz, or in some cases about 27 Hz) and has high frequencies between about 25 and 5000 W. It may be generated using the (HF) component (power to a single station / board), which is (considering the set power and the area of the board, not the loss from efficiency / supply). It can accommodate power densities between about 350 and 70,000 W / m 2.

多くの例において、第1の反応物質および第2の反応物質は、互いに反応して、(所望の膜に加えて)望ましくないハロゲン化物含有種を形成する。例えば、第1の反応物質がDCSであり、第2の反応物質がアンモニアである場合、DCS由来の塩素がアンモニア由来の水素と結合して、HClを形成しうる。ハロゲン化物遮断層として機能する第1のサブ層が存在しない場合、このHClは、製造途中のデバイス上の様々な材料に損傷を与えうる。 In many examples, the first reactant and the second reactant react with each other to form an undesired halide-containing species (in addition to the desired membrane). For example, when the first reactant is DCS and the second reactant is ammonia, chlorine from DCS can combine with hydrogen from ammonia to form HCl. In the absence of a first sublayer that acts as a halide blocking layer, this HCl can damage a variety of materials on manufacturing devices.

第2の反応物質の供給後、反応チャンバは、任意選択的にパージされる(ブロック411)。このパージは、反応チャンバを排気すること、反応チャンバを一掃すること、または、それらの組みあわせによって実行されてよい。次いで、膜厚が、最終的な所望の膜厚と比較されてよい(ブロック413)。ブロック403〜413が、1つのALDサイクルを構成する。膜がブロック413で十分に厚くなっていない場合、方法は、ブロック403で始まるALDサイクルを繰り返すことによって継続する。このサイクルは、蒸着された膜が所望の厚さに達するまで繰り返されてよく、所望の厚さに達した時点で、方法は完了する。共形膜を形成するためのALDおよび関連方法については、米国特許第8,728,956号にさらに記載されており、この特許は、参照によって本明細書にその全体が組み込まれる。 After the supply of the second reactant, the reaction chamber is optionally purged (block 411). This purging may be performed by exhausting the reaction chamber, clearing the reaction chamber, or a combination thereof. The film thickness may then be compared to the final desired film thickness (block 413). Blocks 403-413 make up one ALD cycle. If the membrane is not thick enough at block 413, the method continues by repeating the ALD cycle starting at block 403. This cycle may be repeated until the deposited film reaches the desired thickness, at which point the method is complete. ALD and related methods for forming conformal membranes are further described in US Pat. No. 8,728,956, which is incorporated herein by reference in its entirety.

図4Bは、プラズマ化学蒸着を用いて材料を蒸着する方法420を示すフローチャートである。方法420は、SiNを形成する文脈で提示されているが、その他の材料がいくつかの例で用いられてもよい。方法420は、反応チャンバに基板を導入することによって始まる(ブロック421)。次に、第1および第2の反応物質が、反応チャンバ内に同時に流される(ブロック423)。この例において、第1の反応物質はジクロロシランであってよく、第2の反応物質はアンモニアであってよい。第1および/または第2の反応物質は、図4Aに関して上述した特性を有してよく、HClまたは別の有害ハロゲン化物含有種の形成につながりうる。1または複数の触媒を含め、多くの異なる反応物質が用いられてよい。第1のサブ層(第2のサブ層の下にある)は、有害なハロゲン化物含有種への暴露から下層材料を保護する。反応物質が流されている間に、反応チャンバは、プラズマに暴露され、第1および第2の反応物質の間の反応を促進する(ブロック423)。反応は、基板の表面上に反応生成物を堆積させる気相反応であってよい(ブロック425)。ブロック423および425に示した工程は、実質的に同時に実行されてよい。 FIG. 4B is a flowchart showing a method 420 for vapor deposition of a material using plasma chemical vapor deposition. Method 420 is presented in the context of forming SiN, but other materials may be used in some examples. Method 420 begins by introducing the substrate into the reaction chamber (block 421). The first and second reactants are then simultaneously flushed into the reaction chamber (block 423). In this example, the first reactant may be dichlorosilane and the second reactant may be ammonia. The first and / or second reactants may have the properties described above with respect to FIG. 4A and may lead to the formation of HCl or other harmful halide-containing species. Many different reactants may be used, including one or more catalysts. The first sublayer (below the second sublayer) protects the underlying material from exposure to harmful halide-containing species. While the reactants are flowing, the reaction chamber is exposed to plasma to facilitate the reaction between the first and second reactants (block 423). The reaction may be a gas phase reaction in which the reaction product is deposited on the surface of the substrate (block 425). The steps shown in blocks 423 and 425 may be performed substantially simultaneously.

サブ層がどのように蒸着されるかに関わらず、第2のサブ層の厚さの例は、約15〜10,000Å(線幅によって制限される)であってよく、いくつかの例では、約15〜50Åであってよい。様々な例において、第2のサブ層は、少なくとも約15Åの厚さ(例えば、少なくとも約20Åの厚さ)である。第2のサブ層の厚さの上限は、基板上の任意の凹部フィーチャのアスペクト比、および、かかるフィーチャが窒素で完全に満たされるのか、窒素で裏張りされるだけで酸化物などの別の材料で後に満たされるのか、に依存しうる。いくつかの実施形態において、第1および第2のサブ層は併せて、約30〜10,000Åの間の厚さを有してよい。 An example of the thickness of the second sublayer may be about 15-10,000 Å (limited by line width), regardless of how the sublayer is deposited, in some examples. , May be about 15-50 Å. In various examples, the second sublayer is at least about 15 Å thick (eg, at least about 20 Å thick). The upper limit of the thickness of the second sublayer is the aspect ratio of any recessed feature on the substrate, and whether such feature is completely filled with nitrogen or just lined with nitrogen and another such as oxide. It can depend on whether the material is later filled. In some embodiments, the first and second sublayers together may have a thickness between about 30 and 10,000 Å.

第1および第2のサブ層は、いくつかの例において、同じ反応チャンバ内で蒸着されてよい。これは、第1および第2のサブ層が化学蒸着および/または原子層蒸着技術で蒸着される場合に特に有益でありうる。単一の反応チャンバを両方のサブ層の蒸着に用いることは、蒸着工程の合間に基板を移送する必要がなく、下層材料の望ましくない酸化の可能性を低減する点で、有利でありうる。2つの異なる反応チャンバをサブ層の蒸着に用いることは、各チャンバをサブ層の一方の蒸着に最適化できる点で有利でありうる。これは、さらに、汚染を低減し、良好な付着および粒子性能を有するより高品質の膜を形成しうる。いくつかの実施例において、本明細書に記載の方法は、複数の反応チャンバを備えたクラスタツールで実行されてもよい。1つの反応チャンバが、第1のサブ層を蒸着するために用いられてよく、第2の反応チャンバが、第2のサブ層を蒸着するために用いられてよい。真空を維持したまま(したがって、基板を大気に暴露させることなしに)反応チャンバ間で基板を移動できるように、真空搬送チャンバが提供されてよい。いくつかの実施形態において、クラスタツールは、さらに、エッチングを実行するように構成された反応チャンバを備えてもよい。エッチングを実行するように構成された反応チャンバは、図1A〜図1Eおよび2A〜図2Fに関して記載した様々なエッチング工程を実現するために用いられてよい。 The first and second sublayers may be deposited in the same reaction chamber in some examples. This can be particularly beneficial when the first and second sublayers are deposited by chemical vapor deposition and / or atomic layer deposition techniques. The use of a single reaction chamber for the deposition of both sublayers can be advantageous in that the substrate does not need to be transferred between vapor deposition steps, reducing the potential for unwanted oxidation of the underlying material. The use of two different reaction chambers for the deposition of sublayers can be advantageous in that each chamber can be optimized for the deposition of one of the sublayers. This can further reduce contamination and form higher quality films with good adhesion and particle performance. In some embodiments, the methods described herein may be performed on a cluster tool with multiple reaction chambers. One reaction chamber may be used to deposit the first sublayer and the second reaction chamber may be used to deposit the second sublayer. A vacuum transfer chamber may be provided so that the substrate can be moved between reaction chambers while maintaining vacuum (and thus without exposing the substrate to the atmosphere). In some embodiments, the cluster tool may further include a reaction chamber configured to perform etching. Reaction chambers configured to perform etching may be used to implement the various etching steps described with respect to FIGS. 1A-1E and 2A-2F.

装置
本明細書に記載の方法は、任意の適切な装置によって実行されうる。適切な装置は、本発明に従って、処理工程を完了するためのハードウェアと、処理工程を制御するための命令を有するシステムコントローラとを備える。例えば、いくつかの実施形態において、ハードウェアは、処理ツールに含まれる1または複数の処理ステーションを備えてよい。
Devices The methods described herein can be performed by any suitable device. A suitable device comprises hardware for completing the processing process and a system controller having instructions for controlling the processing process in accordance with the present invention. For example, in some embodiments, the hardware may include one or more processing stations included in the processing tool.

図5は、原子層蒸着(ALD)および/または化学蒸着(CVD)(いずれもプラズマ強化されてもよい)を用いて材料を蒸着するために利用できる処理ステーション500の一実施形態を示す概略図である。簡単のために、処理ステーション500は、低圧環境を維持するために処理チャンバ本体502を有する独立型の処理ステーションとして図示されている。しかしながら、複数の処理ステーション500が、共通の処理ツール環境に含まれてもよいことがわかる。さらに、いくつかの実施形態において、処理ステーション500の1または複数のハードウェアパラメータ(以下で詳述するパラメータなど)が、1または複数のコンピュータコントローラによってプログラム的に調整されてよいことがわかる。 FIG. 5 is a schematic diagram illustrating an embodiment of a processing station 500 that can be used to deposit materials using atomic layer deposition (ALD) and / or chemical vapor deposition (CVD), both of which may be plasma-enhanced. Is. For simplicity, the processing station 500 is illustrated as a stand-alone processing station with a processing chamber body 502 to maintain a low pressure environment. However, it can be seen that a plurality of processing stations 500 may be included in a common processing tool environment. Further, it can be seen that in some embodiments, one or more hardware parameters of the processing station 500 (such as the parameters detailed below) may be programmed programmatically adjusted by one or more computer controllers.

処理ステーション500は、分配シャワーヘッド506に処理ガスを供給するための反応物質供給システム501と流体連通している。反応物質供給システム501は、シャワーヘッド506への供給に向けて処理ガスを混合および/または調整するための混合容器504を備える。1または複数の混合容器入口バルブ520が、混合容器504への処理ガスの導入を制御しうる。同様に、シャワーヘッド入口バルブ505が、シャワーヘッド506への処理ガスの導入を制御してよい。 The processing station 500 is in fluid communication with the reactant supply system 501 for supplying the processing gas to the distribution shower head 506. The reactant supply system 501 includes a mixing vessel 504 for mixing and / or adjusting the processing gas for supply to the shower head 506. One or more mixing vessel inlet valves 520 may control the introduction of processing gas into the mixing vessel 504. Similarly, the shower head inlet valve 505 may control the introduction of processing gas into the shower head 506.

BTBASのようないくつかの反応物質が、気化およびその後の処理ステーションへの供給の前に、液体の形態で収容されてよい。例えば、図5の実施形態は、混合容器504に供給される液体反応物質を気化させるための気化ポイント503を備える。いくつかの実施形態において、気化ポイント503は、加熱された気化器であってよい。かかる気化器から生成された反応物質蒸気は、下流の供給配管内で凝結しうる。凝結した反応物質に相性の悪いガスを暴露させると、小粒子が発生しうる。これらの小粒子は、配管を詰まらせる、バルブ動作を妨げる、基板を汚染するなどの可能性がある。これらの課題に対処するためのいくつかのアプローチは、残留した反応物質を除去するために、供給配管を一掃および/または排気することを含む。しかしながら、供給配管を一掃することは、処理ステーションのサイクル時間を長くして、処理ステーションのスループットを低下させうる。したがって、いくつかの実施形態において、気化ポイント503の下流の供給配管が、ヒートトレースされてもよい。いくつかの例では、混合容器504m熱処理されてよい。非限定的な一例において、気化ポイント503の下流の配管は、約100°Cから混合容器504で約150°Cまで増加してゆく温度プロファイルを有する。 Some reactants, such as BTBAS, may be contained in liquid form prior to vaporization and subsequent supply to the processing station. For example, the embodiment of FIG. 5 comprises a vaporization point 503 for vaporizing the liquid reactants supplied to the mixing vessel 504. In some embodiments, the vaporization point 503 may be a heated vaporizer. The reactant vapor generated from such a vaporizer can condense in the downstream supply line. Exposure of incompatible gases to the condensed reactants can result in the formation of small particles. These small particles can clog pipes, interfere with valve operation, contaminate the board, and so on. Some approaches to address these challenges include clearing and / or evacuating supply lines to remove residual reactants. However, clearing the supply line can increase the cycle time of the processing station and reduce the throughput of the processing station. Therefore, in some embodiments, the supply line downstream of vaporization point 503 may be heat traced. In some examples, the mixing vessel may be heat treated for 504 m. In a non-limiting example, the piping downstream of the vaporization point 503 has a temperature profile that increases from about 100 ° C to about 150 ° C in the mixing vessel 504.

いくつかの実施形態において、液体反応物質は、液体インジェクタで気化されてもよい。例えば、液体インジェクタは、混合容器の上流の搬送ガス流に液体反応物質のパルスを注入しうる。1つのシナリオにおいて、液体インジェクタは、高圧から低圧へ液体を流す(flash)ことによって反応物質を気化させてよい。別のシナリオにおいて、液体インジェクタは、分散した微液滴に液体を霧化してよく、その後、微液滴は、加熱された供給菅内で気化される。小さい液滴は、大きい液滴よりも速く気化して、液体注入と完全な気化との間の遅延を低減しうることがわかる。より迅速に気化すれば、気化ポイント503から下流の配管の長さを短くすることができる。1つのシナリオにおいて、液体インジェクタは、混合容器504に直接取り付けられてよい。別のシナリオにおいて、液体インジェクタは、シャワーヘッド506に直接取り付けられてもよい。 In some embodiments, the liquid reactant may be vaporized with a liquid injector. For example, a liquid injector may inject a pulse of liquid reactant into a transport gas stream upstream of the mixing vessel. In one scenario, the liquid injector may vaporize the reactants by flushing the liquid from high pressure to low pressure. In another scenario, the liquid injector may atomize the liquid into dispersed microdroplets, after which the microdroplets are vaporized in a heated supply tube. It can be seen that smaller droplets can vaporize faster than larger droplets, reducing the delay between liquid injection and complete vaporization. If vaporized more quickly, the length of the pipe downstream from the vaporization point 503 can be shortened. In one scenario, the liquid injector may be attached directly to the mixing vessel 504. In another scenario, the liquid injector may be attached directly to the shower head 506.

いくつかの実施形態において、気化ポイント503の上流に、液体流コントローラが、気化および処理ステーション500への供給に向けて液体の質量流量を制御するために提供されてよい。例えば、液体流コントローラ(LFC)は、LFCの下流に配置された熱マスフローメータ(MFM)を含みうる。次いで、LFCのプランジャバルブが、MFMと電気通信して比例積分微分(PID)コントローラによって提供されたフィードバック制御信号に応答して調節されてよい。しかしながら、フィードバック制御を用いて液体流を安定化するには、1秒以上かかりうる。これは、液体反応物質を供給する時間を延長しうる。したがって、いくつかの実施形態において、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態において、LFCは、LFCの検知管およびPIDコントローラを無効にすることによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。 In some embodiments, upstream of the vaporization point 503, a liquid flow controller may be provided to control the mass flow rate of the liquid for vaporization and supply to the processing station 500. For example, a liquid flow controller (LFC) may include a thermal mass flow meter (MFM) located downstream of the LFC. The plunger valve of the LFC may then be telecommunications with the MFM and adjusted in response to a feedback control signal provided by a proportional integral differential (PID) controller. However, it can take more than a second to stabilize the liquid flow using feedback control. This can extend the time to supply the liquid reactant. Therefore, in some embodiments, the LFC may be dynamically switched between feedback control mode and direct control mode. In some embodiments, the LFC may be dynamically switched from feedback control mode to direct control mode by disabling the LFC's detector tube and PID controller.

シャワーヘッド506は、処理ガスを基板512に分配する。図5に示した実施形態において、基板512は、シャワーヘッド506の下方に配置され、ペデスタル508上に図示されている。シャワーヘッド506は、任意の適切な形状を有してよく、基板512へ処理ガスを分配するための任意の適切な数および配列のポートを有してよいことがわかる。 The shower head 506 distributes the processing gas to the substrate 512. In the embodiment shown in FIG. 5, the substrate 512 is located below the shower head 506 and is illustrated on the pedestal 508. It can be seen that the shower head 506 may have any suitable shape and may have any suitable number and arrangement of ports for distributing the processing gas to the substrate 512.

いくつかの実施形態において、微小空間507が、シャワーヘッド506の下方に配置されている。処理ステーションの空間全体ではなく微小空間でALD処理および/またはCVD処理を実行することで、反応物質暴露時間および一掃時間を短縮する、処理条件(例えば、圧力、温度など)を変更するための時間を短縮する、処理ステーションロボットの処理ガスへの暴露を制限するなど、を実現できる。微小空間のサイズの例は、0.1リットルから2リットルまでの間の体積を含むが、これに限定されない。この微小空間は、生産スループットにも影響する。サイクルあたりの蒸着速度が低下すると、サイクル時間も同時に悪化する。特定の場合において、後者の効果は、所与の目標膜厚についてモジュールのスループット全体を改善するのに十分劇的である。 In some embodiments, the microspace 507 is located below the shower head 506. Time to change treatment conditions (eg, pressure, temperature, etc.) to reduce reactant exposure and clearing time by performing ALD and / or CVD treatment in a small space rather than the entire space of the treatment station. It is possible to shorten the time required for processing, limit the exposure of the processing station robot to the processing gas, and so on. Examples of microspace sizes include, but are not limited to, volumes between 0.1 and 2 liters. This microspace also affects production throughput. As the deposition rate per cycle decreases, so does the cycle time. In certain cases, the latter effect is dramatic enough to improve the overall throughput of the module for a given target film thickness.

いくつかの実施形態において、ペデスタル508は、微小空間507に基板512を暴露させるため、および/または、微小空間507の体積を変化させるために、上下されてよい。例えば、基板搬送段階中に、ペデスタル508は、ペデスタル508上に基板512をロードできるように下げられてよい。蒸着処理段階中に、ペデスタル508は、微小空間507内に基板512を配置するために上げられてよい。いくつかの実施形態において、微小空間507は、基板512とペデスタル508の一部とを完全に取り囲んで、蒸着処理中にフローインピーダンスの高い領域を作りうる。 In some embodiments, the pedestal 508 may be moved up and down to expose the substrate 512 to the microspace 507 and / or to change the volume of the microspace 507. For example, during the substrate transfer phase, the pedestal 508 may be lowered to allow the substrate 512 to be loaded onto the pedestal 508. During the vapor deposition process, the pedestal 508 may be raised to place the substrate 512 within the microspace 507. In some embodiments, the microspace 507 can completely surround the substrate 512 and a portion of the pedestal 508 to create a region of high flow impedance during the deposition process.

任意選択的に、ペデスタル508は、微小空間507内の処理圧力、反応物質濃度などを調節するために、蒸着処理中の一部の間に下げられてよい、および/または、上げられてよい。処理チャンバ本体502が蒸着処理中にベース圧力のままである1つのシナリオにおいて、ペデスタル508を下げることにより、微小空間507の排気を可能にしてよい。処理チャンバ空間に対する微小空間の比の例は、1:500から1:10の間の体積比を含むが、それに限定されない。いくつかの実施形態において、ペデスタルの高さは、適切なコンピュータコントローラによってプログラム的に調節されてよいことがわかる。 Optionally, the pedestal 508 may be lowered and / or raised during a portion of the deposition process to adjust the treatment pressure, reactant concentration, etc. in the microspace 507. In one scenario where the processing chamber body 502 remains at base pressure during the deposition process, lowering the pedestal 508 may allow exhaust of the microspace 507. Examples of the ratio of microspace to processing chamber space include, but are not limited to, volume ratios between 1: 500 and 1:10. It can be seen that in some embodiments, the height of the pedestal may be programmatically adjusted by an appropriate computer controller.

別のシナリオにおいて、ペデスタル508の高さの調節は、蒸着処理に含まれるプラズマ活性化および/または処理サイクル中に、プラズマ密度を変化させることを可能にしうる。蒸着処理段階の最後に、ペデスタル508は、ペデスタル508から基板512を回収できるように、別の基板搬送段階中に下げられてよい。 In another scenario, adjusting the height of the pedestal 508 may allow the plasma density to vary during the plasma activation and / or treatment cycle involved in the deposition process. At the end of the deposition process, the pedestal 508 may be lowered during another substrate transfer step so that the substrate 512 can be recovered from the pedestal 508.

本明細書に記載の微小空間の変更の例では、高さ調節可能なペデスタルに言及しているが、いくつかの実施形態において、微小空間507の体積を変化させるために、シャワーヘッド506の位置をペデスタル508に対して調節してもよいことがわかる。さらに、ペデスタル508および/またはシャワーヘッド506の垂直位置は、本開示の範囲内の任意の適切なメカニズムによって変更されてよいことがわかる。いくつかの実施形態において、ペデスタル508は、基板512の向きを回転させるための回転軸を備えてよい。いくつかの実施形態において、これらの調節の例の内の1または複数は、1または複数の適切なコンピュータコントローラによってプログラム的に実行されてよいことがわかる。 The examples of microspace modifications described herein refer to height-adjustable pedestals, but in some embodiments, the position of the showerhead 506 to vary the volume of the microspace 507. Can be adjusted relative to pedestal 508. Further, it can be seen that the vertical position of the pedestal 508 and / or the shower head 506 may be modified by any suitable mechanism within the scope of the present disclosure. In some embodiments, the pedestal 508 may include a rotation axis for rotating the orientation of the substrate 512. It can be seen that in some embodiments, one or more of these examples of regulation may be performed programmatically by one or more suitable computer controllers.

図5に示した実施形態に戻ると、シャワーヘッド506およびペデスタル508は、プラズマに電力供給するために、RF電源514および整合回路網516と電気的に通信する。いくつかの実施形態において、プラズマエネルギは、処理ステーション圧力、ガス濃度、RF源電力、RF源周波数、および、プラズマ電力パルスタイミングの内の1または複数を制御することによって制御されてよい。例えば、RF電源514および整合回路網516は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適切な電力で動作されてよい。適切な電力の例については上述した。同様に、RF電源514は、任意の適切な周波数のRF電力を供給してよい。いくつかの実施形態において、RF電源514は、高周波RF電源および低周波RF電源を互いに独立して制御するよう構成されてよい。低周波RF周波数の例は、50kHzから500kHzの間の周波数を含みうるが、これに限定されない。高周波RF周波数の例は、1.8MHzから2.45GHzの間の周波数を含みうるが、これに限定されない。任意の適切なパラメータが、表面反応にプラズマエネルギを提供するために離散的または連続的に調整されてよいことがわかる。非限定的な一例において、プラズマ電力は、連続的に電力供給されたプラズマと比べて基板表面とのイオン衝撃を削減するために、間欠的にパルス化されてよい。 Returning to the embodiment shown in FIG. 5, the shower head 506 and the pedestal 508 electrically communicate with the RF power supply 514 and the matching network 516 to power the plasma. In some embodiments, the plasma energy may be controlled by controlling one or more of the processing station pressure, gas concentration, RF source power, RF source frequency, and plasma power pulse timing. For example, the RF power supply 514 and the matching network 516 may be operated with any suitable power to form a plasma with radical species of the desired composition. Examples of suitable powers have been described above. Similarly, the RF power supply 514 may supply RF power of any suitable frequency. In some embodiments, the RF power supply 514 may be configured to control the high frequency RF power supply and the low frequency RF power supply independently of each other. Examples of low frequency RF frequencies can include, but are not limited to, frequencies between 50 kHz and 500 kHz. Examples of radio frequency RF frequencies can include, but are not limited to, frequencies between 1.8 MHz and 2.45 GHz. It can be seen that any suitable parameter may be adjusted discretely or continuously to provide plasma energy for the surface reaction. In a non-limiting example, plasma power may be intermittently pulsed to reduce ionic impact with the substrate surface compared to continuously powered plasma.

いくつかの実施形態において、プラズマは、1または複数のプラズマモニタによってその場で監視されてよい。1つのシナリオでは、プラズマ電力が、1または複数の電圧、電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ密度および/または処理ガス濃度が、1または複数の発光分光法センサ(OES)によって測定されてもよい。いくつかの実施形態において、1または複数のプラズマパラメータが、かかるその場プラズマモニタからの測定値に基づいてプログラム的に調整されてよい。例えば、OESセンサが、プラズマ電力のプログラム制御を提供するためにフィードバックループで用いられてよい。いくつかの実施形態において、他のモニタが、プラズマおよびその他の処理特性を監視するために用いられてもよいことがわかる。かかるモニタは、赤外線(IR)モニタ、音声モニタ、および、圧力変換器を含みうるが、これらに限定されない。 In some embodiments, the plasma may be monitored in situ by one or more plasma monitors. In one scenario, plasma power may be monitored by one or more voltage and current sensors (eg, VI probes). In another scenario, plasma density and / or processing gas concentration may be measured by one or more emission spectroscopy sensors (OES). In some embodiments, one or more plasma parameters may be programmed programmatically based on measurements from such in-situ plasma monitors. For example, an OES sensor may be used in a feedback loop to provide programmed control of plasma power. It can be seen that in some embodiments, other monitors may be used to monitor the plasma and other processing characteristics. Such monitors may include, but are not limited to, infrared (IR) monitors, audio monitors, and pressure transducers.

いくつかの実施形態において、プラズマは、入力/出力制御(IOC)シーケンシング命令によって制御されてよい。一例において、プラズマ処理段階のプラズマ条件を設定するための命令は、蒸着処理レシピの対応するプラズマ活性化レシピ段階に含まれてよい。一部の例では、処理レシピ段階は、連続的に配列されてよく、その結果、蒸着処理段階のためのすべての命令が、その処理段階と同時に実行される。いくつかの実施形態において、1または複数のプラズマパラメータを設定するための命令が、プラズマ処理段階の前のレシピ段階に含まれてよい。例えば、第1のレシピ段階が、不活性ガスおよび/または反応ガスの流量を設定するための命令と、プラズマ発生器を電力設定点に設定するための命令と、第1のレシピ段階のための時間遅延命令とを含んでよい。次の第2のレシピ段階が、プラズマ発生器を有効にするための命令と、第2のレシピ段階のための時間遅延命令とを含んでよい。第3のレシピ段階が、プラズマ発生器を無効にするための命令と、第3のレシピ段階のための時間遅延命令とを含んでよい。これらのレシピ段階は、本開示の範囲内で、任意の適切な方法でさらに分割および/または反復されてもよいことがわかる。 In some embodiments, the plasma may be controlled by input / output control (IOC) sequencing instructions. In one example, the instructions for setting the plasma conditions of the plasma processing step may be included in the corresponding plasma activation recipe step of the deposition process recipe. In some examples, the processing recipe steps may be arranged continuously so that all instructions for the deposition process step are executed at the same time as the process step. In some embodiments, instructions for setting one or more plasma parameters may be included in the recipe step prior to the plasma processing step. For example, the first recipe step is for the instruction to set the flow rate of the inert gas and / or the reaction gas, the instruction to set the plasma generator to the power setting point, and the first recipe step. It may include a time delay instruction. The next second recipe step may include an instruction to activate the plasma generator and a time delay instruction for the second recipe step. The third recipe step may include an instruction to disable the plasma generator and a time delay instruction for the third recipe step. It can be seen that these recipe steps may be further divided and / or repeated in any suitable manner within the scope of the present disclosure.

一部の蒸着処理において、プラズマ衝突は、数秒以上のオーダーの持続期間にわたって続く。特定の実施例では、より短いプラズマ衝突が用いられてもよい。これらは、10ミリ秒〜1秒のオーダー(通例は、約20〜80ミリ秒)であってよく、50ミリ秒が具体的な例である。かかる非常に短いRFプラズマ衝突は、非常に迅速なプラズマ安定化を必要とする。これを達成するために、プラズマ発生器は、周波数が変動することが許容された状態でインピーダンス整合が特定の電圧に予め設定されるように構成されてよい。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書に記載の様々な実施形態において、周波数は、この標準値とは異なる値に変動することを許容される。インピーダンス整合を所定の電圧に固定しつつ周波数の変動を許すことにより、プラズマは、はるかに迅速に安定化することが可能であり、この結果は、いくつかのタイプの蒸着サイクルに関連する非常に短いプラズマ衝突を利用する場合に重要でありうる。 In some vapor deposition processes, plasma collisions last for durations on the order of seconds or more. In certain embodiments, shorter plasma collisions may be used. These may be on the order of 10 ms to 1 second (usually about 20-80 ms), with 50 ms being a specific example. Such very short RF plasma collisions require very rapid plasma stabilization. To achieve this, the plasma generator may be configured such that impedance matching is preset to a particular voltage with frequency fluctuations allowed. Conventionally, high frequency plasma is generated at an RF frequency of about 13.56 MHz. In the various embodiments described herein, frequencies are allowed to vary to values that differ from this standard value. By allowing frequency fluctuations while fixing impedance matching to a given voltage, the plasma can stabilize much faster, and this result is very relevant for some types of vapor deposition cycles. It can be important when utilizing short plasma collisions.

いくつかの実施形態において、ペデスタル508は、ヒータ510を用いて温度制御されてよい。さらに、いくつかの実施形態において、蒸着処理ステーション500の圧力制御が、バタフライバルブ518によって提供されてもよい。図5の実施形態に示すように、バタフライバルブ518は、下流の真空ポンプ(図示せず)によって提供された真空をスロットル調整する。しかしながら、いくつかの実施形態において、処理ステーション500の圧力制御は、処理ステーション500に導入される1または複数のガスの流量を変化させることによって調節されてもよい。 In some embodiments, the pedestal 508 may be temperature controlled using a heater 510. Further, in some embodiments, pressure control of the vapor deposition processing station 500 may be provided by the butterfly valve 518. As shown in the embodiment of FIG. 5, the butterfly valve 518 throttles the vacuum provided by a downstream vacuum pump (not shown). However, in some embodiments, the pressure control of the processing station 500 may be adjusted by varying the flow rate of one or more gases introduced into the processing station 500.

図6は、入口ロードロック602および出口ロードロック604を備えたマルチステーション処理ツール600の一実施形態を示す概略図であり、ロードロックの一方または両方は、遠隔プラズマ源を備えてよい。大気圧下にあるロボット606が、ポッド608を通してロードされたカセットから大気ポート610を介して入口ロードロック602内にウエハを移動させるよう構成されている。ウエハがロボット606によって入口ロードロック602内のペデスタル612上に載置され、大気ポート610が閉じられ、ロードロックがポンプ排気される。入口ロードロック602が遠隔プラズマ源を備える場合、ウエハは、処理チャンバ614に導入される前にロードロック内で遠隔プラズマ処理を受けてよい。さらに、ウエハは、例えば、湿気および吸着ガスを除去するために、入口ロードロック602内で加熱されてもよい。次に、処理チャンバ614へのチャンバ移動ポート616が開かれ、別のロボット(図示せず)が、処理に向けて、リアクタにウエハを入れて、リアクタ内に示された第1のステーションのペデスタル上に配置する。図4に示した実施形態は、ロードロックを備えているが、いくつかの実施形態において、処理ステーションにウエハを直接入れてもよいことがわかる。 FIG. 6 is a schematic showing an embodiment of a multi-station processing tool 600 with an inlet load lock 602 and an outlet load lock 604, one or both of which may include a remote plasma source. A robot 606 under atmospheric pressure is configured to move the wafer from a cassette loaded through the pod 608 into the inlet load lock 602 via the atmospheric port 610. The wafer is placed on the pedestal 612 in the inlet load lock 602 by the robot 606, the atmospheric port 610 is closed, and the load lock is pumped out. If the inlet load lock 602 comprises a remote plasma source, the wafer may undergo remote plasma processing within the load lock before being introduced into the processing chamber 614. In addition, the wafer may be heated in the inlet load lock 602, for example to remove moisture and adsorbed gas. The chamber transfer port 616 to the processing chamber 614 is then opened and another robot (not shown) places the wafer in the reactor for processing and the pedestal of the first station shown in the reactor. Place on top. Although the embodiment shown in FIG. 4 includes a load lock, it can be seen that in some embodiments the wafer may be placed directly into the processing station.

図の処理チャンバ614は、図6に示した実施形態において、1から4までの番号を付した4つの処理ステーションを備える。各ステーションは、加熱されたペデスタル(ステーション1については618と示されている)と、ガスライン流入口と、を有する。いくつかの実施形態において、各処理ステーションは、異なる目的すなわち複数の目的を有してもよいことがわかる。図の処理チャンバ614は4つのステーションを備えるが、本開示に従った処理チャンバは、任意の適切な数のステーションを有してよいことがわかる。例えば、いくつかの実施形態において、処理チャンバは、5以上のステーションを有してもよく、他の実施形態において、処理チャンバは、3以下のステーションを有してもよい。 The processing chamber 614 of the figure comprises four processing stations numbered 1 to 4 in the embodiment shown in FIG. Each station has a heated pedestal (shown as 618 for station 1) and a gas line inlet. It can be seen that in some embodiments, each processing station may have a different purpose, i.e., a plurality of purposes. Although the processing chamber 614 in the figure comprises four stations, it can be seen that the processing chamber according to the present disclosure may have any suitable number of stations. For example, in some embodiments, the processing chamber may have 5 or more stations, and in other embodiments, the processing chamber may have 3 or less stations.

図6は、さらに、処理チャンバ614内でウエハを移動するためのウエハハンドリングシステム690の一実施形態を示す。いくつかの実施形態において、ウエハハンドリングシステム690は、様々な処理ステーションの間で、および/または、処理ステーションとロードロックとの間で、ウエハを移動させうる。任意の適切なウエハハンドリングシステムが用いられてよいことがわかる。非限定的な例は、ウエハカルーセルおよびウエハハンドラロボットを含む。図6は、さらに、処理ツール600の処理条件およびハードウェア状態を制御するために用いられるシステムコントローラ650の一実施形態を示す。システムコントローラ650は、1または複数のメモリデバイス656と、1または複数のマスストレージデバイス654と、1または複数のプロセッサ652と、を備えてよい。プロセッサ652は、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。 FIG. 6 further shows an embodiment of a wafer handling system 690 for moving wafers within the processing chamber 614. In some embodiments, the wafer handling system 690 may move wafers between various processing stations and / or between processing stations and load locks. It can be seen that any suitable wafer handling system may be used. Non-limiting examples include wafer carousels and wafer handler robots. FIG. 6 further shows an embodiment of the system controller 650 used to control the processing conditions and hardware state of the processing tool 600. The system controller 650 may include one or more memory devices 656, one or more mass storage devices 654, and one or more processors 652. Processor 652 may include a CPU or computer, analog and / or digital input / output connections, stepper motor controller boards, and the like.

いくつかの実施形態において、システムコントローラ650は、処理ツール600の動作すべてを制御する。システムコントローラ650は、マスストレージデバイス654に格納され、メモリデバイス656にロードされて、プロセッサ652で実行されるシステム制御ソフトウェア658を実行する。システム制御ソフトウェア658は、タイミング;ガスの混合;チャンバおよび/またはステーションの圧力;チャンバおよび/またはステーションの温度;パージの条件およびタイミング;ウエハ温度;RF電力レベル;RF周波数;基板、ペデスタル、チャック、および/または、サセプタの位置;ならびに、処理ツール600によって実行される特定の処理の他のパラメータ、を制御するための命令を備えてよい。システム制御ソフトウェア658は、任意の適切な方法で構成されてよい。例えば、開示された方法に従って様々な処理ツールの処理を実行するために必要な処理ツール構成要素の動作を制御するために、様々な処理ツール構成要素サブルーチンまたは制御オブジェクトが書かれてよい。システム制御ソフトウェア658は、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。 In some embodiments, the system controller 650 controls all operations of the processing tool 600. The system controller 650 is stored in the mass storage device 654, loaded into the memory device 656, and executes the system control software 658 executed by the processor 652. System control software 658 provides timing; gas mixing; chamber and / or station pressure; chamber and / or station temperature; purge conditions and timing; wafer temperature; RF power level; RF frequency; substrate, pedestal, chuck, And / or the position of the susceptor; as well as instructions for controlling other parameters of the particular process performed by the process tool 600. The system control software 658 may be configured in any suitable manner. For example, various processing tool component subroutines or control objects may be written to control the behavior of processing tool components required to perform the processing of various processing tools according to the disclosed methods. The system control software 658 may be coded in any suitable computer readable programming language.

いくつかの実施形態において、システム制御ソフトウェア658は、上述の様々なパラメータを制御するための入力/出力制御(IOC)シーケンス命令を備えてよい。例えば、PEALD処理の各段階が、システムコントローラ650による実行のための1または複数の命令を備えてよい。PEALD処理段階のための処理条件を設定するための命令が、対応するPEALDレシピ段階に含まれてよい。いくつかの実施例において、PEALDレシピ段階は、連続的に配列されてよく、その結果、PEALD処理段階のためのすべての命令が、その処理段階と同時に実行される。 In some embodiments, the system control software 658 may include input / output control (IOC) sequence instructions for controlling the various parameters described above. For example, each stage of PEALD processing may comprise one or more instructions for execution by the system controller 650. Instructions for setting processing conditions for the PEALD processing stage may be included in the corresponding PEALD recipe stage. In some embodiments, the PEALD recipe steps may be arranged consecutively so that all instructions for the PEALD process step are executed at the same time as the process step.

システムコントローラ650に関連付けられたマスストレージデバイス654および/またはメモリデバイス656に格納された他のコンピュータソフトウェアおよび/またはプログラムが、いくつかの実施形態において用いられてもよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、処理ガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、および、プラズマ制御プログラムを含む。 Other computer software and / or programs stored in the mass storage device 654 and / or memory device 656 associated with the system controller 650 may be used in some embodiments. Examples of programs or program sections for this purpose include substrate positioning programs, processing gas control programs, pressure control programs, heater control programs, and plasma control programs.

基板位置決めプログラムは、基板をペデスタル618上にロードすると共に基板と処理ツール600の他の部品との間の間隔を制御するために用いられる処理ツール構成要素のためのプログラムコードを備えてよい。 The board positioning program may include program code for processing tool components used to load the board onto the pedestal 618 and control the spacing between the board and the other components of the processing tool 600.

処理ガス制御プログラムは、ガス組成および流量を制御するため、ならびに、任意選択的に、処理ステーション内の圧力を安定させるために蒸着の前に1または複数の処理ステーション内にガスを流すためのコードを備えてよい。処理ガス制御プログラムは、開示されている範囲のいずれかの範囲内にガスの組成および流量を制御するためのコードを備えてよい。圧力制御プログラムは、例えば、処理ステーションの排気システムのスロットルバルブ、処理ステーションへのガス流量などを調節することにより、処理ステーション内の圧力を制御するためのコードを備えてよい。圧力制御プログラムは、開示されている圧力範囲のいずれかの範囲内に処理ステーションの圧力を維持するためのコードを備えてよい。 The processing gas control program is a code for flowing gas into one or more processing stations prior to deposition to control the gas composition and flow rate, and optionally to stabilize the pressure in the processing station. May be equipped. The processing gas control program may include a code for controlling the composition and flow rate of the gas within any of the disclosed ranges. The pressure control program may include a code for controlling the pressure in the processing station, for example, by adjusting the throttle valve of the exhaust system of the processing station, the gas flow rate to the processing station, and the like. The pressure control program may include a code for maintaining the pressure at the processing station within any of the disclosed pressure ranges.

ヒータ制御プログラムは、基板を加熱するために用いられる加熱ユニットへの電流を制御するためのコードを備えてよい。あるいは、ヒータ制御プログラムは、基板への熱伝導ガス(ヘリウムなど)の供給を制御してもよい。ヒータ制御プログラムは、開示されている範囲のいずれかの範囲内に基板の温度を維持するための命令を備えてよい。 The heater control program may include a code for controlling the current to the heating unit used to heat the substrate. Alternatively, the heater control program may control the supply of a heat conductive gas (such as helium) to the substrate. The heater control program may include instructions for maintaining the temperature of the substrate within any of the disclosed ranges.

プラズマ制御プログラムは、例えば、本明細書に開示したRF電力レベルのいずれかを用いて、1または複数の処理ステーション内の処理電極に印加されるRF電力レベルおよび周波数を設定するためのコードを備えてよい。プラズマ制御プログラムは、各プラズマ暴露の継続期間を制御するためのコードを備えてもよい。 The plasma control program comprises a code for setting the RF power level and frequency applied to the processing electrodes in one or more processing stations, eg, using any of the RF power levels disclosed herein. You can. The plasma control program may include a code for controlling the duration of each plasma exposure.

いくつかの実施形態において、システムコントローラ650に関連したユーザインターフェースがあってよい。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。 In some embodiments, there may be a user interface associated with the system controller 650. The user interface may include a display screen (a device and / or a graphical software display of processing conditions) and a user input device such as a pointing device, keyboard, touch screen, microphone.

いくつかの実施形態において、システムコントローラ650によって調整されるパラメータは、処理条件に関してよい。非制限的な例として、処理ガスの組成および流量、温度、圧力、プラズマ条件(RF電力レベル、周波数、および、暴露時間など)などが挙げられる。これらのパラメータは、レシピの形態でユーザに提供されてよく、ユーザインターフェースを用いて入力されうる。 In some embodiments, the parameters adjusted by the system controller 650 may be with respect to processing conditions. Non-limiting examples include the composition and flow rate of the processing gas, temperature, pressure, plasma conditions (RF power level, frequency, and exposure time, etc.). These parameters may be provided to the user in the form of a recipe and may be entered using the user interface.

処理を監視するための信号が、様々な処理ツールセンサから、システムコントローラ650のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号は、処理ツール600のアナログおよびデジタル出力接続で出力されてよい。監視されうる処理ツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムが、処理条件を維持するためにこれらのセンサからのデータと共に用いられてよい。 Signals for monitoring processing may be provided by various processing tool sensors via the analog and / or digital input connections of the system controller 650. The signal for controlling the processing may be output by the analog and digital output connections of the processing tool 600. Non-limiting examples of processing tool sensors that can be monitored include mass flow controllers, pressure sensors (such as pressure gauges), thermocouples and the like. Well-programmed feedback and control algorithms may be used with the data from these sensors to maintain processing conditions.

任意の適切なチャンバを用いて、開示した実施形態を実施することができる。蒸着装置の例は、カリフォルニア州フレモントのLam Research社から入手可能なALTUS(登録商標)製品群、VECTOR(登録商標)製品群、および/または、SPEED(登録商標)製品群の装置、もしくは、様々なその他の市販の処理システムのいずれかを含むが、これらに限定されない。ステーションの内の2以上が、同じ機能を実行してもよい。同様に、2以上のステーションが、異なる機能を実行してもよい。各ステーションは、必要に応じて、特定の機能/方法を実行するよう設計/構成されうる。 The disclosed embodiments can be implemented using any suitable chamber. Examples of vapor deposition equipment include ALTUS® products, VECTOR® products, and / or SPEED® products available from Lam Research, Fremont, California, or a variety of other equipment. Includes, but is not limited to, any of the other commercially available processing systems. Two or more of the stations may perform the same function. Similarly, two or more stations may perform different functions. Each station may be designed / configured to perform a particular function / method as needed.

図7は、特定の実施形態に従って、薄膜蒸着処理を実行するのに適した処理システムを示すブロック図である。システム700は、搬送モジュール703を備える。搬送モジュール703は、処理中の基板が様々なリアクタモジュール間で移動される時の汚染のリスクを最小限に抑えるために、清浄な加圧環境を提供する。搬送モジュール703には、2つのマルチステーションリアクタ709および710が取り付けられており、それぞれ、特定の実施形態に従って、原子層蒸着(ALD)および/または化学蒸着(CVD)を実行できる。リアクタ709および710は、開示した実施形態に従った動作を逐次的または非逐次的に実行しうる複数のステーション711、713、715、および、717を備えてよい。ステーションは、加熱ペデスタルまたは基板支持体と、1または複数のガス流入口またはシャワーヘッドまたは拡散プレートとを備えてよい。 FIG. 7 is a block diagram showing a processing system suitable for performing a thin film deposition process according to a particular embodiment. The system 700 includes a transport module 703. The transport module 703 provides a clean pressurized environment to minimize the risk of contamination when the substrate being processed is moved between various reactor modules. Two multi-station reactors 709 and 710 are attached to the transfer module 703, which can perform atomic layer deposition (ALD) and / or chemical vapor deposition (CVD), respectively, according to specific embodiments. Reactors 709 and 710 may include a plurality of stations 711, 713, 715, and 717 capable of performing operations according to the disclosed embodiments sequentially or non-sequentially. The station may include a heated pedestal or substrate support and one or more gas inlets or shower heads or diffusion plates.

また、プラズマ前洗浄または化学的な(非プラズマ)前洗浄、もしくは、開示した方法に関連して記載した任意のその他の処理を実行できる1または複数の単一ステーションモジュールまたはマルチステーションモジュール707が、搬送モジュール703上に取り付けられてよい。モジュール707は、いくつかの例において、例えば、蒸着処理のために基板を準備するための様々な処理に用いられてよい。モジュール707は、様々なその他の処理(エッチングまたは研磨など)を実行するように設計/構成されてもよい。特定の実施例において、二重バリア層の第1のサブ層が、リアクタ709内で蒸着されてよく、二重バリア層の第2のサブ層が、リアクタ710内で蒸着されてよく、モジュール707は、エッチングに用いられてよい。この例において、図1A〜図1Eおよび図2A〜図2Fに関して記載した処理すべてが、処理システム700内で達成されうる。この複数機能/複数ツールシステムは、制御された大気下でPCRAMおよびその他のデバイスを製造するのに特に有用でありうる。 Also, one or more single station modules or multi-station modules 707 capable of performing plasma pre-cleaning or chemical (non-plasma) pre-cleaning, or any other process described in connection with the disclosed method. It may be mounted on the transport module 703. Module 707 may be used in some examples, for example, in various processes for preparing a substrate for a vapor deposition process. Module 707 may be designed / configured to perform various other processes (such as etching or polishing). In certain embodiments, the first sublayer of the double barrier layer may be deposited in the reactor 709 and the second sublayer of the double barrier layer may be deposited in the reactor 710, module 707. May be used for etching. In this example, all the processes described with respect to FIGS. 1A-1E and 2A-2F can be accomplished within the processing system 700. This multi-function / multi-tool system can be particularly useful for manufacturing PCRAMs and other devices in a controlled atmosphere.

システム700は、ウエハが処理前後に収容される1または複数のウエハソースモジュール701も備える。大気搬送チャンバ719内の大気ロボット(図示せず)が、まず、ソースモジュール701からロードロック721にウエハを取り出してよい。搬送モジュール703内のウエハ搬送装置(一般に、ロボットアームユニット)が、ロードロック721から搬送モジュール703上に取り付けられたモジュールに、そして、モジュールの間で、ウエハを移動させる。 The system 700 also includes one or more wafer source modules 701 in which wafers are housed before and after processing. An atmospheric robot (not shown) in the atmospheric transfer chamber 719 may first remove the wafer from the source module 701 to the load lock 721. A wafer transfer device (generally a robot arm unit) within the transfer module 703 moves the wafer from the load lock 721 to and between the modules mounted on the transfer module 703.

様々な実施形態において、システムコントローラ729が、蒸着中の処理条件を制御するために用いられる。コントローラ729は、通例、1または複数のメモリデバイスと、1または複数のプロセッサとを備える。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入力/出力接続、ステッパモータコントローラボードなどを備えてよい。 In various embodiments, a system controller 729 is used to control processing conditions during deposition. The controller 729 typically comprises one or more memory devices and one or more processors. The processor may include a CPU or computer, analog and / or digital input / output connections, stepper motor controller boards, and the like.

コントローラ729は、蒸着装置の動作すべてを制御してよい。システムコントローラ729は、タイミング、ガスの混合、チャンバ圧、チャンバ温度、ウエハ温度、高周波(RF)電力レベル、ウエハチャックまたはペデスタルの位置、ならびに、特定の処理の他のパラメータを制御するための一連の命令を含むシステム制御ソフトウェアを実行する。コントローラ729に関連するメモリデバイスに格納された他のコンピュータプログラムが、いくつかの実施形態において用いられてもよい。 The controller 729 may control all operations of the vapor deposition apparatus. The system controller 729 is a set of controls for timing, gas mixing, chamber pressure, chamber temperature, wafer temperature, high frequency (RF) power level, wafer chuck or pedestal position, and other parameters of a particular process. Run the system control software that contains the instructions. Other computer programs stored in the memory device associated with the controller 729 may be used in some embodiments.

通例は、コントローラ729に関連したユーザインターフェースが存在する。ユーザインターフェースは、表示スクリーン(装置および/または処理条件のグラフィカルソフトウェアディスプレイ)と、ポインティングデバイス、キーボード、タッチスクリーン、マイクなどのユーザ入力デバイスと、を含みうる。 Typically, there is a user interface associated with the controller 729. The user interface may include a display screen (a device and / or a graphical software display of processing conditions) and a user input device such as a pointing device, keyboard, touch screen, microphone.

システム制御ロジックは、任意の適切な方法で構成されてよい。一般に、ロジックは、ハードウェアおよび/またはソフトウェアで設計または構成されうる。駆動回路を制御するための命令は、ハードコードされてもよいし、ソフトウェアとして提供されてもよい。命令は、「プログラミング」によって提供されうる。かかるプログラミングは、デジタル信号プロセッサ、特定用途向け集積回路、および、ハードウェアとして実装された特定のアルゴリズムを有する他のデバイス内にハードコードされたロジックなど、任意の形態のロジックを含むと理解される。また、プログラミングは、汎用プロセッサ上で実行できるソフトウェア命令またはファームウェア命令を含むと理解される。システム制御ソフトウェアは、任意の適切なコンピュータ読み取り可能プログラム言語でコードされてよい。 The system control logic may be configured in any suitable way. In general, logic can be designed or configured in hardware and / or software. Instructions for controlling the drive circuit may be hard-coded or provided as software. Instructions can be provided by "programming". Such programming is understood to include any form of logic, such as digital signal processors, application-specific integrated circuits, and logic hard-coded within other devices that have specific algorithms implemented as hardware. .. Programming is also understood to include software or firmware instructions that can be executed on general purpose processors. The system control software may be coded in any suitable computer-readable program language.

ゲルマニウム含有還元剤パルス、水素流、および、タングステン含有前駆体パルス、ならびに、処理手順内のその他の処理を制御するためのコンピュータプログラムコードは、例えば、アセンブリ言語、C、C++、パスカル、フォートランなど、任意の従来のコンピュータ読み取り可能なプログラミング言語で書かれうる。コンパイルされたオブジェクトコードまたはスクリプトが、プラグラム内に特定されたタスクを実行するために、プロセッサによって実行される。また、上述のように、プログラムコードはハードコードされてもよい。 Computer programming code for controlling germanium-containing reducing agent pulses, hydrogen streams, and tungsten-containing precursor pulses, as well as other processes within the procedure, can be described, for example, in assembly language, C, C ++, Pascal, Fortran, etc. It can be written in any traditional computer-readable programming language. The compiled object code or script is executed by the processor to perform the tasks identified in the program. Further, as described above, the program code may be hard-coded.

コントローラパラメータは、例えば、処理ガスの組成および流量、温度、圧力、冷却ガス圧、基板温度、ならびに、チャンバ壁温度などの処理条件に関する。これらのパラメータは、レシピの形態でユーザに提供され、ユーザインターフェースを用いて入力されうる。処理を監視するための信号が、システムコントローラ729のアナログおよび/またはデジタル入力接続によって提供されてよい。処理を制御するための信号が、システム700のアナログおよびデジタル出力接続で出力される。

Controller parameters relate, for example, to processing conditions such as composition and flow rate of processing gas, temperature, pressure, cooling gas pressure, substrate temperature, and chamber wall temperature. These parameters are provided to the user in the form of a recipe and can be entered using the user interface. Signals for monitoring processing may be provided by the analog and / or digital input connections of the system controller 729. Signals for controlling processing are output on the analog and digital output connections of the system 700.

システムソフトウェアは、多くの異なる方法で設計または構成されうる。例えば、開示した実施形態に従って蒸着処理(および、いくつかの場合でのその他の処理)を実行するのに必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトが書かれてよい。このためのプログラムまたはプログラムセクションの例は、基板配置コード、処理ガス制御コード、圧力制御コード、および、ヒータ制御コードを含む。 System software can be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be used to control the operation of the chamber components required to perform the vapor deposition process (and other processes in some cases) according to the disclosed embodiments. May be written. Examples of programs or program sections for this include board placement code, processing gas control code, pressure control code, and heater control code.

いくつかの実施例において、コントローラ729は、システムの一部であり、システムは、上述の例の一部であってよい。かかるシステムは、1または複数の処理ツール、1または複数のチャンバ、処理のための1または複数のプラットフォーム、および/または、特定の処理構成要素(ウエハペデスタル、ガスフローシステムなど)など、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および、処理後に、システムの動作を制御するための電子機器と一体化されてよい。電子機器は、「コントローラ」と呼ばれてもよく、システムの様々な構成要素または副部品を制御しうる。コントローラ729は、処理要件および/またはシステムのタイプに応じて、処理ガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、いくつかのシステムにおける高周波(RF)発生器設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置および動作設定、ならびに、ツールおよび他の移動ツールおよび/または特定のシステムと接続または結合されたロードロックの内外へのウエハ移動など、本明細書に開示の処理のいずれを制御するようプログラムされてもよい。 In some embodiments, the controller 729 is part of the system and the system may be part of the above example. Such systems include semiconductor processing equipment such as one or more processing tools, one or more chambers, one or more platforms for processing, and / or specific processing components (wafer pedestals, gas flow systems, etc.). Can be equipped. These systems may be integrated with electronic devices to control the operation of the system before, during, and after processing the semiconductor wafer or substrate. Electronic devices, also referred to as "controllers," can control various components or sub-components of a system. The controller 729 may supply processing gas, temperature settings (eg, heating and / or cooling), pressure settings, vacuum settings, power settings, and radio frequencies (RF) in some systems, depending on the processing requirements and / or type of system. ) Generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid supply settings, position and motion settings, and inside and outside load locks connected or coupled to tools and other mobile tools and / or specific systems. It may be programmed to control any of the processes disclosed herein, such as moving the wafer.

概して、コントローラは、命令を受信する、命令を発行する、動作を制御する、洗浄動作を可能にする、エンドポイント測定を可能にすることなどを行う様々な集積回路、ロジック、メモリ、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を格納するファームウェアの形態のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1または複数のマイクロプロセッサまたはマイクロコントローラを含みうる。プログラム命令は、様々な個々の設定(またはプログラムファイル)の形態でコントローラに伝えられて、半導体ウエハに対するまたは半導体ウエハのための特定の処理を実行するための動作パラメータ、もしくは、システムへの動作パラメータを定義する命令であってよい。動作パラメータは、いくつかの実施形態において、ウエハの1または複数の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ダイの加工中に1または複数の処理工程を達成するために処理エンジニアによって定義されるレシピの一部であってよい。 In general, the controller receives instructions, issues instructions, controls operations, enables cleaning operations, enables endpoint measurements, and so on, with various integrated circuits, logic, memory, and / or , May be defined as an electronic device with software. An integrated circuit executes a chip in the form of a firmware for storing program instructions, a digital signal processor (DSP), a chip defined as an application specific integrated circuit (ASIC), and / or a program instruction (eg, software). It may include one or more microprocessors or microcontrollers. Program instructions are transmitted to the controller in the form of various individual settings (or program files) and are operating parameters to or to the system to perform specific processing on or for the semiconductor wafer. It may be an instruction that defines. The operating parameters are, in some embodiments, one or more processing steps during the processing of one or more layers of the wafer, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and / or dies. May be part of a recipe defined by a processing engineer to achieve.

コントローラは、いくつかの実施例において、システムと一体化されるか、システムに接続されるか、その他の方法でシステムとネットワーク化されるか、もしくは、それらの組み合わせでシステムに結合されたコンピュータの一部であってもよいし、かかるコンピュータに接続されてもよい。例えば、コントローラは、「クラウド」内にあってもよいし、ウエハ処理のリモートアクセスを可能にできるファブホストコンピュータシステムの全部または一部であってもよい。コンピュータは、現在の処理のパラメータを変更する、現在の処理に従って処理工程を設定する、または、新たな処理を開始するために、システムへのリモートアクセスを可能にして製造動作の現在の進捗を監視する、過去の製造動作の履歴を調べる、複数の製造動作からの傾向または性能指標を調べうる。いくつかの例では、リモートコンピュータ(例えば、サーバ)が、ネットワーク(ローカルネットワークまたはインターネットを含みうる)を介してシステムに処理レシピを提供してよい。リモートコンピュータは、パラメータおよび/または設定の入力またはプログラミングを可能にするユーザインターフェースを備えてよく、パラメータおよび/または設定は、リモートコンピュータからシステムに通信される。いくつかの例において、コントローラは、データの形式で命令を受信し、命令は、1または複数の動作中に実行される処理工程の各々のためのパラメータを指定する。パラメータは、実行される処理のタイプならびにコントローラがインターフェース接続するまたは制御するよう構成されたツールのタイプに固有であってよいことを理解されたい。したがって、上述のように、コントローラは、ネットワーク化されて共通の目的(本明細書に記載の処理および制御など)に向けて動作する1または複数の別個のコントローラを備えることなどによって分散されてよい。かかる目的のための分散コントローラの一例は、チャンバでの処理を制御するために協働するリモートに配置された(プラットフォームレベルにある、または、リモートコンピュータの一部として配置されるなど)1または複数の集積回路と通信するチャンバ上の1または複数の集積回路である。 In some embodiments, the controller is a computer that is integrated with the system, is connected to the system, is otherwise networked with the system, or is coupled to the system in a combination thereof. It may be part or connected to such a computer. For example, the controller may be in the "cloud" or may be all or part of a fab host computer system that allows remote access to wafer processing. The computer monitors the current progress of the manufacturing operation by allowing remote access to the system to change the parameters of the current process, set the process according to the current process, or start a new process. You can look up the history of past manufacturing operations, look at trends or performance indicators from multiple manufacturing operations. In some examples, a remote computer (eg, a server) may provide processing recipes to the system over a network (which may include a local network or the Internet). The remote computer may have a user interface that allows input or programming of parameters and / or settings, and the parameters and / or settings are communicated from the remote computer to the system. In some examples, the controller receives instructions in the form of data, and the instructions specify parameters for each of the processing steps performed during one or more operations. It should be understood that the parameters may be specific to the type of processing performed and the type of tool the controller is configured to interface with or control. Thus, as described above, the controllers may be distributed, such as by including one or more separate controllers that are networked and operate for a common purpose (such as the processing and control described herein). .. An example of a distributed controller for this purpose is one or more remotely located (such as at the platform level or as part of a remote computer) working together to control processing in the chamber. One or more integrated circuits on the chamber that communicate with the integrated circuits of.

限定はしないが、システムの例は、プラズマエッチングチャンバまたはモジュール、蒸着チャンバまたはモジュール、スピンリンスチャンバまたはモジュール、金属メッキチャンバまたはモジュール、洗浄チャンバまたはモジュール、ベベルエッジエッチングチャンバまたはモジュール、物理蒸着(PVD)チャンバまたはモジュール、化学蒸着(CVD)チャンバまたはモジュール、原子層蒸着(ALD)チャンバまたはモジュール、原子層エッチング(ALE)チャンバまたはモジュール、イオン注入チャンバまたはモジュール、トラックチャンバまたはモジュール、ならびに、半導体ウエハの加工および/または製造に関連するかまたは利用されうる任意のその他の半導体処理システムを含みうる。 Examples of systems, but not limited to, are plasma etching chambers or modules, vapor deposition chambers or modules, spin rinse chambers or modules, metal plating chambers or modules, cleaning chambers or modules, bevel edge etching chambers or modules, physical vapor deposition (PVD). Machining chambers or modules, chemical vapor deposition (CVD) chambers or modules, atomic layer deposition (ALD) chambers or modules, atomic layer etching (ALE) chambers or modules, ion injection chambers or modules, track chambers or modules, and semiconductor wafers. And / or any other semiconductor processing system that may be related to or utilized in manufacturing.

上述のように、ツールによって実行される1または複数の処理工程に応じて、コントローラは、他のツール回路またはモジュール、他のツール構成要素、クラスタツール、他のツールインターフェース、隣接するツール、近くのツール、工場の至る所に配置されるツール、メインコンピュータ、別のコントローラ、もしくは、半導体製造工場内のツール位置および/またはロードポートに向かってまたはそこからウエハのコンテナを運ぶ材料輸送に用いられるツール、の内の1または複数と通信してもよい。 As mentioned above, depending on one or more processing steps performed by the tool, the controller may have other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, nearby. Tools, tools located throughout the factory, main computer, another controller, or tools used to transport materials that carry containers of wafers to or from the tool location and / or load port within a semiconductor manufacturing plant. You may communicate with one or more of.

上述の様々なハードウェアおよび方法の実施形態は、例えば、半導体デバイス、ディスプレイ、LED、光起電力パネルなどの加工または製造のために、リソグラフィパターニングツールまたは処理と共に用いられてもよい。通例、必ずしもそうとは限らないが、かかるツール/処理は、共通の製造施設で一緒に利用または実行されている。 The various hardware and method embodiments described above may be used in conjunction with a lithographic patterning tool or process for, for example, processing or manufacturing semiconductor devices, displays, LEDs, photovoltaic panels, and the like. Usually, but not always, such tools / processes are used or performed together in a common manufacturing facility.

薄膜のリソグラフィパターニングは、通例、以下の工程の一部または全部を含み、各工程は、複数の可能なツールで実現される:
(1)スピンオンまたはスプレーオンツールを用いて、ワークピース(窒化シリコン薄膜を上に形成された基板など)上にフォトレジストを塗布する工程;
(2)ホットプレートまたは炉またはその他の適切な硬化ツールを用いて、フォトレジストを硬化させる工程;
(3)ウエハステッパなどのツールで可視光またはUVまたはx線にフォトレジストを暴露させる工程;
(4)ウェットベンチまたはスプレー現像装置などのツールを用いて、選択的にレジストを除去することによってパターニングするためにレジストを現像する工程;
(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いて、下層の膜またはワークピースにレジストパターンを転写する工程;ならびに、
(6)RFプラズマまたはマイクロ波プラズマレジストストリッパなどのツールを用いて、レジストを除去する工程。
いくつかの実施形態において、アッシング可能なハードマスク層(アモルファス炭素層など)および別の適切なハードマスク(反射防止層など)が、フォトレジストの塗布前に蒸着されてよい。
Thin film lithography patterning typically involves some or all of the following steps, each step being achieved with multiple possible tools:
(1) A step of applying a photoresist on a workpiece (such as a substrate on which a silicon nitride thin film is formed) using a spin-on or spray-on tool;
(2) The step of curing the photoresist using a hot plate or a furnace or other suitable curing tool;
(3) A step of exposing the photoresist to visible light, UV or x-rays with a tool such as a wafer stepper;
(4) A step of developing a resist for patterning by selectively removing the resist using a tool such as a wet bench or a spray developer;
(5) A step of transferring a resist pattern to an underlying film or workpiece using a dry etching tool or a plasma assisted etching tool;
(6) A step of removing a resist using a tool such as an RF plasma or a microwave plasma resist stripper.
In some embodiments, an ashable hardmask layer (such as an amorphous carbon layer) and another suitable hardmask (such as an antireflection layer) may be deposited prior to the application of the photoresist.

本明細書に記載の構成および/またはアプローチは、本質的に例示であり、多くの変形が可能であるので、これらの具体的な実施形態または例は、限定であると見なされないことを理解されたい。本明細書に記載の具体的なルーチンまたは方法は、任意の数の処理戦略の内の1または複数を表しうる。したがって、例示された様々な動作は、例示された順番で、他の順番で、または、並行して実行されてよく、一部の例では省略されてもよい。同様に、上述の処理の順番は変更されてもよい。 It is understood that these specific embodiments or examples are not considered to be limiting, as the configurations and / or approaches described herein are exemplary in nature and many modifications are possible. I want to be. The specific routines or methods described herein may represent one or more of any number of processing strategies. Therefore, the various illustrated actions may be performed in the order exemplified, in the other order, or in parallel, and may be omitted in some examples. Similarly, the order of the above-mentioned processes may be changed.

本開示の主題は、様々な処理、システム、および、構成のすべての新規かつ非自明な組み合わせおよび部分的組み合わせ、ならびに、本明細書に開示された他の特徴、機能、動作、および/または、特性と、それらのすべての等価物とを含む。 The subject matter of this disclosure is all new and non-trivial and partial combinations of various processes, systems, and configurations, as well as other features, functions, behaviors, and / or those disclosed herein. Includes properties and all their equivalents.

実験
実験結果によると、ハロゲン化物感受性材料を損傷から保護すると共に、酸化から保護するために、記載した二重バリア層アプローチを利用できることが示された。実験結果は、開示した方法がPCRAMデバイスを形成する文脈で特に有用であることを示唆しているが、実施形態はこれに限定されない。
Experimental Experimental results have shown that the described dual barrier layer approach can be used to protect halide-sensitive materials from damage as well as from oxidation. Experimental results suggest that the disclosed methods are particularly useful in the context of forming PCRAM devices, but embodiments are not limited to this.

二重バリア層の第1のサブ層に関して、いくつかのタイプの膜を調べた。様々な高炭素含有膜が、HClからの損傷に対する高品質の保護を提供することが示された。例えば、(例えば、図3Aで、アッシャブルハードマスク材料の形成に関連して上述したように)PECVD法を用いて、共形炭素層を蒸着した。蒸着膜は、実質的に共形で、2.5%未満の1シグマ厚さ不均一性であった。1シグマ厚さ不均一性は、分光偏光解析法を用いて計算される。厚さ不均一性は、基板上の49(または50以上)の点を調べた膜厚のマップパターンに基づいて計算される。基板の外から3mmまでは、考慮から除外されている。49(または50以上)の点の中で、平均厚さおよび標準偏差が計算される。1シグマ厚さ不均一性は、100×(厚さの標準偏差)/(平均厚さ)として計算される。様々な例において、第1のサブ層の厚さ不均一性は、約2%以下でありうる。蒸着膜の屈折率は、633nmで約1.61であった。形成された膜は、非導電性であり、低い漏れ性および高い破壊電圧を有する。漏れ電流および破壊電圧の例を、図8に示す。4つのサンプル(1〜4)が示されている。各サンプルは、PECVDを用いて約250℃で形成された。様々な実施形態において、第1のサブ層は、少なくとも約4MV/cmの大きさを持つ破壊電圧(例えば、漏れ電流が0.001A/cmに達する界磁電圧)を有しうる。 Several types of membranes were examined for the first sublayer of the double barrier layer. Various high carbon-containing membranes have been shown to provide high quality protection against damage from HCl. For example, the conformal carbon layer was deposited using the PECVD method (eg, as described above in connection with the formation of the ashable hardmask material in FIG. 3A). The deposited film was substantially conformal and had a 1 sigma thickness non-uniformity of less than 2.5%. 1 Sigma thickness non-uniformity is calculated using spectroscopic ellipsometry. Thickness non-uniformity is calculated based on a map pattern of film thickness that examines 49 (or 50 or more) points on the substrate. Up to 3 mm from the outside of the substrate is excluded from consideration. Within 49 (or 50 or more) points, the average thickness and standard deviation are calculated. One sigma thickness non-uniformity is calculated as 100 × (standard deviation of thickness) / (average thickness). In various examples, the thickness non-uniformity of the first sublayer can be about 2% or less. The refractive index of the vapor-deposited film was about 1.61 at 633 nm. The formed film is non-conductive and has low leakage and high breakdown voltage. An example of leakage current and breakdown voltage is shown in FIG. Four samples (1-4) are shown. Each sample was formed at about 250 ° C. using PECVD. In various embodiments, the first sublayer may have a breakdown voltage having a magnitude of at least about 4 MV / cm (eg, a field voltage with a leakage current of 0.001 A / cm 2).

図9は、複数の異なるタイプの膜に対して実行されたHClバブルテストに関する実験結果である。このテストは、HClへの暴露に対する耐性に関して、異なる材料を評価するために行った。換言すると、このテストは、材料(例えば、第1のサブ層の材料)が、HClへの暴露による損傷から下層の材料をどの程度保護すると期待されるのかを評価する。HClバブルテストでは、ベアシリコンウエハキャリア上に準備されたアルミニウムクーポン上に様々な膜を蒸着した。蒸着後、各膜は、(体積で)5%のHClおよび95%にHOを含む溶液中に浸漬された。膜は、バブル形成の兆候について監視された。HClと下層のアルミニウム材料との間の反応の結果として、泡が形成される。各膜について、以下の3つの異なる時間が記録された:
(1)膜の表面に泡が最初に現れた時間、
(2)泡が膜から不連続的に上がり始めた時間、および、
(3)安定した泡の流れが膜から上がり始めた時間。
この3つの時間を破損条件として定義した。時間枠が長いほど、HClに対して耐性があることを示唆する。
FIG. 9 shows the results of experiments on HCl bubble tests performed on multiple different types of membranes. This test was performed to evaluate different materials with respect to resistance to exposure to HCl. In other words, this test assesses how much the material (eg, the material of the first sublayer) is expected to protect the underlying material from damage from exposure to HCl. In the HCl bubble test, various films were deposited on an aluminum coupon prepared on a bare silicon wafer carrier. After the deposition, the films were immersed in a solution containing of H 2 O to 5% HCl and 95% (by volume). Membranes were monitored for signs of bubble formation. Foam is formed as a result of the reaction between HCl and the underlying aluminum material. For each membrane, the following three different times were recorded:
(1) The time when bubbles first appeared on the surface of the film,
(2) The time when bubbles started to rise discontinuously from the membrane, and
(3) The time when the stable flow of bubbles started to rise from the membrane.
These three times were defined as the damage conditions. The longer the time frame, the more resistant it is to HCl.

図9に関して試験した膜は、以下を含む。
(1)単一周波数RF PECVD処理を用いて蒸着されたアッシャブルハードマスク炭素材料(「SF AHM」と呼ぶ)、
(2)二重周波数RF PECVD処理を用いて蒸着されたアッシャブルハードマスク炭素材料(「DF AHM」と呼ぶ)、
(3)単一周波数RF PECVD処理を用いて蒸着された非ドープのケイ酸塩ガラス(「SF USG」と呼ぶ)、
(4)二重周波数RF PECVD処理を用いて蒸着された非ドープのケイ酸塩ガラス(「DF USG」と呼ぶ)、
(5)二重周波数RF PECVD処理を用いて蒸着された窒化シリコン材料(「DF SiN」と呼ぶ)、
(6)PECVD処理を用いて蒸着された炭窒化シリコン材料(「NDC」と呼ぶ)、および、
(7)ALD処理で蒸着された窒化シリコン材料(「ALD SiN」と呼ぶ)。
The membrane tested with respect to FIG. 9 includes:
(1) Ashable hardmask carbon material (referred to as "SF AHM") deposited using a single frequency RF PECVD process,
(2) Ashable hardmask carbon material (referred to as "DF AHM") deposited using dual frequency RF PECVD processing,
(3) Non-doped silicate glass (referred to as "SF USG") deposited using a single frequency RF PECVD treatment,
(4) Non-doped silicate glass (referred to as "DF USG") deposited using dual frequency RF PECVD treatment,
(5) Silicon nitride material (referred to as "DF SiN") deposited using dual frequency RF PECVD processing,
(6) A silicon nitride silicon material (referred to as "NDC") vapor-deposited using PECVD treatment, and
(7) Silicon nitride material deposited by ALD treatment (referred to as "ALD SiN").

図9に示すように、単一周波数および二重周波数のアッシャブルハードマスク材料が、HClに対して最も良好な耐性を示した。したがって、これらの高炭素含有材料は、HClへの暴露による損傷に対する高品質バリアを提供する。単一周波数のアッシャブルハードマスク材料は特に成績がよく、破損は約240分後に生じた。作用の理論にもメカニズムにも縛られることは望まないが、単一周波数PECVD AHM膜は、単一周波数の膜が、二重周波数の膜に比べて、低い密度、高い水素含有量、および、少ないSP3結合を有するために、二重周波数PECVD AHM膜よりもHClへの耐性が高いと考えられる。
本発明は、以下の適用例としても実現可能である。
<適用例1>
製造途中の半導体デバイス上に二重バリア層を蒸着させる方法であって、
(a)第1のハロゲン化物感受性材料層を含む基板を準備する工程であって、前記第1のハロゲン化物感受性材料層は、工程(a)で準備される時に少なくとも部分的に露出される、準備工程と、
(b)前記二重バリア層を蒸着させる蒸着工程であって、
(i)前記二重バリア層の第1のサブ層を前記基板上に蒸着させる工程であって、前記第1のサブ層は、少なくとも約40重量%の炭素を含み、前記第1のハロゲン化物感受性材料層の露出部分上に蒸着される、工程と、
(ii)前記二重バリア層の第2のサブ層を前記二重バリア層の前記第1のサブ層上に蒸着させる工程であって、前記二重バリア層の前記第2のサブ層は、窒化シリコンを含み、ハロゲン化物含有化学物質を用いて蒸着され、前記二重バリア層の前記第2のサブ層の蒸着中に、前記二重バリア層の前記第1のサブ層が、前記第1のハロゲン化物感受性材料層を前記ハロゲン化物含有化学物質から保護する、工程と、
によって実行される蒸着工程と、
を備える、方法。
<適用例2>
適用例1に記載の方法であって、前記第1のハロゲン化物感受性材料層は、カルコゲニド材料を含む、方法。
<適用例3>
適用例1または2に記載の方法であって、前記二重バリア層の前記第1のサブ層は、化学蒸着処理で蒸着された非晶質炭素を含む、方法。
<適用例4>
適用例1または2に記載の方法であって、前記二重バリア層の前記第1のサブ層は、熱分解および重合を含む処理で蒸着されたパリレン材料を含む、方法。
<適用例5>
適用例4に記載の方法であって、前記パリレン材料は、パリレンAF−4を含む、方法。
<適用例6>
適用例1〜5のいずれかに記載の方法であって、工程(c)は、原子層蒸着処理で前記二重バリア層の前記第2のサブ層を蒸着させる工程を含む、方法。
<適用例7>
適用例1〜5のいずれかに記載の方法であって、工程(c)は、化学蒸着処理で前記二重バリア層の前記第2のサブ層を蒸着させる工程を含む、方法。
<適用例8>
適用例1〜7のいずれかに記載の方法であって、前記基板は、前記第1のハロゲン化物感受性材料層の下方に配置された第2のハロゲン化物感受性材料層を含み、前記方法は、さらに、
(d)工程(c)の後に、前記第2のハロゲン化物感受性材料層の一部を露出させるが前記第1のハロゲン化物感受性材料層を露出させずに、前記第1のハロゲン化物感受性材料層が前記二重バリア層によって少なくとも部分的に被覆されたままになるように、前記基板をエッチングする工程と、
(e)第2の二重バリア層を前記基板上に蒸着させる蒸着工程であって、
(i)前記第2の二重バリア層の第1のサブ層を前記基板上に蒸着させる工程であって、前記第2の二重バリア層の前記第1のサブ層は、少なくとも約40重量%の炭素を含み、前記第2のハロゲン化物感受性材料層の露出部分上に蒸着される、工程と、
(ii)前記第2の二重バリア層の第2のサブ層を前記第2の二重バリア層の前記第1のサブ層上に蒸着させる工程であって、前記第2の二重バリア層の前記第2のサブ層は、窒化シリコンを含み、原子層蒸着処理でハロゲン化物含有化学物質を用いて蒸着され、前記第2の二重バリア層の前記第2のサブ層の蒸着中に、前記第2の二重バリア層の前記第1のサブ層が、前記第2のハロゲン化物感受性材料層を前記ハロゲン化物含有化学物質から保護する、工程と、
によって実行される蒸着工程と、
を備える、方法。
<適用例9>
適用例1〜8のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、約15〜100Åの厚さまで蒸着され、前記二重バリア層の前記第2のサブ層は、少なくとも約20Åの厚さまで蒸着される、方法。
<適用例10>
適用例1〜9のいずれかに記載の方法であって、前記ハロゲン化物含有化学物質は、クロロシランを含む、方法。
<適用例11>
適用例10の方法であって、前記クロロシランは、ジクロロシランである、方法。
<適用例12>
適用例1〜11のいずれかに記載の方法であって、前記方法は、相変化メモリデバイスを形成する際に実行される、方法。
<適用例13>
適用例1〜3または6〜12のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、単一のRF周波数を用いて生成されたプラズマに前記基板を暴露させる工程を含むプラズマ化学蒸着処理で形成される、方法。
<適用例14>
適用例13に記載の方法であって、前記プラズマを生成するために用いられる前記RF周波数は、高周波(HF)RF周波数である、方法。
<適用例15>
適用例1〜14のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、反応チャンバ内で蒸着され、前記二重バリア層の前記第2のサブ層は、前記同じ反応チャンバ内で蒸着される、方法。
<適用例16>
適用例1〜14のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、第1の反応チャンバ内で蒸着され、前記二重バリア層の前記第2のサブ層は、第2の反応チャンバ内で蒸着され、前記第1および第2の反応チャンバは共に、マルチチャンバツール上に設けられている、方法。
<適用例17>
適用例16に記載の方法であって、さらに、前記基板を前記第1の反応チャンバから前記第2の反応チャンバまで真空条件下で移動させる工程を備える、方法。
<適用例18>
適用例1〜17のいずれかに記載の方法であって、前記二重バリア層の前記第1および第2のサブ層は、前記第1および第2のサブ層の各々について、前記サブ層の最も薄い部分が前記サブ層の最も厚い部分の少なくとも約60%になるように、共形蒸着される、方法。
<適用例19>
適用例2に記載の方法であって、前記カルコゲニド材料は、炭素層の間に挟まれている、方法。
As shown in FIG. 9, single-frequency and dual-frequency washable hardmask materials showed the best resistance to HCl. Therefore, these high carbon-containing materials provide a high quality barrier against damage from exposure to HCl. The single frequency ashable hardmask material performed particularly well, with breakage occurring after about 240 minutes. Although not bound by the theory or mechanism of action, single-frequency PECVD AHM membranes have lower densities, higher hydrogen content, and higher hydrogen content than double-frequency membranes. Due to its low SP3 binding, it is believed to be more resistant to HCl than dual frequency PECVD AHM membranes.
The present invention can also be realized as the following application examples.
<Application example 1>
A method of depositing a double barrier layer on a semiconductor device in the middle of manufacturing.
(A) A step of preparing a substrate containing a first halide-sensitive material layer, wherein the first halide-sensitive material layer is at least partially exposed when prepared in step (a). Preparation process and
(B) A thin-film deposition step for depositing the double barrier layer.
(I) A step of depositing a first sublayer of the double barrier layer on the substrate, wherein the first sublayer contains at least about 40% by weight of carbon and is the first halide. The process, which is deposited on the exposed part of the sensitive material layer,
(Ii) A step of depositing a second sublayer of the double barrier layer on the first sublayer of the double barrier layer, wherein the second sublayer of the double barrier layer is formed. It contains silicon nitride and is vapor-deposited with a halide-containing chemical, and during the deposition of the second sub-layer of the double-barrier layer, the first sub-layer of the double-barrier layer is subjected to the first sub-layer. To protect the halide-sensitive material layer from the halide-containing chemicals,
The vapor deposition process performed by
A method.
<Application example 2>
The method according to Application Example 1, wherein the first halide-sensitive material layer comprises a chalcogenide material.
<Application example 3>
The method according to Application Example 1 or 2, wherein the first sublayer of the double barrier layer contains amorphous carbon deposited by chemical vapor deposition.
<Application example 4>
The method according to Application Example 1 or 2, wherein the first sublayer of the double barrier layer comprises a parylene material deposited by a process comprising thermal decomposition and polymerization.
<Application example 5>
The method according to Application Example 4, wherein the parylene material comprises parylene AF-4.
<Application example 6>
The method according to any one of Application Examples 1 to 5, wherein the step (c) includes a step of vapor-depositing the second sub-layer of the double barrier layer by an atomic layer deposition treatment.
<Application example 7>
The method according to any one of Application Examples 1 to 5, wherein the step (c) includes a step of vapor-depositing the second sub-layer of the double barrier layer by a chemical vapor deposition treatment.
<Application example 8>
The method according to any one of Application Examples 1 to 7, wherein the substrate includes a second halide-sensitive material layer arranged below the first halide-sensitive material layer. further,
(D) After the step (c), the first halide-sensitive material layer is exposed without exposing the first halide-sensitive material layer, although a part of the second halide-sensitive material layer is exposed. And the step of etching the substrate so that is at least partially covered by the double barrier layer.
(E) A vapor deposition step of depositing a second double barrier layer on the substrate.
(I) In the step of depositing the first sublayer of the second double barrier layer on the substrate, the first sublayer of the second double barrier layer is at least about 40 weight by weight. The steps, which contain% carbon and are deposited on the exposed portion of the second halide sensitive material layer,
(Ii) A step of depositing a second sublayer of the second double barrier layer on the first sublayer of the second double barrier layer, wherein the second double barrier layer is deposited. The second sub-layer contains silicon nitride and is vapor-deposited using a halide-containing chemical substance in the atomic layer deposition treatment, and during the deposition of the second sub-layer of the second double barrier layer, A step in which the first sublayer of the second double barrier layer protects the second halide-sensitive material layer from the halide-containing chemicals.
The vapor deposition process performed by
A method.
<Application example 9>
The method according to any one of Application Examples 1 to 8, wherein the first sublayer of the double barrier layer is deposited to a thickness of about 15-100 Å and the second sublayer of the double barrier layer. The sublayer is deposited to a thickness of at least about 20 Å, the method.
<Application example 10>
The method according to any one of Application Examples 1 to 9, wherein the halide-containing chemical substance contains chlorosilane.
<Application example 11>
The method of Application Example 10, wherein the chlorosilane is dichlorosilane.
<Application example 12>
The method according to any one of Application Examples 1 to 11, wherein the method is performed when forming a phase change memory device.
<Application example 13>
The method according to any one of Application Examples 1 to 3 or 6 to 12, wherein the first sublayer of the double barrier layer is the substrate in plasma generated using a single RF frequency. A method formed by plasma chemical vapor deposition, which involves the step of exposing.
<Application example 14>
The method according to Application Example 13, wherein the RF frequency used to generate the plasma is a radio frequency (HF) RF frequency.
<Application example 15>
In the method according to any one of Application Examples 1 to 14, the first sublayer of the double barrier layer is vapor-deposited in a reaction chamber, and the second sublayer of the double barrier layer is formed. , The method of being deposited in the same reaction chamber.
<Application example 16>
The method according to any one of Application Examples 1 to 14, wherein the first sublayer of the double barrier layer is deposited in a first reaction chamber and the second sublayer of the double barrier layer is deposited. The method, wherein the sub-layer is deposited in a second reaction chamber, both the first and second reaction chambers being provided on a multi-chamber tool.
<Application example 17>
The method according to Application Example 16, further comprising the step of moving the substrate from the first reaction chamber to the second reaction chamber under vacuum conditions.
<Application example 18>
The method according to any one of Application Examples 1 to 17, wherein the first and second sublayers of the double barrier layer are of the sublayer for each of the first and second sublayers. A method of conformal deposition such that the thinnest portion is at least about 60% of the thickest portion of the sublayer.
<Application example 19>
The method according to Application Example 2, wherein the chalcogenide material is sandwiched between carbon layers.

Claims (19)

製造途中の半導体デバイス上に二重バリア層を蒸着させる方法であって、
(a)第1のハロゲン化物感受性材料層を含む基板を準備する工程であって、前記第1のハロゲン化物感受性材料層は、工程(a)で準備される時に少なくとも部分的に露出される、準備工程と、
(b)前記二重バリア層を蒸着させる蒸着工程であって、
(i)前記二重バリア層の第1のサブ層を前記基板上に蒸着させる工程であって、前記第1のサブ層は、少なくとも40重量%の炭素を含み、前記第1のハロゲン化物感受性材料層の露出部分上に蒸着される、工程と、
(ii)前記二重バリア層の第2のサブ層を前記二重バリア層の前記第1のサブ層上に蒸着させる工程であって、前記二重バリア層の前記第2のサブ層は、窒化シリコンを含み、ハロゲン化物含有化学物質を用いて蒸着され、前記二重バリア層の前記第2のサブ層の蒸着中に、前記二重バリア層の前記第1のサブ層が、前記第1のハロゲン化物感受性材料層を前記ハロゲン化物含有化学物質から保護する、工程と、
によって実行される蒸着工程と、
を備える、方法。
A method of depositing a double barrier layer on a semiconductor device in the middle of manufacturing.
(A) A step of preparing a substrate containing a first halide-sensitive material layer, wherein the first halide-sensitive material layer is at least partially exposed when prepared in step (a). Preparation process and
(B) A thin-film deposition step for depositing the double barrier layer.
(I) A step of depositing a first sublayer of the double barrier layer on the substrate, wherein the first sublayer contains at least 40 % by weight of carbon and is susceptible to the first halide. The process, which is deposited on the exposed part of the material layer,
(Ii) A step of depositing a second sublayer of the double barrier layer on the first sublayer of the double barrier layer, wherein the second sublayer of the double barrier layer is formed. It contains silicon nitride and is vapor-deposited with a halide-containing chemical, and during the deposition of the second sub-layer of the double-barrier layer, the first sub-layer of the double-barrier layer is subjected to the first sub-layer. To protect the halide-sensitive material layer from the halide-containing chemicals,
The vapor deposition process performed by
A method.
請求項1に記載の方法であって、前記第1のハロゲン化物感受性材料層は、カルコゲニド材料を含む、方法。 The method according to claim 1, wherein the first halide-sensitive material layer contains a chalcogenide material. 請求項1または2に記載の方法であって、前記二重バリア層の前記第1のサブ層は、化学蒸着処理で蒸着された非晶質炭素を含む、方法。 The method according to claim 1 or 2, wherein the first sublayer of the double barrier layer contains amorphous carbon vapor-deposited by chemical vapor deposition. 請求項1または2に記載の方法であって、前記二重バリア層の前記第1のサブ層は、熱分解および重合を含む処理で蒸着されたパリレン材料を含む、方法。 The method according to claim 1 or 2, wherein the first sublayer of the double barrier layer comprises a parylene material deposited by a process including thermal decomposition and polymerization. 請求項4に記載の方法であって、前記パリレン材料は、パリレンAF−4を含む、方法。 The method according to claim 4, wherein the parylene material comprises parylene AF-4. 請求項1〜5のいずれかに記載の方法であって、工程(b)(ii)は、原子層蒸着処理で前記二重バリア層の前記第2のサブ層を蒸着させる工程を含む、方法。 The method according to any one of claims 1 to 5, wherein the steps (b) and (ii) include a step of vapor-depositing the second sub-layer of the double barrier layer by an atomic layer deposition treatment. .. 請求項1〜5のいずれかに記載の方法であって、工程(b)(ii)は、化学蒸着処理で前記二重バリア層の前記第2のサブ層を蒸着させる工程を含む、方法。 The method according to any one of claims 1 to 5, wherein the steps (b) and (ii) include a step of vapor-depositing the second sub-layer of the double barrier layer by chemical vapor deposition. 請求項1〜7のいずれかに記載の方法であって、前記基板は、前記第1のハロゲン化物感受性材料層の下方に配置された第2のハロゲン化物感受性材料層を含み、前記方法は、さらに、
(c)工程(b)(ii)の後に、前記第2のハロゲン化物感受性材料層の一部を露出させるが前記第1のハロゲン化物感受性材料層を露出させずに、前記第1のハロゲン化物感受性材料層が前記二重バリア層によって少なくとも部分的に被覆されたままになるように、前記基板をエッチングする工程と、
(d)第2の二重バリア層を前記基板上に蒸着させる蒸着工程であって、
(i)前記第2の二重バリア層の第1のサブ層を前記基板上に蒸着させる工程であって、前記第2の二重バリア層の前記第1のサブ層は、少なくとも40重量%の炭素を含み、前記第2のハロゲン化物感受性材料層の露出部分上に蒸着される、工程と、
(ii)前記第2の二重バリア層の第2のサブ層を前記第2の二重バリア層の前記第1のサブ層上に蒸着させる工程であって、前記第2の二重バリア層の前記第2のサブ層は、窒化シリコンを含み、原子層蒸着処理でハロゲン化物含有化学物質を用いて蒸着され、前記第2の二重バリア層の前記第2のサブ層の蒸着中に、前記第2の二重バリア層の前記第1のサブ層が、前記第2のハロゲン化物感受性材料層を前記ハロゲン化物含有化学物質から保護する、工程と、
によって実行される蒸着工程と、
を備える、方法。
The method according to any one of claims 1 to 7, wherein the substrate includes a second halide-sensitive material layer arranged below the first halide-sensitive material layer. further,
(C) After the steps (b) and (ii), the first halide-sensitive material layer is exposed but the first halide-sensitive material layer is not exposed. A step of etching the substrate so that the sensitive material layer remains at least partially covered by the double barrier layer.
(D) A vapor deposition step in which a second double barrier layer is vapor-deposited on the substrate.
(I) In the step of depositing the first sublayer of the second double barrier layer on the substrate, the first sublayer of the second double barrier layer is at least 40 % by weight. The process of depositing carbon on the exposed portion of the second halide-sensitive material layer.
(Ii) A step of depositing a second sublayer of the second double barrier layer on the first sublayer of the second double barrier layer, wherein the second double barrier layer is deposited. The second sub-layer contains silicon nitride and is vapor-deposited using a halide-containing chemical substance in the atomic layer deposition treatment, and during the deposition of the second sub-layer of the second double barrier layer, A step in which the first sublayer of the second double barrier layer protects the second halide-sensitive material layer from the halide-containing chemicals.
The vapor deposition process performed by
A method.
請求項1〜8のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、15〜100Åの厚さまで蒸着され、前記二重バリア層の前記第2のサブ層は、少なくとも20Åの厚さまで蒸着される、方法。 The method according to any one of claims 1 to 8, wherein the first sub-layer of the double-barrier layer is deposited to a thickness of 15 to 100 Å and the second sub-layer of the double-barrier layer. The method, in which the layers are deposited to a thickness of at least 20 Å. 請求項1〜9のいずれかに記載の方法であって、前記ハロゲン化物含有化学物質は、クロロシランを含む、方法。 The method according to any one of claims 1 to 9, wherein the halide-containing chemical substance contains chlorosilane. 請求項10の方法であって、前記クロロシランは、ジクロロシランである、方法。 The method of claim 10, wherein the chlorosilane is dichlorosilane. 請求項1〜11のいずれかに記載の方法であって、前記方法は、相変化メモリデバイスを形成する際に実行される、方法。 The method according to any one of claims 1 to 11, wherein the method is executed when forming a phase change memory device. 請求項1〜3または6〜12のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、単一のRF周波数を用いて生成されたプラズマに前記基板を暴露させる工程を含むプラズマ化学蒸着処理で形成される、方法。 The method according to any one of claims 1 to 3 or 6 to 12, wherein the first sublayer of the double barrier layer is the substrate in plasma generated using a single RF frequency. A method formed by a plasma chemical vapor deposition process involving an exposure step. 請求項13に記載の方法であって、前記プラズマを生成するために用いられる前記RF周波数は、1.8MHzから2.45GHzの間である、方法。 The method of claim 13, wherein the RF frequency used to generate the plasma is between 1.8 MHz and 2.45 GHz . 請求項1〜14のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、反応チャンバ内で蒸着され、前記二重バリア層の前記第2のサブ層は、同じ前記反応チャンバ内で蒸着される、方法。 The method according to any one of claims 1 to 14, wherein the first sublayer of the double barrier layer is vapor-deposited in a reaction chamber, and the second sublayer of the double barrier layer is formed. , The method of being deposited in the same reaction chamber. 請求項1〜14のいずれかに記載の方法であって、前記二重バリア層の前記第1のサブ層は、第1の反応チャンバ内で蒸着され、前記二重バリア層の前記第2のサブ層は、第2の反応チャンバ内で蒸着され、前記第1および第2の反応チャンバは共に、マルチチャンバツール上に設けられている、方法。 The method according to any one of claims 1 to 14, wherein the first sublayer of the double barrier layer is deposited in a first reaction chamber and the second sublayer of the double barrier layer. The method, wherein the sub-layer is deposited in a second reaction chamber, both the first and second reaction chambers being provided on a multi-chamber tool. 請求項16に記載の方法であって、さらに、前記基板を前記第1の反応チャンバから前記第2の反応チャンバまで真空条件下で移動させる工程を備える、方法。 The method according to claim 16, further comprising the step of moving the substrate from the first reaction chamber to the second reaction chamber under vacuum conditions. 請求項1〜17のいずれかに記載の方法であって、前記二重バリア層の前記第1および第2のサブ層は、前記第1および第2のサブ層の各々について、前記サブ層の最も薄い部分が前記サブ層の最も厚い部分の少なくとも60%になるように、共形蒸着される、方法。 The method according to any one of claims 1 to 17, wherein the first and second sublayers of the double barrier layer are of the sublayer for each of the first and second sublayers. A method of conformal deposition such that the thinnest portion is at least 60 % of the thickest portion of the sublayer. 請求項2に記載の方法であって、前記カルコゲニド材料は、炭素層の間に挟まれている、方法。 The method according to claim 2, wherein the chalcogenide material is sandwiched between carbon layers.
JP2016146849A 2015-07-28 2016-07-27 Method of Integrating Halide-Containing ALD Membrane on Sensitive Material Active JP6832088B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/811,205 US9385318B1 (en) 2015-07-28 2015-07-28 Method to integrate a halide-containing ALD film on sensitive materials
US14/811,205 2015-07-28

Publications (3)

Publication Number Publication Date
JP2017034245A JP2017034245A (en) 2017-02-09
JP2017034245A5 JP2017034245A5 (en) 2019-08-29
JP6832088B2 true JP6832088B2 (en) 2021-02-24

Family

ID=56235011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016146849A Active JP6832088B2 (en) 2015-07-28 2016-07-27 Method of Integrating Halide-Containing ALD Membrane on Sensitive Material

Country Status (4)

Country Link
US (1) US9385318B1 (en)
JP (1) JP6832088B2 (en)
KR (1) KR102621967B1 (en)
TW (1) TWI720001B (en)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10297459B2 (en) 2013-09-20 2019-05-21 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9887097B2 (en) 2014-12-04 2018-02-06 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9384998B2 (en) * 2014-12-04 2016-07-05 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch
US9620377B2 (en) 2014-12-04 2017-04-11 Lab Research Corporation Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch
US10170324B2 (en) 2014-12-04 2019-01-01 Lam Research Corporation Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US9543148B1 (en) 2015-09-01 2017-01-10 Lam Research Corporation Mask shrink layer for high aspect ratio dielectric etch
US10157736B2 (en) 2016-05-06 2018-12-18 Lam Research Corporation Methods of encapsulation
US9929006B2 (en) * 2016-07-20 2018-03-27 Micron Technology, Inc. Silicon chalcogenate precursors, methods of forming the silicon chalcogenate precursors, and related methods of forming silicon nitride and semiconductor structures
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
WO2018045089A1 (en) * 2016-08-30 2018-03-08 Hzo, Inc. Multi-layer deposition system and process
US10224414B2 (en) * 2016-12-16 2019-03-05 Lam Research Corporation Method for providing a low-k spacer
KR102659567B1 (en) * 2017-03-03 2024-04-19 램 리써치 코포레이션 Technique to tune sidewall passivation deposition conformality for high aspect ratio cylinder etch
US10276398B2 (en) 2017-08-02 2019-04-30 Lam Research Corporation High aspect ratio selective lateral etch using cyclic passivation and etching
TWI765080B (en) * 2017-08-13 2022-05-21 美商應用材料股份有限公司 Method of enhancing selective deposition by cross-linking of blocking molecules
CN111108581A (en) * 2017-09-21 2020-05-05 应用材料公司 High aspect ratio deposition
US10141503B1 (en) 2017-11-03 2018-11-27 International Business Machines Corporation Selective phase change material growth in high aspect ratio dielectric pores for semiconductor device fabrication
US10658174B2 (en) 2017-11-21 2020-05-19 Lam Research Corporation Atomic layer deposition and etch for reducing roughness
WO2019113482A1 (en) * 2017-12-08 2019-06-13 Tokyo Electron Limited High aspect ratio via etch using atomic layer deposition protection layer
US10903109B2 (en) * 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
US10886140B2 (en) * 2018-07-27 2021-01-05 Applied Materials, Inc. 3D NAND etch
KR102620168B1 (en) 2018-08-22 2024-01-02 삼성전자주식회사 Variable resistance memory devices and methods of manufacturing the same
US11239420B2 (en) 2018-08-24 2022-02-01 Lam Research Corporation Conformal damage-free encapsulation of chalcogenide materials
US20200381623A1 (en) * 2019-05-31 2020-12-03 Applied Materials, Inc. Methods of forming silicon nitride encapsulation layers
TW202111825A (en) 2019-07-29 2021-03-16 美商應用材料股份有限公司 Multilayer encapsulation stacks by atomic layer deposition
KR20210041692A (en) 2019-10-07 2021-04-16 삼성전자주식회사 Variable resistance memory Device
US11417840B2 (en) * 2019-12-31 2022-08-16 Micron Technology, Inc. Protective sealant for chalcogenide material and methods for forming the same
US11424118B2 (en) 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials
CN111584411A (en) * 2020-06-11 2020-08-25 中国科学院微电子研究所 Semiconductor processing equipment, passivation layer deposition method and PRAM manufacturing method
US11361971B2 (en) * 2020-09-25 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. High aspect ratio Bosch deep etch
TW202348830A (en) * 2022-02-22 2023-12-16 美商蘭姆研究公司 Thermal film deposition

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS60198731A (en) * 1984-03-22 1985-10-08 Nec Corp Semiconductor device
JPH10173047A (en) * 1996-12-11 1998-06-26 Mitsubishi Electric Corp Semiconductor device and manufacturing method thereof
JP3148183B2 (en) * 1998-08-31 2001-03-19 日本電気株式会社 Method for manufacturing semiconductor device
JP2000106396A (en) * 1998-09-29 2000-04-11 Sharp Corp Manufacture of semiconductor device
AU2002333601A1 (en) * 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
CN100339756C (en) * 2003-03-11 2007-09-26 友达光电股份有限公司 Multilayer diffused obstacle layer structure and producing method for thin-membrane transistor liquid-crystal dispalying device
US7407893B2 (en) * 2004-03-05 2008-08-05 Applied Materials, Inc. Liquid precursors for the CVD deposition of amorphous carbon films
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
US7785658B2 (en) * 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US7892620B2 (en) * 2005-11-30 2011-02-22 Panasonic Corporation Information recording medium and method for manufacturing thereof
US7767589B2 (en) * 2007-02-07 2010-08-03 Raytheon Company Passivation layer for a circuit device and method of manufacture
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
US7820556B2 (en) 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US7955990B2 (en) 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
KR20100082604A (en) * 2009-01-09 2010-07-19 삼성전자주식회사 Variable resistive memory device and method of forming thereof
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
CN103229283B (en) * 2010-11-26 2016-01-20 富士通株式会社 The manufacture method of semiconductor device and semiconductor device
JP2012178422A (en) 2011-02-25 2012-09-13 Fujikura Ltd Semiconductor device manufacturing method, semiconductor device manufacturing apparatus and substrate holding jig
US8772158B2 (en) 2012-07-20 2014-07-08 Globalfoundries Inc. Multi-layer barrier layer stacks for interconnect structures
US9449809B2 (en) 2012-07-20 2016-09-20 Applied Materials, Inc. Interface adhesion improvement method
JP6538300B2 (en) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated Method for depositing a film on a sensitive substrate
KR102038647B1 (en) * 2013-06-21 2019-10-30 주식회사 원익아이피에스 Substrate support apparatus and substrate process apparatus having the same
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10249819B2 (en) * 2014-04-03 2019-04-02 Micron Technology, Inc. Methods of forming semiconductor structures including multi-portion liners

Also Published As

Publication number Publication date
TWI720001B (en) 2021-03-01
KR102621967B1 (en) 2024-01-05
TW201715609A (en) 2017-05-01
KR20170013832A (en) 2017-02-07
US9385318B1 (en) 2016-07-05
JP2017034245A (en) 2017-02-09

Similar Documents

Publication Publication Date Title
JP6832088B2 (en) Method of Integrating Halide-Containing ALD Membrane on Sensitive Material
JP7414891B2 (en) Apparatus and method for processing semiconductor substrates
JP6918460B2 (en) How to seal chalcogenide material
KR20230039625A (en) Chamber undercoat preparation method for low temperature ald films
KR102535093B1 (en) Deposition of aluminum oxide etch stop layers
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
TWI609426B (en) Method, apparatus and system for forming sin film on the substrate
CN111247269A (en) Geometrically selective deposition of dielectric films
CN111344857A (en) Dielectric gap fill of high aspect ratio features using sacrificial etch cap
JP2018074145A (en) High dry etching velocity material for semiconductor patterning application
CN109791914B (en) Composite dielectric interfacial layer for interconnect structures
US10651080B2 (en) Oxidizing treatment of aluminum nitride films in semiconductor device manufacturing
TW201726963A (en) Methods for formation of low-k aluminum-containing etch stop films
US20210017643A1 (en) Chamfer-less via integration scheme
CN112997291A (en) Conformal atraumatic encapsulation of chalcogenide materials
KR20200118504A (en) Selective deposition using hydrolysis
US20230154754A1 (en) Loss prevention during atomic layer deposition
KR20230029686A (en) Intralevel Capacitance Reduction in Semiconductor Devices
KR20230024396A (en) Removal of tin oxide in chamber cleaning
CN112005339A (en) Atomic layer deposition of carbon films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190722

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190722

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200908

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20201202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201217

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210201

R150 Certificate of patent or registration of utility model

Ref document number: 6832088

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250