JP6828923B2 - Xanthene compounds, color material compositions, photosensitive resin compositions, photosensitive materials, color filters and display devices - Google Patents

Xanthene compounds, color material compositions, photosensitive resin compositions, photosensitive materials, color filters and display devices Download PDF

Info

Publication number
JP6828923B2
JP6828923B2 JP2019543898A JP2019543898A JP6828923B2 JP 6828923 B2 JP6828923 B2 JP 6828923B2 JP 2019543898 A JP2019543898 A JP 2019543898A JP 2019543898 A JP2019543898 A JP 2019543898A JP 6828923 B2 JP6828923 B2 JP 6828923B2
Authority
JP
Japan
Prior art keywords
substituted
group
carbon atoms
unsubstituted
groups
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019543898A
Other languages
Japanese (ja)
Other versions
JP2020512429A (en
Inventor
パク、ジョンホ
リー、ダミ
ジョン、ジヒ
チョイ、サンガ
ジン ヤン、スン
ジン ヤン、スン
Original Assignee
エルジー・ケム・リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エルジー・ケム・リミテッド filed Critical エルジー・ケム・リミテッド
Priority claimed from PCT/KR2019/000342 external-priority patent/WO2019164122A1/en
Publication of JP2020512429A publication Critical patent/JP2020512429A/en
Application granted granted Critical
Publication of JP6828923B2 publication Critical patent/JP6828923B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09BORGANIC DYES OR CLOSELY-RELATED COMPOUNDS FOR PRODUCING DYES, e.g. PIGMENTS; MORDANTS; LAKES
    • C09B11/00Diaryl- or thriarylmethane dyes
    • C09B11/28Pyronines ; Xanthon, thioxanthon, selenoxanthan, telluroxanthon dyes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09BORGANIC DYES OR CLOSELY-RELATED COMPOUNDS FOR PRODUCING DYES, e.g. PIGMENTS; MORDANTS; LAKES
    • C09B67/00Influencing the physical, e.g. the dyeing or printing properties of dyestuffs without chemical reactions, e.g. by treating with solvents grinding or grinding assistants, coating of pigments or dyes; Process features in the making of dyestuff preparations; Dyestuff preparations of a special physical nature, e.g. tablets, films
    • C09B67/0033Blends of pigments; Mixtured crystals; Solid solutions
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1335Structural association of cells with optical devices, e.g. polarisers or reflectors
    • G02F1/133509Filters, e.g. light shielding masks
    • G02F1/133514Colour filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0005Production of optical devices or components in so far as characterised by the lithographic processes or materials used therefor
    • G03F7/0007Filters, e.g. additive colour filters; Components for display devices
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/028Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with photosensitivity-increasing substances, e.g. photoinitiators
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/027Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds
    • G03F7/032Non-macromolecular photopolymerisable compounds having carbon-to-carbon double bonds, e.g. ethylenic compounds with binders

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Nonlinear Science (AREA)
  • Optics & Photonics (AREA)
  • Organic Chemistry (AREA)
  • Mathematical Physics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials For Photolithography (AREA)
  • Optical Filters (AREA)

Description

本出願は、2018年2月23日付で韓国特許庁に出願された韓国特許出願第10−2018−0022076号の出願日の利益を主張し、その内容のすべては本明細書に組み込まれる。 This application claims the benefit of the filing date of Korean Patent Application No. 10-2018-0022076 filed with the Korean Intellectual Property Office on February 23, 2018, the entire contents of which are incorporated herein by reference.

本出願は、2019年1月7日付で韓国特許庁に提出された韓国特許出願第10−2019−0001820号の出願日の利益を主張し、その内容のすべては本明細書に組み込まれる。 This application claims the benefit of the filing date of Korean Patent Application No. 10-2019-0001820 filed with the Korean Intellectual Property Office on January 7, 2019, the entire contents of which are incorporated herein by reference.

本明細書は、キサンテン系化合物およびこれを含む色材組成物、感光性樹脂組成物に関する。また、本明細書は、前記感光性樹脂組成物を用いて製造された感光材、カラーフィルタおよびこれを含むディスプレイ装置に関する。 The present specification relates to a xanthene compound, a color material composition containing the xanthene compound, and a photosensitive resin composition. The present specification also relates to a photosensitive material, a color filter, and a display device including the photosensitive material produced by using the photosensitive resin composition.

最近、カラーフィルタにおいて、高輝度、高明暗比を特徴とする性能が求められている。また、表示素子開発の主な目的の一つは、色純度の向上による表示素子性能の差別化および製造工程上の生産性の向上にある。 Recently, color filters are required to have high brightness and high brightness / dark ratio. Further, one of the main purposes of developing a display element is to differentiate the performance of the display element by improving the color purity and to improve the productivity in the manufacturing process.

従来、カラーフィルタの色材として使用される顔料タイプは、粒子分散状態でカラーフォトレジストに存在するため、顔料粒子の大きさと分布調節による輝度および明暗比の調節に困難があった。顔料粒子の場合、カラーフィルタ内で凝集して溶解および分散性が低下し、凝集(aggregation)している大きな粒子によって光の多重散乱(multiple scattering)が起こる。このような偏光した光の散乱は、明暗比を低下させる主因とされている。顔料の超微粒化および分散安定化により輝度および明暗比向上のための努力が続いているが、高色純度表示装置用色座標を実現するための色材の選定において自由度が制限される。また、すでに開発された色材料、特に顔料を用いた顔料分散法は、これを用いたカラーフィルタの色純度、輝度および明暗比を向上させるのに限界に達した。 Conventionally, the pigment type used as a color material of a color filter exists in a color photoresist in a particle-dispersed state, so that it is difficult to adjust the brightness and the light-dark ratio by adjusting the size and distribution of the pigment particles. In the case of pigment particles, they aggregate in the color filter to reduce their solubility and dispersibility, and the large particles that are agglomerated cause multiple scattering of light. Such scattering of polarized light is considered to be the main cause of lowering the light-dark ratio. Efforts are being made to improve the brightness and contrast ratio by ultra-fine pigmenting and stabilizing the dispersion, but the degree of freedom in selecting a color material for realizing color coordinates for a high color purity display device is limited. In addition, the pigment dispersion method using a color material that has already been developed, particularly a pigment, has reached a limit in improving the color purity, brightness, and light-dark ratio of a color filter using the same.

これによって、色純度を高めて色再現、輝度および明暗比を向上させることができる新規色材の開発が求められている。 As a result, there is a need for the development of new color materials capable of increasing color purity to improve color reproduction, brightness and light-dark ratio.

本発明者らは、キサンテン系化合物、これを含む色材組成物、感光性樹脂組成物、これを用いて製造された感光材、カラーフィルタおよびこれを含むディスプレイ装置を提供しようとする。 The present inventors intend to provide a xanthene compound, a color material composition containing the xanthene compound, a photosensitive resin composition, a photosensitive material produced by using the xanthene compound, a color filter, and a display device including the same.

本明細書の一実施態様は、下記化学式1で表される化合物を提供する。
[化学式1]

Figure 0006828923
前記化学式1において、
〜Rは、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;ニトロ基;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
〜R11は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;−SO ;−SOH;−SORa;−SONRbRc;−SONHRd;−COOH;−COORa;−CONHRd;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
Ra〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
12は、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;および窒素原子を含む二無水物基からなる群より選択され、
13およびR14は、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
15およびR16は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜30の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロ環を形成し、
およびLは、互いに同一または異なり、それぞれ独立に、直接結合;置換もしくは非置換の炭素数2〜30の直鎖もしくは分枝鎖のアルキレン基;−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;および−L−CONH−L−からなる群より選択され、
およびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキレン基であり、
aは、0または1の整数であり、
Xは、陰イオン性基である。 One embodiment of the present specification provides a compound represented by the following chemical formula 1.
[Chemical formula 1]
Figure 0006828923
In the chemical formula 1,
R 1 to R 6 are the same or different from each other, and independently of each other, hydrogen; heavy hydrogen; halogen group; nitro group; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or substituted. Alkoxy groups having 1 to 30 carbon atoms unsubstituted; aryl groups having 6 to 30 carbon atoms substituted or unsubstituted; and monocyclic or polycyclic aryl groups having 2 to 30 carbon atoms substituted or unsubstituted. Selected from the group consisting of heteroaryl groups
R 7 to R 11, equal to or different from each other, each independently, hydrogen, deuterium; -OH; -SO 3 -; -SO 3 H; -SO 3 Ra; -SO 2 NRbRc; -SO 2 NHRd; - COOH; -COORa; -CONHRd; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and Selected from the group consisting of substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.
Ra to Rd are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic groups having 6 to 30 carbon atoms. Selected from the group consisting of ring aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.
R 12 is hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl group having 6 to 30 carbon atoms; Selected from the group consisting of substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms; and dianhydride groups containing a nitrogen atom.
R 13 and R 14 are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms.
R 15 and R 16 are identical or different from each other and independently of each other: hydrogen; hydrocarbons; -OH; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted. Selected from the group consisting of monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms, or bonded to each other and substituted. Alternatively, an unsubstituted monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 30 carbon atoms; or a substituted or unsubstituted monocyclic or polycyclic heterocycle having 2 to 30 carbon atoms is formed.
L 1 and L 2, equal to or different from each other, each independently, a direct bond; an alkylene group having a linear or branched substituted or unsubstituted C2-30; -L 3 -O-L 4 - ; -L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; - L 3 -COO-L 4 -; - L 3 -OCO-L 4 -; -L 3 -NHCO-L 4 -; and -L 3 -CONH-L 4 - is selected from the group consisting of,
L 3 and L 4 are linear or branched alkylene groups having 1 to 30 carbon atoms that are identical or different from each other and are substituted or unsubstituted.
a is an integer of 0 or 1 and
X is an anionic group.

本明細書の一実施態様は、前記化学式1で表される化合物を含む色材組成物を提供する。 One embodiment of the present specification provides a colorant composition containing the compound represented by the chemical formula 1.

本明細書の一実施態様は、前記化学式1で表される化合物;バインダー樹脂;多官能性モノマー;光開始剤;および溶媒を含む感光性樹脂組成物を提供する。 One embodiment of the present specification provides a photosensitive resin composition comprising the compound represented by the chemical formula 1, a binder resin; a polyfunctional monomer; a photoinitiator; and a solvent.

本明細書の一実施態様は、前記感光性樹脂組成物を用いて製造された感光材を提供する。 One embodiment of the present specification provides a photosensitive material produced by using the photosensitive resin composition.

本明細書の一実施態様は、前記感光材を含むカラーフィルタを提供する。 One embodiment of the present specification provides a color filter containing the photosensitive material.

本明細書の一実施態様は、前記カラーフィルタを含むディスプレイ装置を提供する。 One embodiment of the present specification provides a display device including the color filter.

本明細書の一実施態様に係るキサンテン系化合物は、感光性樹脂組成物における色材として使用可能であり、従来の色材に比べて、光源から出るスペクトルとカラーフィルタの吸収および透過スペクトルの調和により色純度を高めることができる。 The xanthene compound according to one embodiment of the present specification can be used as a coloring material in a photosensitive resin composition, and has a harmony between a spectrum emitted from a light source and an absorption and transmission spectrum of a color filter as compared with a conventional coloring material. Therefore, the color purity can be increased.

また、本明細書の一実施態様に係るキサンテン系化合物は、色材料として使用され、再現、輝度および明暗比を向上させることができる。 In addition, the xanthene compound according to one embodiment of the present specification can be used as a color material to improve reproduction, brightness and light-dark ratio.

以下、本明細書についてより詳細に説明する。 Hereinafter, the present specification will be described in more detail.

本明細書において、ある部分がある構成要素を「含む」とする時、これは、特に反対の記載がない限り、他の構成要素を除くのではなく、他の構成要素をさらに包含できることを意味する。 In the present specification, when a component is referred to as "contains" a component, this means that the other component may be further included rather than excluding the other component unless otherwise specified. To do.

本明細書の一実施態様によれば、前記化学式1で表される化合物を提供する。 According to one embodiment of the present specification, the compound represented by the chemical formula 1 is provided.

前記化学式1において、窒素原子にアルキルフタルイミドが置換される場合、顔料の分散性を高め、高温で顔料の結晶転移を抑制して高温で顔料を安定化させることができる。これによって、顔料の安定化により分散性および保存安定性の向上と色変化を減少させることができる。 In the chemical formula 1, when the nitrogen atom is substituted with alkyl phthalimide, the dispersibility of the pigment can be enhanced, the crystal transition of the pigment can be suppressed at a high temperature, and the pigment can be stabilized at a high temperature. This makes it possible to improve dispersibility and storage stability and reduce color change by stabilizing the pigment.

また、前記R13およびR14がアルキル基の場合、前記化学式1の化合物を含む感光材の最大吸収波長(λmax)が550〜580nmの領域に存在し、600nm以上における透過度に優れて赤色波長領域の色を実現しやすいという利点がある。 When R 13 and R 14 are alkyl groups, the maximum absorption wavelength (λmax) of the photosensitive material containing the compound of Chemical Formula 1 exists in the region of 550 to 580 nm, and the transmittance is excellent at 600 nm or more, and the red wavelength. There is an advantage that it is easy to realize the color of the area.

前記化学式1で表される化合物の置換基の例示は以下に説明するが、これに限定されるものではない。 Examples of the substituent of the compound represented by the chemical formula 1 will be described below, but the present invention is not limited thereto.

本明細書において、「置換もしくは非置換の」という用語は、重水素;ハロゲン基;アルキル基;アルケニル基;アルコキシ基;シクロアルキル基;シリル基;アリール基;アルキルスルホキシ基;アリールスルホキシ基;ホウ素基;アルキルアミン基;アリールアミン基;ヘテロアリール基;エーテル基;ニトリル基;ニトロ基;ヒドロキシ基(−OH);カルボキシ基(−COOH);−SO3−;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;N、O、S、またはP原子のうちの1個以上を含むヘテロ環基および陰イオン性基を含む群より選択された1個以上の置換基で置換されているか、もしくはいずれの置換基も有しないことを意味する。 As used herein, the term "substituted or unsubstituted" refers to a heavy hydrogen; a halogen group; an alkyl group; an alkenyl group; an alkoxy group; a cycloalkyl group; a silyl group; an aryl group; an alkylsulfoxi group; an arylsulfoxi group. Boron group; alkylamine group; arylamine group; heteroaryl group; ether group; nitrile group; nitro group; hydroxy group (-OH); carboxy group (-COOH); -SO 3- ; sulfonic acid group; sulfonic acid Is it substituted with one or more substituents selected from the group containing heterocyclic groups and anionic groups containing one or more of the ester group; sulfonic acid base; N, O, S, or P atoms? , Or does not have any substituents.

本明細書において、前記アルキル基は、直鎖もしくは分枝鎖であってもよく、炭素数は特に限定されないが、1〜30のものが好ましい。具体的には1〜20、または1〜10であってもよい。具体例としては、メチル基、エチル基、プロピル基、イソプロピル基、ブチル基、t−ブチル基、ペンチル基、ヘキシル基、およびヘプチル基などがあるが、これらに限定されない。 In the present specification, the alkyl group may be a straight chain or a branched chain, and the number of carbon atoms is not particularly limited, but those of 1 to 30 are preferable. Specifically, it may be 1 to 20 or 1 to 10. Specific examples include, but are not limited to, a methyl group, an ethyl group, a propyl group, an isopropyl group, a butyl group, a t-butyl group, a pentyl group, a hexyl group, and a heptyl group.

本明細書において、前記アルケニル基は、直鎖もしくは分枝鎖であってもよく、炭素数は特に限定されないが、2〜30のものが好ましい。具体的には2〜20、または2〜10であってもよい。具体例としては、スチルベニル基(stylbenyl)、スチレニル基(styrenyl)などのアリール基が置換されたアルケニル基が好ましいが、これらに限定されない。 In the present specification, the alkenyl group may be a straight chain or a branched chain, and the number of carbon atoms is not particularly limited, but those of 2 to 30 are preferable. Specifically, it may be 2 to 20 or 2 to 10. As a specific example, an alkenyl group in which an aryl group such as a stylbenyl group or a styrenyl group is substituted is preferable, but the alkenyl group is not limited thereto.

本明細書において、前記アルコキシ基は、直鎖もしくは分枝鎖であってもよく、炭素数は特に限定されないが、1〜30のものが好ましい。具体的には1〜20、または1〜10であってもよい。 In the present specification, the alkoxy group may be a straight chain or a branched chain, and the number of carbon atoms is not particularly limited, but those of 1 to 30 are preferable. Specifically, it may be 1 to 20 or 1 to 10.

本明細書において、シクロアルキル基は特に限定されないが、炭素数3〜30のものが好ましく、特に、シクロペンチル基、シクロヘキシル基が好ましい。具体的には3〜20、または3〜10であってもよい。 In the present specification, the cycloalkyl group is not particularly limited, but one having 3 to 30 carbon atoms is preferable, and a cyclopentyl group and a cyclohexyl group are particularly preferable. Specifically, it may be 3 to 20, or 3 to 10.

本明細書において、ハロゲン基の例としては、フッ素、塩素、臭素、またはヨウ素がある。 Examples of halogen groups herein include fluorine, chlorine, bromine, or iodine.

本明細書において、スルホン酸基は、−SOHで表されてもよい。 In this specification, the sulfonic acid group may be represented by -SO 3 H.

本明細書において、スルホン酸塩基は、それぞれ1価の陽イオンとの塩であり、1価の陽イオンは、Na、K、および4級アンモニウム陽イオンを含む群より選択されるいずれか1つであってもよいが、これに限定されない。4級アンモニウム陽イオンの具体例としては、テトラメチルアンモニウム陽イオン、エチルトリメチルアンモニウム陽イオン、テトラプロピルアンモニウム陽イオンなどのテトラアルキルアンモニウム陽イオンなどになってもよいが、これらに限定されない。 In the present specification, each sulfonic acid base is a salt with a monovalent cation, and the monovalent cation is any one selected from the group containing Na + , K + , and quaternary ammonium cations. There may be one, but it is not limited to this. Specific examples of the quaternary ammonium cation may be, but are not limited to, tetraalkylammonium cations such as tetramethylammonium cation, ethyltrimethylammonium cation, and tetrapropylammonium cation.

本明細書において、スルホン酸エステル基の具体例としては、メタンスルホニル、エタンスルホニル、ヘキサンスルホニルなどの炭素数1〜4のアルキルスルホニルが挙げられるが、これに限定されない。 In the present specification, specific examples of the sulfonic acid ester group include, but are not limited to, alkylsulfonyls having 1 to 4 carbon atoms such as methanesulfonyl, ethanesulfonyl, and hexanesulfonyl.

本明細書において、スルホンアミド基は、−SONRxRyのように表現されてもよいし、例えば、RxおよびRyは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基である。 In the present specification, the sulfonamide group may be expressed as -SO 2 NRxRy, and for example, Rx and Ry are the same or different from each other, and each independently has 1 to 30 substituted or unsubstituted carbon atoms. Linear or branched alkyl group; substituted or unsubstituted monocyclic or polycyclic aryl group having 6 to 30 carbon atoms; or substituted or unsubstituted monocyclic or polycyclic aryl group having 2 to 30 carbon atoms. It is an aryl group.

本明細書において、アリール基は、単環式アリール基もしくは多環式アリール基であってもよい。 As used herein, the aryl group may be a monocyclic aryl group or a polycyclic aryl group.

前記アリール基が単環式アリール基の場合、炭素数は特に限定されないが、炭素数6〜30のものが好ましい。具体的には、炭素数6〜20であってもよいし、6〜12であってもよい。例えば、単環式アリール基としては、フェニル基、ビフェニル基、ターフェニル基などになってもよいが、これらに限定されるものではない。 When the aryl group is a monocyclic aryl group, the number of carbon atoms is not particularly limited, but those having 6 to 30 carbon atoms are preferable. Specifically, it may have 6 to 20 carbon atoms or 6 to 12 carbon atoms. For example, the monocyclic aryl group may be a phenyl group, a biphenyl group, a terphenyl group, or the like, but is not limited thereto.

前記アリール基が多環式アリール基の場合、炭素数は特に限定されないが、炭素数10〜30のものが好ましい。具体的には、多環式アリール基としては、ナフチル基、アントリル基、フェナントリル基、ピレニル基、ペリレニル基、クリセニル基、フルオレニル基などになってもよいが、これらに限定されるものではない。 When the aryl group is a polycyclic aryl group, the number of carbon atoms is not particularly limited, but those having 10 to 30 carbon atoms are preferable. Specifically, the polycyclic aryl group may be a naphthyl group, an anthryl group, a phenanthryl group, a pyrenyl group, a perylenel group, a chrysenyl group, a fluorenyl group and the like, but is not limited thereto.

本明細書において、前記ヘテロ環基は、異種原子としてO、N、またはSを含むヘテロ環基であって、炭素数は特に限定されないが、炭素数2〜30のものが好ましい。ヘテロ環基の例としては、チオフェン基、フラン基、ピロール基、イミダゾール基、チアゾール基、オキサゾール基、オキサジアゾール基、トリアゾール基、ピリジル基、ビピリジル基、トリアジン基、アクリジル基、ピリダジン基、キノリニル基、イソキノリン基、インドール基、カルバゾール基、ベンゾオキサゾール基、ベンゾイミダゾール基、ベンゾチアゾール基、ベンゾカルバゾール基、ベンゾチオフェン基、ジベンゾチオフェン基、ベンゾフラニル基、ジベンゾフラン基などがあるが、これらにのみ限定されるものではない。 In the present specification, the heterocyclic group is a heterocyclic group containing O, N, or S as a hetero atom, and the number of carbon atoms is not particularly limited, but those having 2 to 30 carbon atoms are preferable. Examples of heterocyclic groups include thiophene group, furan group, pyrrol group, imidazole group, thiazole group, oxazole group, oxadiazole group, triazole group, pyridyl group, bipyridyl group, triazine group, acrizyl group, pyridazine group and quinolinyl. Groups, isoquinoline groups, indol groups, carbazole groups, benzoxazole groups, benzimidazole groups, benzothiazole groups, benzocarbazole groups, benzothiophene groups, dibenzothiophene groups, benzofuranyl groups, dibenzofuran groups, etc., but are limited to these. It's not something.

本明細書において、ヘテロアリール基は、芳香族であることを除けば、前述したヘテロ環基に関する説明が適用可能である。前記ヘテロアリール基の炭素数は特に限定されないが、2〜30であってもよい。具体的には2〜20であってもよいし、他の実施態様において、2〜10であってもよい。 In the present specification, the above-mentioned description of the heterocyclic group is applicable except that the heteroaryl group is aromatic. The number of carbon atoms of the heteroaryl group is not particularly limited, but may be 2 to 30. Specifically, it may be 2 to 20, or 2 to 10 in other embodiments.

本明細書において、アルキレン基は、アルカン(alkane)に結合位置が2つあるものを意味する。前記アルキレン基は、直鎖、分枝鎖もしくは環鎖であってもよい。アルキレン基の炭素数は特に限定されないが、例えば、炭素数1〜30である。具体的には、炭素数1〜20であってもよいし、さらに他の実施態様において、炭素数1〜10であってもよい。 As used herein, an alkylene group means an alkane having two bonding positions. The alkylene group may be a straight chain, a branched chain or a ring chain. The carbon number of the alkylene group is not particularly limited, but is, for example, 1 to 30 carbon atoms. Specifically, it may have 1 to 20 carbon atoms, and in still other embodiments, it may have 1 to 10 carbon atoms.

本明細書において、アルケニレン基は、アルケン(alkene)に結合位置が2つあるものを意味する。前記アルケニレン基は、直鎖、分枝鎖もしくは環鎖であってもよい。アルケニレン基の炭素数は特に限定されないが、例えば、炭素数2〜30である。具体的には2〜20であってもよいし、さらに具体的には2〜10であってもよい。 As used herein, an alkenylene group means an alkene having two binding positions. The alkenylene group may be a straight chain, a branched chain or a ring chain. The carbon number of the alkenylene group is not particularly limited, but is, for example, 2 to 30 carbon atoms. Specifically, it may be 2 to 20, and more specifically, it may be 2 to 10.

本明細書において、陰イオン性基は、化学式1の構造と化学的な結合を有し、前記陰イオン性基は特に限定されず、例えば、米国特許第7,939,644号、日本国特開第2006−003080号、日本国特開第2006−001917号、日本国特開第2005−159926号、日本国特開第2007−7028897号、日本国特開第2005−071680号、韓国出願公開第2007−7000693号、日本国特開第2005−111696号、日本国特開第2008−249663号、日本国特開第2014−199436号に記載されている陰イオンが適用可能である。前記陰イオン性基の具体例としては、タングステン、モリブデン、ケイ素、およびリンからなる群より選択される少なくとも1個の元素と酸素とを含む化合物の陰イオン;トリフルオルメタンスルホン酸陰イオン、ビス(トリフルオロメチルスルホニル)アミド陰イオン、ビストリフルオルメタンスルホンイミド陰イオン、ビスパーフルオルエチルスルホンイミド陰イオン、テトラフェニルボレート陰イオン、テトラキス(4−フルオロフェニル)ボレート、テトラキス(ペンタフルオロフェニル)ボレート、トリストリフルオロメタンスルホニルメチド、−SO 、−CO 、−SOSOCF、−SOSOCFCF、ハロゲン基、例えば、フッ素基、ヨウ素基、塩素基などがあるが、これらにのみ限定されるものではない。 In the present specification, the anionic group has a chemical bond with the structure of Chemical Formula 1, and the anionic group is not particularly limited, for example, US Pat. No. 7,939,644, Japan Kai No. 2006-003080, Japanese Patent Application Laid-Open No. 2006-001917, Japanese Patent Application Laid-Open No. 2005-159926, Japanese Patent Application Laid-Open No. 2007-70288897, Japanese Patent Application Laid-Open No. 2005-071680, Korean application published The anions described in Japanese Patent Application Laid-Open No. 2007-7000393, Japanese Patent Application Laid-Open No. 2005-111696, Japanese Patent Application Laid-Open No. 2008-249663, and Japanese Patent Application Laid-Open No. 2014-199436 can be applied. Specific examples of the anionic group include an anion of a compound containing oxygen and at least one element selected from the group consisting of tungsten, molybdenum, silicon, and phosphorus; a trifluolmethanesulfonic acid anion, a bis. (Trifluoromethylsulfonyl) amide anion, bistrifluolmethanesulfonimide anion, bisperfluoroethylsulfonimide anion, tetraphenylborate anion, tetrax (4-fluorophenyl) borate, tetrax (pentafluorophenyl) borate, tris trifluoromethanesulfonyl methide, -SO 3 -, -CO 2 - , -SO 2 N - SO 2 CF 3, -SO 2 N - SO 2 CF 2 CF 3, halogen, such as fluorine group, iodine There are groups, chlorine groups, etc., but they are not limited to these.

本明細書において、陰イオン性基は、それ自体で陰イオンを有することができ、または他の陽イオンと共に錯化合物の形態で存在してもよい。したがって、置換された陰イオン性基の個数に応じて、本発明の化合物分子全体の電荷の合計が変化できる。本発明の化合物のアミン基1つに陽イオンを有しているため、分子全体の電荷の合計は、置換された陰イオン性基の個数から1を引いた値だけの、陰イオンから0までの値を有することができる。 As used herein, anionic groups can have anions by themselves or may be present in the form of complex compounds with other cations. Therefore, the total charge of the entire compound molecule of the present invention can be changed according to the number of substituted anionic groups. Since one amine group of the compound of the present invention has a cation, the total charge of the entire molecule is from the anion to 0, which is the number of substituted anionic groups minus one. Can have a value of.

前述した実施態様によれば、前記化学式1において、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;ニトロ基;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択されてもよい。 According to the above-described embodiment, in Formula 1, wherein R 1 to R 6, equal to or different from each other, each independently, hydrogen, deuterium, halogen, nitro groups, substituted or unsubstituted carbon atoms 1 30 linear or branched alkyl groups; substituted or unsubstituted alkoxy groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and substituted or unsubstituted It may be selected from the group consisting of monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms for substitution.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;ニトロ基;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜20のアルコキシ基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基からなる群より選択されてもよい。 According to another embodiment of the present specification, the R 1 to R 6 are the same as or different from each other, and independently of each other, hydrogen; heavy hydrogen; halogen group; nitro group; substituted or unsubstituted carbon number 1 to 1. 20 linear or branched alkyl groups; substituted or unsubstituted alkoxy groups having 1 to 20 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 20 carbon atoms; and substituted or unsubstituted It may be selected from the group consisting of a monocyclic or polycyclic heteroaryl group having 2 to 20 carbon atoms for substitution.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;ニトロ基;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜10のアルコキシ基;置換もしくは非置換の炭素数6〜10の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基からなる群より選択されてもよい。 According to another embodiment of the present specification, the R 1 to R 6 are the same as or different from each other, and independently of each other, hydrogen; heavy hydrogen; halogen group; nitro group; substituted or unsubstituted carbon number 1 to 1. 10 linear or branched alkyl groups; substituted or unsubstituted alkoxy groups having 1 to 10 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 10 carbon atoms; and substituted or unsubstituted It may be selected from the group consisting of a monocyclic or polycyclic heteroaryl group having 2 to 10 carbon atoms for substitution.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;ハロゲン基;または置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基であってもよい。 According to another embodiment of the present specification, the R 1 to R 6 are the same or different from each other, and are independently hydrogen; halogen groups; or substituted or unsubstituted linear chains having 1 to 10 carbon atoms or It may be an alkyl group of a branched chain.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;ハロゲン基;または置換もしくは非置換のメチル基であってもよい。 According to another embodiment of the present specification, the R 1 to R 6 may be the same or different from each other and may be independently hydrogen; halogen group; or substituted or unsubstituted methyl group.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;ハロゲン基;またはメチル基であってもよい。 According to another embodiment of the present specification, the R 1 to R 6 may be the same or different from each other and may be independently hydrogen; halogen group; or methyl group.

本明細書のもう一つの実施態様によれば、前記R〜Rは、互いに同一または異なり、それぞれ独立に、水素;フッ素;またはメチル基であってもよい。 According to another embodiment of the present specification, the R 1 to R 6, equal to or different from each other, each independently, hydrogen; fluorine; or a methyl group.

本明細書のもう一つの実施態様によれば、前記R〜Rは、水素であってもよい。 According to another embodiment of the present specification, the R 1 to R 6 may be hydrogen.

本明細書のもう一つの実施態様によれば、前記RおよびRは、ハロゲン基;またはメチル基であり、R、R、R、またはRは、水素であってもよい。 According to another embodiment of the specification, the R 1 and R 4 may be a halogen group; or a methyl group, and R 2 , R 3 , R 5 or R 6 may be hydrogen. ..

本明細書のもう一つの実施態様によれば、前記RおよびRは、フッ素;またはメチル基であり、R、R、R、またはRは、水素であってもよい。 According to another embodiment of the specification, the R 1 and R 4 may be fluorine; or a methyl group, and R 2 , R 3 , R 5 or R 6 may be hydrogen.

また、R〜R11は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;−SO ;−SOH;−SORa;−SONRbRc;−SONHRd;−COOH;−COORa;−CONHRd;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
Ra〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択される。
Further, R 7 to R 11, equal to or different from each other, each independently, hydrogen, deuterium; -OH; -SO 3 -; -SO 3 H; -SO 3 Ra; -SO 2 NRbRc; -SO 2 NHRd -COOH; -COORa; -CONHRd; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms. And selected from the group consisting of substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.
Ra to Rd are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic groups having 6 to 30 carbon atoms. It is selected from the group consisting of ring aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.

本明細書のもう一つの実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;−SO ;−SOH;−SORa;−SONRbRc;−SONHRd;−COOH;−COORa;−CONHRd;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基からなる群より選択され、
Ra〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基からなる群より選択される。
According to another embodiment of the present specification, the R 7 to R 11, equal to or different from each other, each independently, hydrogen, deuterium; -OH; -SO 3 -; -SO 3 H; -SO 3 Ra; -SO 2 NRbRc; -SO 2 NHRd; -COOH; -COORa; -CONHRd; substituted or unsubstituted linear or branched alkyl group having 1 to 20 carbon atoms; substituted or unsubstituted carbon number Selected from the group consisting of 6 to 20 monocyclic or polycyclic aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 20 carbon atoms.
Ra to Rd are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 20 carbon atoms; substituted or unsubstituted monocyclic or polycyclic groups having 6 to 20 carbon atoms. It is selected from the group consisting of ring aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 20 carbon atoms.

本明細書のもう一つの実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;−SO ;−SOH;−SORa;−SONRbRc;−SONHRd;−COOH;−COORa;−CONHRd;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基からなる群より選択され、
Ra〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基からなる群より選択される。
According to another embodiment of the present specification, the R 7 to R 11, equal to or different from each other, each independently, hydrogen, deuterium; -OH; -SO 3 -; -SO 3 H; -SO 3 Ra; -SO 2 NRbRc; -SO 2 NHRd; -COOH; -COORa; -CONHRd; substituted or unsubstituted linear or branched alkyl group having 1 to 10 carbon atoms; substituted or unsubstituted carbon number Selected from the group consisting of 6-12 monocyclic or polycyclic aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2-10 carbon atoms.
Ra to Rd are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms; substituted or unsubstituted monocyclic or polycyclic groups having 6 to 12 carbon atoms. It is selected from the group consisting of ring aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 10 carbon atoms.

本明細書のもう一つの実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;−SO ;−SOH;または−SONHRdであってもよいし、前記Rdは、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基であってもよい。 According to another embodiment of the specification, the R 7 to R 11 are the same or different from each other and are independently hydrogen; -SO 3 ; -SO 3 H; or -SO 2 NHRd. Alternatively, the Rd may be a substituted or unsubstituted linear or branched alkyl group having 1 to 10 carbon atoms.

本明細書の一実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;−SO ;−SOH;または−SONHRdであってもよいし、前記Rdは、置換もしくは非置換のプロピル基;置換もしくは非置換のブチル基;置換もしくは非置換のペンチル基;置換もしくは非置換のヘキシル基;または置換もしくは非置換のヘプチル基であってもよい。 According to one embodiment of the present specification, the R 7 to R 11, equal to or different from each other, each independently, hydrogen; -SO 3 -; may be or -SO 2 NHRd; -SO 3 H However, the Rd may be a substituted or unsubstituted propyl group; a substituted or unsubstituted butyl group; a substituted or unsubstituted pentyl group; a substituted or unsubstituted hexyl group; or a substituted or unsubstituted heptyl group. Good.

本明細書の一実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;−SO ;−SOH;または−SONHRdであってもよいし、前記Rdは、置換もしくは非置換のプロピル基;または置換もしくは非置換のヘキシル基であってもよい。 According to one embodiment of the present specification, the R 7 to R 11, equal to or different from each other, each independently, hydrogen; -SO 3 -; may be or -SO 2 NHRd; -SO 3 H However, the Rd may be a substituted or unsubstituted propyl group; or a substituted or unsubstituted hexyl group.

本明細書の一実施態様によれば、前記R〜R11は、互いに同一または異なり、それぞれ独立に、水素;−SO ;−SOH;または−SONHRdであってもよいし、前記Rdは、エチル基で置換されたヘキシル基;またはエチル基で置換もしくは非置換のプロピル基であってもよい。 According to one embodiment of the present specification, the R 7 to R 11, equal to or different from each other, each independently, hydrogen; -SO 3 -; may be or -SO 2 NHRd; -SO 3 H However, the Rd may be an ethyl group-substituted hexyl group; or an ethyl group-substituted or unsubstituted propyl group.

また、R12は、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;および窒素原子を含む二無水物基からなる群より選択されてもよい。 In addition, R 12 is hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl having 6 to 30 carbon atoms. It may be selected from the group consisting of a group; a substituted or unsubstituted monocyclic or polycyclic heteroaryl group having 2 to 30 carbon atoms; and a dianhydride group containing a nitrogen atom.

本明細書のもう一つの実施態様によれば、R12は、水素;重水素;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基;および窒素原子を含む二無水物基からなる群より選択されてもよい。 According to another embodiment herein, R 12 is hydrogen; deuterium; substituted or unsubstituted carbon atoms, alkyl group of straight or branched chain substituted or unsubstituted C 1-20 Selected from the group consisting of 6-20 monocyclic or polycyclic aryl groups; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 20 carbon atoms; and dianhydride groups containing nitrogen atoms. May be good.

本明細書のもう一つの実施態様によれば、R12は、水素;重水素;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基;および窒素原子を含む二無水物基からなる群より選択されてもよい。 According to another embodiment herein, R 12 is hydrogen; deuterium, alkyl group substituted or unsubstituted straight or branched chain having 1 to 10 carbon atoms; a substituted or unsubstituted carbon atoms Selected from the group consisting of 6-12 monocyclic or polycyclic aryl groups; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 10 carbon atoms; and dianhydride groups containing nitrogen atoms. May be good.

本明細書のもう一つの実施態様によれば、R12は、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;または窒素原子を含む二無水物基であってもよい。 According to another embodiment herein, R 12 represents an alkyl group of straight or branched chain having 1 to 10 carbon atoms substituted or unsubstituted; a dianhydride group containing or nitrogen atom May be good.

本明細書のもう一つの実施態様によれば、R12は、置換もしくは非置換のメチル基;置換もしくは非置換のエチル基;置換もしくは非置換のプロピル基;置換もしくは非置換のイソプロピル基;置換もしくは非置換のイソブチル基;または窒素原子を含む二無水物基であってもよい。 According to another embodiment herein, R 12 represents a substituted or unsubstituted methyl group; a substituted or unsubstituted ethyl group; a substituted or unsubstituted propyl group; a substituted or unsubstituted isopropyl, substituted Alternatively, it may be an unsubstituted isobutyl group; or a dianhydride group containing a nitrogen atom.

本明細書のもう一つの実施態様によれば、R12は、メチル基;エチル基;プロピル基;イソプロピル基;イソブチル基;または窒素原子を含む二無水物基であってもよい。 According to another embodiment herein, R 12 is a methyl group; or a dianhydride group containing or nitrogen atom; ethyl; propyl; isopropyl; isobutyl.

また、R13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であってもよい。 Further, R 13 and R 14 may be the same or different from each other, and may be independently substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms.

前記R13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基を有する場合、赤色波長領域の光を発することができ、前記化学式1の構造で表される化合物を含む感光材でカラーフィルタを製造する場合、所望の色を選択的に実現することができる。 The R 13 and R 14 may emit light in the red wavelength region when they are the same or different from each other and independently have a substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms. When a color filter is produced from a photosensitive material containing a compound represented by the structure of the chemical formula 1, a desired color can be selectively realized.

具体的には、前記R13およびR14がアルキル基の場合、前記化学式1の化合物を含む感光材の最大吸収波長(λmax)が550〜580nmの領域に存在し、600nm以上における透過度に優れて赤色波長領域の色を実現しやすい。 Specifically, when R 13 and R 14 are alkyl groups, the maximum absorption wavelength (λmax) of the photosensitive material containing the compound of the chemical formula 1 exists in the region of 550 to 580 nm, and the transmittance is excellent at 600 nm or more. It is easy to realize colors in the red wavelength region.

本明細書のもう一つの実施態様によれば、R13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基であってもよい。 According to another embodiment of the specification, R 13 and R 14 are the same or different from each other and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 20 carbon atoms. There may be.

本明細書のもう一つの実施態様によれば、R13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基であってもよい。 According to another embodiment of the specification, R 13 and R 14 are the same or different from each other and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms. There may be.

本明細書のもう一つの実施態様によれば、R13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換のメチル基;置換もしくは非置換のエチル基;置換もしくは非置換のプロピル基;または置換もしくは非置換のイソプロピル基であってもよい。 According to another embodiment of the specification, R 13 and R 14 are the same or different from each other and independently of each other, substituted or unsubstituted methyl group; substituted or unsubstituted ethyl group; substituted or unsubstituted. It may be a propyl group; or a substituted or unsubstituted isopropyl group.

本明細書のもう一つの実施態様によれば、R13およびR14は、互いに同一または異なり、それぞれ独立に、メチル基;エチル基;−OHで置換されたエチル基;プロピル基;またはイソプロピル基であってもよい。 According to another embodiment of the specification, R 13 and R 14 are the same or different from each other and independently of each other, a methyl group; an ethyl group; an ethyl group substituted with −OH; a propyl group; or an isopropyl group. It may be.

また、R15およびR16は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜30の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロ環を形成してもよい。 In addition, R 15 and R 16 are the same or different from each other, and independently, hydrogen; hydrocarbon; -OH; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or non-substituted. Selected from the group consisting of substituted monocyclic or polycyclic aryl groups with 6 to 30 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups with 2 to 30 carbon atoms, or bonded to each other. A substituted or unsubstituted monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 30 carbon atoms; or a substituted or unsubstituted monocyclic or polycyclic heterocycle having 2 to 30 carbon atoms may be formed. ..

本明細書のもう一つの実施態様によれば、R15およびR16は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基からなる群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜20の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロ環を形成してもよい。 According to another embodiment of the present specification, R 15 and R 16 are the same or different from each other, and independently, hydrogen; hydrocarbon; -OH; substituted or unsubstituted linear having 1 to 20 carbon atoms. Alternatively, from branched alkyl groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 20 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 20 carbon atoms. A monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 20 carbon atoms substituted or unsubstituted or bonded to each other; or a monocyclic ring having 2 to 20 carbon atoms substituted or unsubstituted or Polycyclic heterocycles may be formed.

本明細書のもう一つの実施態様によれば、R15およびR16は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基からなる群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜12の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロ環を形成してもよい。 According to another embodiment of the present specification, R 15 and R 16 are the same or different from each other, and independently, hydrogen; hydrocarbon; -OH; substituted or unsubstituted linear having 1 to 10 carbon atoms. Alternatively, from branched alkyl groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 12 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 10 carbon atoms. A monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 12 carbon atoms substituted or unsubstituted or bonded to each other; or a monocyclic ring having 2 to 10 carbon atoms substituted or unsubstituted or Polycyclic heterocycles may be formed.

本明細書のもう一つの実施態様によれば、R15およびR16は、互いに結合して置換もしくは非置換の炭素数6〜12の単環芳香族炭化水素環を形成してもよい。 According to another embodiment of the present specification, R 15 and R 16 may be bonded to each other to form a substituted or unsubstituted monocyclic aromatic hydrocarbon ring having 6 to 12 carbon atoms.

本明細書のもう一つの実施態様によれば、R15およびR16は、互いに結合して置換もしくは非置換のベンゼン環を形成してもよい。 According to another embodiment of the specification, R 15 and R 16 may combine with each other to form a substituted or unsubstituted benzene ring.

本明細書のもう一つの実施態様によれば、R15およびR16は、互いに結合してメチル基で置換もしくは非置換のベンゼン環を形成してもよい。 According to another embodiment of the present specification, R 15 and R 16 may be bonded to each other to form a methyl group substituted or unsubstituted benzene ring.

また、LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数2〜30の直鎖もしくは分枝鎖のアルキレン基;−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;および−L−CONH−L−からなる群より選択され、
およびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキレン基であってもよい。
Further, L 1 and L 2 are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkylene groups having 2 to 30 carbon atoms; −L 3 −OL 4 −; −. L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; - L 3 -COO-L 4 -; - L 3 -OCO-L 4 -; - L 3 -NHCO-L 4 -; and -L 3 -CONH-L 4 - is selected from the group consisting of,
L 3 and L 4 may be the same or different from each other and may be substituted or unsubstituted linear or branched alkylene groups having 1 to 30 carbon atoms.

前記LおよびLが互いに同一または異なり、それぞれ独立に、−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;または−L−CONH−L−であり、LおよびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキレン基の場合と、前記LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数2〜30の直鎖もしくは分枝鎖のアルキレン基の場合は、同等または類似の効果を有することができる。 Wherein L 1 and L 2 equal to or different from each other, each independently, -L 3 -O-L 4 - ; - L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 - CO-L 4 -; - L 3 -COO-L 4 -; - L 3 -OCO-L 4 -; - L 3 -NHCO-L 4 -; or -L 3 -CONH-L 4 - a and, L 3 and L 4 are the same or different from each other, and the case of a linear or branched alkylene group having 1 to 30 carbon atoms substituted or unsubstituted, and the above L 1 and L 2 are the same or different from each other and are independent of each other. In addition, in the case of a linear or branched alkylene group having 2 to 30 carbon atoms which is substituted or unsubstituted, the same or similar effect can be obtained.

これは、前記LおよびLが−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;または−L−CONH−L−の場合に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキレン基であるLおよびLを含むからである。 This is the L 1 and L 2 -L 3 -O-L 4 -; - L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; -L 3 -COO-L 4 -; - L 3 -OCO-L 4 -; - L 3 -NHCO-L 4 -; or -L 3 -CONH-L 4 - in the case of a substituted or unsubstituted carbon This is because it contains L 3 and L 4 which are linear or branched alkylene groups of numbers 1 to 30.

本明細書の一実施態様において、LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数2〜20の直鎖もしくは分枝鎖のアルキレン基;−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;および−L−CONH−L−からなる群より選択され、
およびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキレン基であってもよい。
In one embodiment of the present specification, L 1 and L 2 are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkylene groups having 2 to 20 carbon atoms; −L 3−. O-L 4 -; - L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; - L 3 -COO-L 4 -; - L 3 - OCO-L 4 -; - L 3 -NHCO-L 4 -; and -L 3 -CONH-L 4 - is selected from the group consisting of,
L 3 and L 4 may be the same or different from each other and may be substituted or unsubstituted linear or branched alkylene groups having 1 to 20 carbon atoms.

本明細書の一実施態様において、LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数2〜10の直鎖もしくは分枝鎖のアルキレン基;−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;および−L−CONH−L−からなる群より選択され、
およびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキレン基であってもよい。
In one embodiment of the specification, L 1 and L 2 are the same or different from each other and are independently substituted or unsubstituted linear or branched alkylene groups having 2 to 10 carbon atoms; −L 3−. O-L 4 -; - L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; - L 3 -COO-L 4 -; - L 3 - OCO-L 4 -; - L 3 -NHCO-L 4 -; and -L 3 -CONH-L 4 - is selected from the group consisting of,
L 3 and L 4 may be the same or different from each other and may be substituted or unsubstituted linear or branched alkylene groups having 1 to 10 carbon atoms.

本明細書の一実施態様において、LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数2〜10の直鎖もしくは分枝鎖のアルキレン基であってもよい。 In one embodiment of the present specification, L 1 and L 2 may be the same or different from each other, and may be independently substituted or unsubstituted linear or branched alkylene groups having 2 to 10 carbon atoms. ..

本明細書の一実施態様において、LおよびLは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換のエチレン基;または置換もしくは非置換のプロピレン基であってもよい。 In one embodiment of the specification, L 1 and L 2 may be the same or different from each other and independently be substituted or unsubstituted ethylene groups; or substituted or unsubstituted propylene groups.

本明細書の一実施態様において、LおよびLは、互いに同一または異なり、それぞれ独立に、エチレン基;またはプロピレン基であってもよい。 In one embodiment of the specification, L 1 and L 2 may be the same or different from each other and may be independently ethylene groups; or propylene groups.

また、本明細書の一実施態様において、aは、0または1の整数である。 Further, in one embodiment of the present specification, a is an integer of 0 or 1.

本明細書のもう一つの実施態様において、aは、0である。 In another embodiment of the specification, a is 0.

本明細書のもう一つの実施態様において、aは、1である。 In another embodiment of the specification, a is 1.

また、本明細書の一実施態様において、Xは、陰イオン性基である。 Also, in one embodiment of the specification, X is an anionic group.

本明細書の一実施態様において、前記Xは、タングステン、モリブデン、ケイ素、およびリンからなる群より選択される少なくとも1個の元素と酸素とを含む化合物の陰イオン;ホウ素を含む陰イオン;スルホン酸基を含む陰イオン;およびハロゲン基を含む陰イオンからなる群より選択されるものであってもよい。 In one embodiment of the specification, the X is an anion of a compound containing oxygen and at least one element selected from the group consisting of tungsten, molybdenum, silicon, and phosphorus; an anion containing boron; It may be selected from the group consisting of anions containing an acid group; and anions containing a halogen group.

本明細書の一実施態様において、前記Xは、タングステン、モリブデン、ケイ素、およびリンからなる群より選択される少なくとも1個の元素と酸素とを含む化合物の陰イオン;トリフルオルメタンスルホン酸陰イオン;ビス(トリフルオロメチルスルホニル)アミド陰イオン;ビストリフルオルメタンスルホンイミド陰イオン;ビスパーフルオルエチルスルホンイミド陰イオン;テトラフェニルボレート陰イオン;テトラキス(4−フルオロフェニル)ボレート;テトラキス(ペンタフルオロフェニル)ボレート;トリストリフルオロメタンスルホニルメチド;およびハロゲン基からなる群より選択されてもよい。 In one embodiment of the specification, the X is an anion of a compound containing oxygen and at least one element selected from the group consisting of tungsten, molybdenum, silicon, and phosphorus; a trifluolmethanesulfonic acid anion. Bis (trifluoromethylsulfonyl) amide anion; bistrifluolmethanesulfonimide anion; bisperfluoroethylsulfonimide anion; tetraphenylborate anion; tetrakis (4-fluorophenyl) borate; tetrakis (pentafluoro) It may be selected from the group consisting of phenyl) borate; tristrifluoromethanesulfonylmethide; and halogen groups.

本明細書の一実施態様において、前記化学式1は、下記の構造で表されてもよいし、下記の構造は、前記化学式1の異性体を示すものであり、前記化学式1が代表構造を示す。異性体とは、分子式は同じであるが、互いに異なる物理/化学的性質を有する分子を意味する。

Figure 0006828923
前記構造において、R〜R16、L、L、aおよびXは、前記化学式1で定義した通りである。 In one embodiment of the present specification, the chemical formula 1 may be represented by the following structure, the following structure represents an isomer of the chemical formula 1, and the chemical formula 1 represents a representative structure. .. An isomer means a molecule having the same molecular formula but having different physical / chemical properties from each other.
Figure 0006828923
In the structure, R 1 to R 16 , L 1 , L 2 , a and X are as defined in Chemical Formula 1.

本明細書のもう一つの実施態様によれば、前記化学式1は、下記化学式2で表される。
[化学式2]

Figure 0006828923
前記化学式2において、
〜R11、R13〜R16、L、L、aおよびXは、前記化学式1で定義した通りであり、
17およびR18は、互いに同一または異なり、それぞれ独立に、水素;重水素;ヒドロキシ基;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基を含む群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜30の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロ環を形成する。 According to another embodiment of the present specification, the chemical formula 1 is represented by the following chemical formula 2.
[Chemical formula 2]
Figure 0006828923
In the chemical formula 2,
R 1 to R 11 , R 13 to R 16 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 17 and R 18 are identical or different from each other, and independently of each other, hydrogen; hydrocarbons; hydroxy groups; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted. Selected from the group containing monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms, or bonded to each other and substituted. Alternatively, an unsubstituted monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 30 carbon atoms; or a substituted or unsubstituted monocyclic or polycyclic heterocycle having 2 to 30 carbon atoms is formed.

本明細書の一実施態様において、R17およびR18は、互いに同一または異なり、それぞれ独立に、水素;重水素;ヒドロキシ基;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基を含む群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜20の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロ環を形成する。 In one embodiment of the specification, R 17 and R 18 are identical or different from each other and independently of hydrogen; hydrocarbons; hydroxy groups; substituted or unsubstituted linear or branched chains having 1 to 20 carbon atoms. Alkyl groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 20 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic aryl groups having 2 to 20 carbon atoms selected from the group containing A monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 20 carbon atoms substituted or unsubstituted by binding to each other; or a monocyclic or polycyclic heterocyclic ring having 2 to 20 carbon atoms substituted or unsubstituted. Form a ring.

本明細書の一実施態様において、R17およびR18は、互いに同一または異なり、それぞれ独立に、水素;重水素;ヒドロキシ基;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基を含む群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜12の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロ環を形成する。 In one embodiment of the specification, R 17 and R 18 are identical or different from each other and independently of hydrogen; hydrocarbons; hydroxy groups; substituted or unsubstituted linear or branched chains having 1 to 10 carbon atoms. Alkyl groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 12 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic aryl groups having 2 to 10 carbon atoms selected from the group containing A monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 12 carbon atoms substituted or unsubstituted by binding to each other; or a monocyclic or polycyclic heterocyclic ring having 2 to 10 carbon atoms substituted or unsubstituted. Form a ring.

本明細書の一実施態様において、R17およびR18は、互いに結合して置換もしくは非置換の炭素数6〜12の単環芳香族炭化水素環を形成してもよい。 In one embodiment of the present specification, R 17 and R 18 may be bonded to each other to form a substituted or unsubstituted monocyclic aromatic hydrocarbon ring having 6 to 12 carbon atoms.

本明細書のもう一つの実施態様によれば、R17およびR18は、互いに結合して置換もしくは非置換のベンゼン環を形成してもよい。 According to another embodiment of the specification, R 17 and R 18 may combine with each other to form a substituted or unsubstituted benzene ring.

本明細書のもう一つの実施態様によれば、R17およびR18は、互いに結合してメチル基で置換もしくは非置換のベンゼン環を形成してもよい。 According to another embodiment of the present specification, R 17 and R 18 may be bonded to each other to form a methyl group substituted or unsubstituted benzene ring.

また、本明細書の他の実施態様によれば、前記化学式1は、下記化学式3で表される。
[化学式3]

Figure 0006828923
前記化学式3において、
〜R14、L、L、aおよびXは、前記化学式1で定義した通りであり、
19は、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、mが2以上の場合、R19は、互いに同一または異なる。 Further, according to another embodiment of the present specification, the chemical formula 1 is represented by the following chemical formula 3.
[Chemical formula 3]
Figure 0006828923
In the chemical formula 3,
R 1 to R 14 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 19 is hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted alkoxy group having 1 to 30 carbon atoms; substituted or unsubstituted carbon. Monocyclic or polycyclic aryl group of number 6 to 30; substituted or unsubstituted monocyclic or polycyclic heteroaryl group having 2 to 30 carbon atoms; halogen group; nitro group; -COOH; -OH; sulfonic acid group Selected from the group consisting of sulfonic acid ester groups; sulfonic acid bases; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd are linear or branched alkyl groups having 1 to 30 carbon atoms, which are identical or different from each other and are independently substituted or unsubstituted.
m is an integer of 1 to 4, and when m is 2 or more, R 19 is the same as or different from each other.

本明細書のもう一つの実施態様において、R19は、水素;重水素;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜20のアルコキシ基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、mが2以上の場合、R19は、互いに同一または異なる。
In another embodiment of the specification, R 19 is hydrogen; dehydrogen; substituted or unsubstituted linear or branched alkyl groups with 1 to 20 carbon atoms; substituted or unsubstituted carbon atoms 1 to 20. 20 alkoxy groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 20 carbon atoms; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 20 carbon atoms; halogen groups; nitro Groups; -COOH; -OH; sulfonic acid groups; sulfonic acid ester groups; sulfonic acid bases; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd are the same or different, independently substituted or substituted linear or branched alkyl groups having 1 to 20 carbon atoms.
m is an integer of 1 to 4, and when m is 2 or more, R 19 is the same as or different from each other.

本明細書のもう一つの実施態様において、R19は、水素;重水素;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜10のアルコキシ基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、mが2以上の場合、R19は、互いに同一または異なる。
In another embodiment of the specification, R 19 is hydrogen; dehydrogen; substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms; substituted or unsubstituted carbon atoms 1 to 10. 10 alkoxy groups; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 12 carbon atoms; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 10 carbon atoms; halogen groups; nitro Groups; -COOH; -OH; sulfonic acid groups; sulfonic acid ester groups; sulfonic acid bases; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd are the same or different, independently substituted or substituted linear or branched alkyl groups having 1 to 10 carbon atoms.
m is an integer of 1 to 4, and when m is 2 or more, R 19 is the same as or different from each other.

本明細書のもう一つの実施態様によれば、R19は、水素;または置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基である。 According to another embodiment of the present specification, R 19 is a hydrogen; or a substituted or unsubstituted linear or branched alkyl group having 1 to 10 carbon atoms.

本明細書のもう一つの実施態様によれば、R19は、水素;または置換もしくは非置換のメチル基である。 According to another embodiment of the specification, R 19 is a hydrogen; or a substituted or unsubstituted methyl group.

本明細書のもう一つの実施態様によれば、R19は、水素;またはメチル基である。 According to another embodiment herein, R 19 is hydrogen; or methyl group.

本明細書の一実施態様において、mは、1〜4の整数であってもよいし、mが2以上の場合、R19は、互いに同一または異なっていてもよい。 In one embodiment of the present specification, m may be an integer of 1 to 4, and when m is 2 or more, R 19 may be the same or different from each other.

本明細書の一実施態様において、mは、1〜3の整数であってもよいし、mが2以上の場合、R19は、互いに同一または異なっていてもよい。 In one embodiment of the present specification, m may be an integer of 1 to 3, and when m is 2 or more, R 19 may be the same or different from each other.

本明細書の一実施態様において、mは、1〜2の整数であってもよいし、mが2の場合、R19は、互いに同一または異なっていてもよい。 In one embodiment of the present specification, m may be an integer from 1-2, when m is 2, R 19 may be the same or different from each other.

本明細書の一実施態様において、mは、1であってもよい。 In one embodiment of the specification, m may be 1.

また、本明細書のもう一つの実施態様によれば、前記化学式1は、下記化学式4で表される。
[化学式4]

Figure 0006828923
前記化学式4において、
〜R11、R13、R14、L、L、aおよびXは、前記化学式1で定義した通りであり、
19およびR20は、互いに同一または異なり、それぞれ独立に、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、
nは、1〜4の整数であり、
mが2以上の場合、R19は、互いに同一または異なり、
nが2以上の場合、R20は、互いに同一または異なる。 Further, according to another embodiment of the present specification, the chemical formula 1 is represented by the following chemical formula 4.
[Chemical formula 4]
Figure 0006828923
In the chemical formula 4,
R 1 to R 11 , R 13 , R 14 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 19 and R 20 are the same or different from each other, and independently of each other, hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted carbon number 1 Alkyl groups to 30; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms; halogen groups; Selected from the group consisting of nitro group; -COOH; -OH; sulfonic acid group; sulfonic acid ester group; sulfonic acid base; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd are linear or branched alkyl groups having 1 to 30 carbon atoms, which are identical or different from each other and are independently substituted or unsubstituted.
m is an integer of 1 to 4 and
n is an integer of 1 to 4 and
When m is 2 or more, R 19 are the same or different from each other.
When n is 2 or more, R 20s are the same or different from each other.

本明細書の一実施態様において、R19およびR20は、互いに同一または異なり、それぞれ独立に、水素;重水素;置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜20のアルコキシ基;置換もしくは非置換の炭素数6〜20の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜20の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜20の直鎖もしくは分枝鎖のアルキル基であってもよい。
In one embodiment of the specification, R 19 and R 20 are the same or different from each other and are independent of each other, hydrogen; heavy hydrogen; substituted or unsubstituted, linear or branched alkyl groups having 1 to 20 carbon atoms. A substituted or unsubstituted alkoxy group having 1 to 20 carbon atoms; a substituted or unsubstituted monocyclic or polycyclic aryl group having 6 to 20 carbon atoms; a substituted or unsubstituted monocyclic or polycyclic group having 2 to 20 carbon atoms. Selected from the group consisting of heteroaryl groups of rings; halogen groups; nitro groups; -COOH; -OH; sulfonic acid groups; sulfonic acid ester groups; sulfonic acid bases; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd may be the same or different from each other, and may be substituted or unsubstituted linear or branched alkyl groups having 1 to 20 carbon atoms, respectively.

本明細書の一実施態様において、R19およびR20は、互いに同一または異なり、それぞれ独立に、水素;重水素;置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜10のアルコキシ基;置換もしくは非置換の炭素数6〜12の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜10の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基であってもよい。
In one embodiment of the specification, R 19 and R 20 are the same or different from each other and independently of each other, hydrogen; heavy hydrogen; substituted or unsubstituted, linear or branched alkyl groups having 1 to 10 carbon atoms. An alkoxy group having 1 to 10 carbon atoms substituted or unsubstituted; a monocyclic or polycyclic aryl group having 6 to 12 carbon atoms substituted or unsubstituted; a monocyclic or polycyclic or polycyclic group having 2 to 10 carbon atoms substituted or unsubstituted. Selected from the group consisting of heteroaryl groups of rings; halogen groups; nitro groups; -COOH; -OH; sulfonic acid groups; sulfonic acid ester groups; sulfonic acid bases; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd may be the same or different from each other, and may be substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms, respectively.

本明細書の一実施態様において、R19およびR20は、互いに同一または異なり、それぞれ独立に、水素;または置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基である。 In one embodiment of the specification, R 19 and R 20 are hydrogen; or substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms, which are the same or different from each other and are independent of each other. ..

本明細書のもう一つの実施態様によれば、R19およびR20は、水素;または置換もしくは非置換のメチル基である。 According to another embodiment of the specification, R 19 and R 20 are hydrogen; or substituted or unsubstituted methyl groups.

本明細書のもう一つの実施態様によれば、R19およびR20は、水素;またはメチル基である。 According to another embodiment of the specification, R 19 and R 20 are hydrogen; or methyl groups.

また、前記化学式1で表される化合物は、下記化学式のうちのいずれか1つで表されてもよいが、必ずしもこれに限定されるものではない。

Figure 0006828923
Figure 0006828923
Figure 0006828923
前記化学式中、Xは、前記化学式1で定義した通りである。 Further, the compound represented by the chemical formula 1 may be represented by any one of the following chemical formulas, but the compound is not necessarily limited to this.
Figure 0006828923
Figure 0006828923
Figure 0006828923
In the chemical formula, X is as defined in the chemical formula 1.

本明細書の一実施態様において、前記化学式1で表される化合物は、557nm〜570nmの最大吸収波長を有する。具体的には、前記化学式1で表される化合物は、557nm〜560nmの最大吸収波長を有する。 In one embodiment of the specification, the compound represented by Chemical Formula 1 has a maximum absorption wavelength of 557 nm to 570 nm. Specifically, the compound represented by the chemical formula 1 has a maximum absorption wavelength of 557 nm to 560 nm.

本明細書に係る化合物が前記範囲の最大吸収波長を満足する場合、レッド(Red)カラーフィルタ適用時、より少量で色座標を実現することができる。 When the compound according to the present specification satisfies the maximum absorption wavelength in the above range, color coordinates can be realized in a smaller amount when a red color filter is applied.

前記最大吸収波長は、前記化合物を、25℃、プロピレングリコールモノメチルエーテルアセテート(PGMEA)に10−5molの濃度で溶解させ、UV−visble spectrometer(SCINCO社)を用いて測定することができる。 The maximum absorption wavelength can be measured by dissolving the compound in propylene glycol monomethyl ether acetate (PGMEA) at a concentration of 10-5 mol at 25 ° C. and using a UV-visible spectrometer (SCINCO).

また、本明細書の一実施態様は、前記化合物を含む色材組成物を提供する。 Moreover, one embodiment of this specification provides a color material composition containing the said compound.

さらに、本明細書の一実施態様によれば、前記色材組成物は、染料および顔料のうちの少なくとも1つをさらに含んでもよい。 Further, according to one embodiment of the specification, the colorant composition may further comprise at least one of a dye and a pigment.

例えば、前記色材組成物は、前記化学式1の化合物のみを含んでもよいが、前記化学式1の化合物と1種以上の染料とを含むか、前記化学式1の化合物と1種以上の顔料とを含むか、前記化学式1の化合物、1種以上の染料、および1種以上の顔料を含んでもよい。 For example, the coloring material composition may contain only the compound of the chemical formula 1, but may contain the compound of the chemical formula 1 and one or more dyes, or the compound of the chemical formula 1 and one or more pigments. It may contain the compound of the above chemical formula 1, one or more dyes, and one or more pigments.

前記染料および顔料は、金属−複合体(metal−complex)系化合物;アゾ(azo)系化合物;金属アゾ(metal azo)系化合物;キノフタロン(quinophthalone)系化合物;イソインドリン(isoindoline)系化合物;メチン(Methine)系化合物;フタロシアニン(phthalocyanine)系化合物;金属フタロシアニン(metal phthalocyanine)系化合物;ポルフィリン(porphyrin)系化合物;金属ポルフィリン(metal porphyrin)系化合物;テトラアザポルフィリン(tetra aza porphyrin)系化合物;金属テトラアザポルフィリン(metal tetra aza porphyrin)系化合物;シアニン(Cyanine)系化合物;キサンテン(Xanthene)系化合物;金属ジピロメテン(metal dipyrromethane)系化合物;ボロンジピロメテン(boron dipyrromethane)系化合物;アントラキノン(anthraquinone)系化合物;ジケトピロロピロール(diketopyrrolopyrrole)系化合物;トリアリールメタン(triarylmethane)系化合物;およびペリレン(perylene)系化合物からなる群より1種以上選択されてもよい。 The dyes and pigments are metal-complex-based compounds; azo-based compounds; metal-azo-based compounds; quinophothalone-based compounds; isoindoline-based compounds; methine. (Methine) -based compounds; phthalocyanine-based compounds; metal phthalocyanine-based compounds; porphyrin-based compounds; metal porphyrin-based compounds; tetraazaporphyrin-based compounds; tetraazaporphyrin-based compounds; Tetra azaporphyrin (metal terra aza porphyrin) -based compounds; cyanine-based compounds; Xanthene-based compounds; metal dipyrromethane-based compounds; boron dipyrromethene-based compounds. Compounds; one or more may be selected from the group consisting of diketopyrrolopyrrole-based compounds; triarylmethane-based compounds; and perylene-based compounds.

本明細書の一実施態様によれば、前記化学式1の化合物以外にさらに含まれる顔料は、例えば、R254(Pigment Red254)であってもよいが、これに限定されるものではない。前記R254は、ミルベース(Millbase)状態であって、市販のものでもよい。前記ミルベースとは、溶けない色材を、分散剤とバインダーなどを入れて、組成物上でよく分散させておいた状態を意味する。 According to one embodiment of the present specification, the pigment further contained in addition to the compound of Chemical Formula 1 may be, for example, R254 (Pigment Red254), but is not limited thereto. The R254 is in a Millbase state and may be commercially available. The mill base means a state in which an insoluble coloring material is well dispersed on the composition by adding a dispersant, a binder and the like.

本明細書の一実施態様は、前記色材組成物を含む感光性樹脂組成物を提供する。 One embodiment of the present specification provides a photosensitive resin composition containing the colorant composition.

本明細書の一実施態様によれば、前記感光性樹脂組成物は、前記化学式1で表される化合物;バインダー樹脂;多官能性モノマー;光開始剤;および溶媒を含む。 According to one embodiment of the present specification, the photosensitive resin composition comprises the compound represented by the chemical formula 1; a binder resin; a polyfunctional monomer; a photoinitiator; and a solvent.

前記感光性樹脂組成物は、前記顔料をさらに含んでもよい。 The photosensitive resin composition may further contain the pigment.

前記バインダー樹脂は、感光性樹脂組成物で製造された膜の強度、現像性などの物性を示すことができれば、特に限定しない。 The binder resin is not particularly limited as long as it can exhibit physical properties such as strength and developability of the film produced by the photosensitive resin composition.

前記バインダー樹脂は、膜の機械的強度を付与する多官能性モノマーとアルカリ溶解性を付与するモノマーとの共重合樹脂を用いることができ、当技術分野で一般的に使用するバインダーをさらに含んでもよい。 As the binder resin, a copolymer resin of a polyfunctional monomer that imparts mechanical strength of the film and a monomer that imparts alkali solubility can be used, and even if a binder generally used in the art is included. Good.

前記膜の機械的強度を付与する多官能性モノマーは、不飽和カルボン酸エステル類;芳香族ビニル類;不飽和エーテル類;不飽和イミド類;および酸無水物のうちのいずれか1つ以上であってもよい。 The polyfunctional monomer that imparts the mechanical strength of the film is any one or more of unsaturated carboxylic acid esters; aromatic vinyls; unsaturated ethers; unsaturated imides; and acid anhydrides. There may be.

前記不飽和カルボン酸エステル類の具体例としては、ベンジル(メタ)アクリレート、メチル(メタ)アクリレート、エチル(メタ)アクリレート、ブチル(メタ)アクリレート、ジメチルアミノエチル(メタ)アクリレート、イソブチル(メタ)アクリレート、t−ブチル(メタ)アクリレート、シクロヘキシル(メタ)アクリレート、イソボルニル(メタ)アクリレート、エチルヘキシル(メタ)アクリレート、2−フェノキシエチル(メタ)アクリレート、テトラヒドロフルフリル(メタ)アクリレート、ヒドロキシエチル(メタ)アクリレート、2−ヒドロキシプロピル(メタ)アクリレート、2−ヒドロキシ−3−クロロプロピル(メタ)アクリレート、4−ヒドロキシブチル(メタ)アクリレート、アシルオクチルオキシ−2−ヒドロキシプロピル(メタ)アクリレート、グリセロール(メタ)アクリレート、2−メトキシエチル(メタ)アクリレート、3−メトキシブチル(メタ)アクリレート、エトキシジエチレングリコール(メタ)アクリレート、メトキシトリエチレングリコール(メタ)アクリレート、メトキシトリプロピレングリコール(メタ)アクリレート、ポリ(エチレングリコール)メチルエーテル(メタ)アクリレート、フェノキシジエチレングリコール(メタ)アクリレート、p−ノニルフェノキシポリエチレングリコール(メタ)アクリレート、p−ノニルフェノキシポリプロピレングリコール(メタ)アクリレート、グリシジル(メタ)アクリレート、テトラフルオロプロピル(メタ)アクリレート、1,1,1,3,3,3−ヘキサフルオロイソプロピル(メタ)アクリレート、オクタフルオロペンチル(メタ)アクリレート、ヘプタデカフルオロデシル(メタ)アクリレート、トリブロモフェニル(メタ)アクリレート、メチルα−ヒドロキシメチルアクリレート、エチルα−ヒドロキシメチルアクリレート、プロピルα−ヒドロキシメチルアクリレート、およびブチルα−ヒドロキシメチルアクリレートからなるグループより選択されてもよいが、これらにのみ限定されるものではない。 Specific examples of the unsaturated carboxylic acid esters include benzyl (meth) acrylate, methyl (meth) acrylate, ethyl (meth) acrylate, butyl (meth) acrylate, dimethylaminoethyl (meth) acrylate, and isobutyl (meth) acrylate. , T-butyl (meth) acrylate, cyclohexyl (meth) acrylate, isobornyl (meth) acrylate, ethylhexyl (meth) acrylate, 2-phenoxyethyl (meth) acrylate, tetrahydrofurfuryl (meth) acrylate, hydroxyethyl (meth) acrylate , 2-Hydroxypropyl (meth) acrylate, 2-hydroxy-3-chloropropyl (meth) acrylate, 4-hydroxybutyl (meth) acrylate, acyloctyloxy-2-hydroxypropyl (meth) acrylate, glycerol (meth) acrylate , 2-methoxyethyl (meth) acrylate, 3-methoxybutyl (meth) acrylate, ethoxydiethylene glycol (meth) acrylate, methoxytriethylene glycol (meth) acrylate, methoxytripropylene glycol (meth) acrylate, poly (ethylene glycol) methyl Ether (meth) acrylate, phenoxydiethylene glycol (meth) acrylate, p-nonylphenoxypolyethylene glycol (meth) acrylate, p-nonylphenoxypolypropylene glycol (meth) acrylate, glycidyl (meth) acrylate, tetrafluoropropyl (meth) acrylate, 1, , 1,1,3,3,3-hexafluoroisopropyl (meth) acrylate, octafluoropentyl (meth) acrylate, heptadecafluorodecyl (meth) acrylate, tribromophenyl (meth) acrylate, methyl α-hydroxymethyl acrylate , Ethyl α-hydroxymethyl acrylate, propyl α-hydroxymethyl acrylate, and butyl α-hydroxymethyl acrylate may be selected from the group, but is not limited thereto.

前記芳香族ビニル類の具体例としては、スチレン、α−メチルスチレン、(o,m,p)−ビニルトルエン、(o,m,p)−メトキシスチレン、および(o,m,p)−クロロスチレンからなるグループより選択されてもよいが、これらにのみ限定されるものではない。 Specific examples of the aromatic vinyls include styrene, α-methylstyrene, (o, m, p) -vinyltoluene, (o, m, p) -methoxystyrene, and (o, m, p) -chloro. It may be selected from the group consisting of styrene, but is not limited to these.

前記不飽和エーテル類の具体例としては、ビニルメチルエーテル、ビニルエチルエーテル、およびアリルグリシジルエーテルからなるグループより選択されてもよいが、これらにのみ限定されるものではない。 Specific examples of the unsaturated ethers may be selected from the group consisting of vinyl methyl ether, vinyl ethyl ether, and allyl glycidyl ether, but are not limited thereto.

前記不飽和イミド類の具体例としては、N−フェニルマレイミド、N−(4−クロロフェニル)マレイミド、N−(4−ヒドロキシフェニル)マレイミド、およびN−シクロヘキシルマレイミドからなるグループより選択されてもよいが、これらにのみ限定されるものではない。 Specific examples of the unsaturated imides may be selected from the group consisting of N-phenylmaleimide, N- (4-chlorophenyl) maleimide, N- (4-hydroxyphenyl) maleimide, and N-cyclohexylmaleimide. , Not limited to these.

前記酸無水物としては、無水マレイン酸、無水メチルマレイン酸、テトラヒドロフタル酸無水物などがあるが、これらにのみ限定されるものではない。 Examples of the acid anhydride include, but are not limited to, maleic anhydride, methylmaleic anhydride, and tetrahydrophthalic anhydride.

前記アルカリ溶解性を付与するモノマーは、酸基を含めば特に限定されず、例えば、(メタ)アクリル酸、クロトン酸、イタコン酸、マレイン酸、フマル酸、モノメチルマレイン酸、5−ノルボルネン−2−カルボン酸、モノ−2−((メタ)アクリロイルオキシ)エチルフタレート、モノー2−((メタ)アクリロイルオキシ)エチルスクシネート、ω−カルボキシポリカプロラクトンモノ(メタ)アクリレートからなる群より選択される1種以上を使用することが好ましいが、これらにのみ限定されるものではない。 The monomer that imparts alkali solubility is not particularly limited as long as it contains an acid group, and for example, (meth) acrylic acid, crotonic acid, itaconic acid, maleic acid, fumaric acid, monomethylmaleic acid, 5-norbornene-2- 1 selected from the group consisting of carboxylic acid, mono-2-((meth) acryloyloxy) ethylphthalate, mono-2-((meth) acryloyloxy) ethylsuccinate, ω-carboxypolycaprolactone mono (meth) acrylate 1 It is preferable to use more than seeds, but it is not limited to these.

本明細書の一実施態様によれば、前記バインダー樹脂の酸価は、50〜130KOHmg/gであり、重量平均分子量は、1,000〜50,000g/molである。 According to one embodiment of the present specification, the acid value of the binder resin is 50 to 130 KOHmg / g, and the weight average molecular weight is 1,000 to 50,000 g / mol.

前記バインダー樹脂の酸価は、0.1N濃度の水酸化カリウム(KOH)メタノール溶液で滴定して測定することができる。 The acid value of the binder resin can be measured by titrating with a solution of potassium hydroxide (KOH) methanol having a concentration of 0.1N.

本明細書の一実施態様において、前記バインダー樹脂は、質量比がそれぞれベンジルメタアクリレート;N−フェニルマレイミド;スチレン;メタクリル酸=55:9:11:25の共重合体であってもよい。 In one embodiment of the present specification, the binder resin may be a copolymer having a mass ratio of benzyl methacrylate; N-phenylmaleimide; styrene; methacrylic acid = 55: 9: 11: 25, respectively.

前記多官能性モノマーは、光によってフォトレジスト像を形成する役割を果たすモノマーであって、具体的には、プロピレングリコールメタクリレート、ジペンタエリスリトールヘキサアクリレート、ジペンタエリスリトールアクリレート、ネオペンチルグリコールジアクリレート、6−ヘキサンジオールジアクリレート、1,6−ヘキサンジオールアクリレートテトラエチレングリコールメタクリレート、ビスフェノキシエチルアルコールジアクリレート、トリスヒドロキシエチルイソシアヌレートトリメタクリレート、トリメチルプロパントリメタクリレート、ジフェニルペンタエリスリトールヘキサアクリレート、ペンタエリスリトールトリメタクリレート、ペンタエリスリトールテトラメタクリレート、およびジペンタエリスリトールヘキサメタクリレートからなるグループの中から選択される1種または2種以上の混合物であってもよい。 The polyfunctional monomer is a monomer that plays a role of forming a photoresist image by light, and specifically, propylene glycol methacrylate, dipentaerythritol hexaacrylate, dipentaerythritol acrylate, neopentyl glycol diacrylate, 6 -Hexanediol diacrylate, 1,6-hexanediol acrylate tetraethylene glycol methacrylate, bisphenoxyethyl alcohol diacrylate, trishydroxyethyl isocyanurate trimethacrylate, trimethylpropane trimethacrylate, diphenylpentaerythritol hexaacrylate, pentaerythritol trimethacrylate, penta It may be one or a mixture of two or more selected from the group consisting of erythritol tetramethacrylate and dipentaerythritol hexamethacrylate.

本明細書の一実施態様において、前記多官能性モノマーは、ジペンタエリスリトールヘキサアクリレート(Dipentaerythritol hexaacrylate)であってもよい。 In one embodiment of the present specification, the polyfunctional monomer may be dipentaerythritol hexaacrylate.

前記光開始剤は、光によってラジカルを発生させて架橋を促す開始剤であれば特に限定されないが、例えば、アセトフェノン系化合物、ビイミダゾール系化合物、トリアジン系化合物、およびオキシム系化合物からなる群より選択される1種以上であってもよい。 The photoinitiator is not particularly limited as long as it is an initiator that promotes cross-linking by generating radicals with light, but is selected from the group consisting of, for example, an acetophenone-based compound, a biimidazole-based compound, a triazine-based compound, and an oxime-based compound. It may be one or more kinds.

前記アセトフェノン系化合物は、2−ヒドロキシ−2−メチル−1−フェニルプロパン−1−オン、1−(4−イソプロピルフェニル)−2−ヒドロキシ−2−メチルプロパン−1−オン、4−(2−ヒドロキシエトキシ)−フェニル−(2−ヒドロキシ−2−プロピル)ケトン、1−ヒドロキシシクロヘキシルフェニルケトン、ベンゾインメチルエーテル、ベンゾインエチルエーテル、ベンゾインイソブチルエーテル、ベンゾインブチルエーテル、2,2−ジメトキシ−2−フェニルアセトフェノン、2−メチル−(4−メチルチオ)フェニル−2−モルホリノ−1−プロパン−1−オン、2−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−ブタン−1−オン、2−(4−ブロモ−ベンジル−2−ジメチルアミノ−1−(4−モルホリノフェニル)−ブタン−1−オン、または2−メチル−1−[4−(メチルチオ)フェニル]−2−モルホリノプロパン−1−オンなどがあり、これらに限定されない。 The acetphenone compounds are 2-hydroxy-2-methyl-1-phenylpropan-1-one, 1- (4-isopropylphenyl) -2-hydroxy-2-methylpropane-1-one, 4- (2-). Hydroxyethoxy) -phenyl- (2-hydroxy-2-propyl) ketone, 1-hydroxycyclohexylphenylketone, benzoinmethyl ether, benzoin ethyl ether, benzoin isobutyl ether, benzoin butyl ether, 2,2-dimethoxy-2-phenylacetophenone, 2-Methyl- (4-methylthio) Phenyl-2-morpholino-1-propane-1-one, 2-benzyl-2-dimethylamino-1- (4-morpholinophenyl) -butane-1-one, 2-( 4-Bromo-benzyl-2-dimethylamino-1- (4-morpholinophenyl) -butane-1-one, or 2-methyl-1- [4- (methylthio) phenyl] -2-morpholinopropane-1-one And are not limited to these.

前記ビイミダゾール系化合物としては、2,2−ビス(2−クロロフェニル)−4,4',5,5'−テトラフェニルビイミダゾール、2,2'−ビス(o−クロロフェニル)−4,4',5,5'−テトラキス(3,4,5−トリメトキシフェニル)−1,2'−ビイミダゾール、2,2'−ビス(2,3−ジクロロフェニル)−4,4',5,5'−テトラフェニルビイミダゾール、2,2'−ビス(o−クロロフェニル)−4,4,5,5'−テトラフェニル−1,2'−ビイミダゾールなどがあり、これらに限定されない。 Examples of the biimidazole compound include 2,2-bis (2-chlorophenyl) -4,4', 5,5'-tetraphenylbiimidazole and 2,2'-bis (o-chlorophenyl) -4,4'. , 5,5'-tetrakis (3,4,5-trimethoxyphenyl) -1,2'-biimidazole, 2,2'-bis (2,3-dichlorophenyl) -4,4', 5,5' -Tetraphenyl biimidazole, 2,2'-bis (o-chlorophenyl) -4,4,5,5'-tetraphenyl-1,2'-biimidazole and the like, but not limited to these.

前記トリアジン系化合物は、3−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}プロピオン酸、1,1,1,3,3,3−ヘキサフロロイソプロピル−3−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}プロピオネート、エチル−2−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}アセテート、2−エポキシエチル−2−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}アセテート、シクロヘキシル−2−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}アセテート、ベンジル−2−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}アセテート、3−{クロロ−4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}プロピオン酸、3−{4−[2,4−ビス(トリクロロメチル)−s−トリアジン−6−イル]フェニルチオ}プロピオンアミド、2,4−ビス(トリクロロメチル)−6−p−メトキシスチリル−s−トリアジン、2,4−ビス(トリクロロメチル)−6−(1−p−ジメチルアミノフェニル)−1,3,−ブタジエニル−s−トリアジン、2−トリクロロメチル−4−アミノ−6−p−メトキシスチリル−s−トリアジンなどがあり、これらに限定されない。 The triazine compounds are 3-{4- [2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} propionic acid, 1,1,1,3,3,3-hexafluoroisopropyl. -3- {4- [2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} propionate, ethyl-2- {4- [2,4-bis (trichloromethyl) -s-triazine -6-yl] phenylthio} acetate, 2-epoxyethyl-2-{4- [2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} acetate, cyclohexyl-2-{4- [ 2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} acetate, benzyl-2- {4- [2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} Acetate, 3- {chloro-4- [2,4-bis (trichloromethyl) -s-triazine-6-yl] phenylthio} propionic acid, 3- {4- [2,4-bis (trichloromethyl) -s -Triazine-6-yl] phenylthio} propionamide, 2,4-bis (trichloromethyl) -6-p-methoxystyryl-s-triazine, 2,4-bis (trichloromethyl) -6- (1-p-) Dimethylaminophenyl) -1,3, -butadienyl-s-triazine, 2-trichloromethyl-4-amino-6-p-methoxystyryl-s-triazine, and the like, but not limited to these.

前記オキシム系化合物は、1,2−オクタジオン、−1−(4−フェニルチオ)フェニル、−2−(o−ベンゾイルオキシム)(チバガイギー社、CGI124)、エタノン、−1−(9−エチル)−6−(2−メチルベンゾイル−3−イル)−、1−(O−アセチルオキシム)(CGI242)、N−1919(アデカ社)などがあり、これらに限定されない。 The oxime compounds are 1,2-octadione, -1- (4-phenylthio) phenyl, -2- (o-benzoyloxime) (Ciba Geigy, CGI124), etanone, -1- (9-ethyl) -6. -(2-Methylbenzoyl-3-yl)-, 1- (O-acetyloxime) (CGI242), N-1919 (Adeca) and the like, and are not limited thereto.

本明細書の一実施態様において、前記光開始剤は、PBG−3057であってもよい。 In one embodiment of the specification, the photoinitiator may be PBG-3057.

前記溶媒は、アセトン、メチルエチルケトン、メチルイソブチルケトン、メチルセロソルブ、エチルセロソルブ、テトラヒドロフラン、1,4−ジオキサン、エチレングリコールジメチルエーテル、エチレングリコールジエチルエーテル、プロピレングリコールジメチルエーテル、プロピレングリコールジエチルエーテル、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールメチルエチルエーテル、クロロホルム、塩化メチレン、1,2−ジクロロエタン、1,1,1−トリクロロエタン、1,1,2−トリクロロエタン、1,1,2−トリクロロエテン、ヘキサン、ヘプタン、オクタン、シクロヘキサン、ベンゼン、トルエン、キシレン、メタノール、エタノール、イソプロパノール、プロパノール、ブタノール、t−ブタノール、2−エトキシプロパノール、2−メトキシプロパノール、3−メトキシブタノール、シクロヘキサノン、シクロペンタノン、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールエチルエーテルアセテート、3−メトキシブチルアセテート、エチル3−エトキシプロピオネート、エチルセロソルブアセテート、メチルセロソルブアセテート、ブチルアセテート、プロピレングリコールモノメチルエーテル、およびジプロピレングリコールモノメチルエーテルからなる群より選択される1種以上であってもよいが、これにのみ限定されるものではない。 The solvent used is acetone, methyl ethyl ketone, methyl isobutyl ketone, methyl cellosolve, ethyl cellosolve, tetrahydrofuran, 1,4-dioxane, ethylene glycol dimethyl ether, ethylene glycol diethyl ether, propylene glycol dimethyl ether, propylene glycol diethyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether. , Diethylene glycol methyl ethyl ether, chloroform, methylene chloride, 1,2-dichloroethane, 1,1,1-trichloroethane, 1,1,2-trichloroethane, 1,1,2-trichloroethane, hexane, heptane, octane, cyclohexane, Benzene, toluene, xylene, methanol, ethanol, isopropanol, propanol, butanol, t-butanol, 2-ethoxypropanol, 2-methoxypropanol, 3-methoxybutanol, cyclohexanone, cyclopentanone, propylene glycol monomethyl ether acetate, propylene glycol ethyl One or more selected from the group consisting of ether acetate, 3-methoxybutyl acetate, ethyl 3-ethoxypropionate, ethyl cellosolve acetate, methyl cellosolve acetate, butyl acetate, propylene glycol monomethyl ether, and dipropylene glycol monomethyl ether. There may be, but it is not limited to this.

本明細書の一実施態様において、前記溶媒は、プロピレングリコールモノメチルエーテルアセテートであってもよい。 In one embodiment of the specification, the solvent may be propylene glycol monomethyl ether acetate.

本明細書の一実施態様において、前記感光性樹脂組成物の総重量を基準として、前記化学式1で表される化合物の含有量は5重量%〜60重量%であり、前記バインダー樹脂の含有量は1重量%〜60重量%であり、前記光開始剤の含有量は0.1重量%〜20重量%であり、前記多官能性モノマーの含有量は0.1重量%〜50重量%であり、前記溶媒の含有量は10重量%〜80重量%であってもよい。 In one embodiment of the present specification, the content of the compound represented by the chemical formula 1 is 5% by weight to 60% by weight, based on the total weight of the photosensitive resin composition, and the content of the binder resin. Is 1% by weight to 60% by weight, the content of the photoinitiator is 0.1% by weight to 20% by weight, and the content of the polyfunctional monomer is 0.1% by weight to 50% by weight. Yes, the content of the solvent may be 10% by weight to 80% by weight.

本明細書の一実施態様によれば、前記感光性樹脂組成物中の固形分の総重量を基準として、前記化学式1で表される化合物の含有量は5重量%〜60重量%であり、前記バインダー樹脂の含有量は1重量%〜60重量%であり、前記光開始剤の含有量は0.1重量%〜20重量%であり、前記多官能性モノマーの含有量は0.1重量%〜50重量%である。 According to one embodiment of the present specification, the content of the compound represented by the chemical formula 1 is 5% by weight to 60% by weight based on the total weight of the solid content in the photosensitive resin composition. The content of the binder resin is 1% by weight to 60% by weight, the content of the photoinitiator is 0.1% by weight to 20% by weight, and the content of the polyfunctional monomer is 0.1% by weight. % To 50% by weight.

前記固形分の総重量とは、感光性樹脂組成物から溶媒を除いた成分の総重量の合計を意味する。固形分および各成分の固形分を基準とする重量%の基準は、液体クロマトグラフィーまたはガスクロマトグラフィーなどの、当業界で使用される一般的な分析手段で測定することができる。 The total weight of the solid content means the total weight of the components excluding the solvent from the photosensitive resin composition. The weight% reference based on the solid content and the solid content of each component can be measured by common analytical means used in the art, such as liquid chromatography or gas chromatography.

本明細書の一実施態様において、前記感光性樹脂組成物は、添加剤をさらに含んでもよい。 In one embodiment of the present specification, the photosensitive resin composition may further contain an additive.

本明細書の一実施態様によれば、前記感光性樹脂組成物は、光架橋増感剤、硬化促進剤、密着促進剤、界面活性剤、熱重合防止剤、紫外線吸収剤、分散剤、接着助剤、およびレベリング剤からなる群より選択される1または2以上の添加剤を追加的に含む。 According to one embodiment of the present specification, the photosensitive resin composition is a photocrosslinking sensitizer, a curing accelerator, an adhesion accelerator, a surfactant, a thermal polymerization inhibitor, an ultraviolet absorber, a dispersant, and an adhesion. It additionally comprises one or more additives selected from the group consisting of auxiliaries and leveling agents.

本明細書において、前記添加剤は、レベリング剤および接着助剤であってもよい。 As used herein, the additives may be leveling agents and adhesion aids.

前記感光性樹脂組成物は、前記顔料;前記レベリング剤;および前記接着助剤をさらに含んでもよい。 The photosensitive resin composition may further contain the pigment; the leveling agent; and the adhesion aid.

本明細書の一実施態様において、前記感光性樹脂組成物の総重量を基準として、前記化学式1で表される化合物の含有量は5重量%〜60重量%であり、前記顔料の含有量は5重量%〜40重量%、前記バインダー樹脂の含有量は1重量%〜60重量%であり、前記光開始剤の含有量は0.1重量%〜20重量%であり、前記多官能性モノマーの含有量は0.1重量%〜50重量%であり、前記溶媒の含有量は10重量%〜80重量%であり、前記添加剤の含有量は0.1重量%〜20重量%であってもよい。 In one embodiment of the present specification, the content of the compound represented by the chemical formula 1 is 5% by weight to 60% by weight, and the content of the pigment is based on the total weight of the photosensitive resin composition. The content of the binder resin is 5% by weight to 40% by weight, the content of the binder resin is 1% by weight to 60% by weight, the content of the photoinitiator is 0.1% by weight to 20% by weight, and the polyfunctional monomer. The content of the above is 0.1% by weight to 50% by weight, the content of the solvent is 10% by weight to 80% by weight, and the content of the additive is 0.1% by weight to 20% by weight. You may.

本明細書の一実施態様によれば、前記添加剤の含有量は、前記感光性樹脂組成物中の固形分の総重量を基準として0.1重量%〜20重量%である。 According to one embodiment of the present specification, the content of the additive is 0.1% by weight to 20% by weight based on the total weight of the solid content in the photosensitive resin composition.

前記光架橋増感剤は、ベンゾフェノン、4,4−ビス(ジメチルアミノ)ベンゾフェノン、4,4−ビス(ジエチルアミノ)ベンゾフェノン、2,4,6−トリメチルアミノベンゾフェノン、メチル−o−ベンゾイルベンゾエート、3,3−ジメチル−4−メトキシベンゾフェノン、3,3,4,4−テトラ(t−ブチルパーオキシカルボニル)ベンゾフェノンなどのベンゾフェノン系化合物;9−フルオレノン、2−クロロ−9−フルオレノン、2−メチル−9−フルオレノンなどのフルオレノン系化合物;チオキサントン、2,4−ジエチルチオキサントン、2−クロロチオキサントン、1−クロロ−4−プロピルオキシチオキサントン、イソプロピルチオキサントン、ジイソプロピルチオキサントンなどのチオキサントン系化合物;キサントン、2−メチルキサントンなどのキサントン系化合物;アントラキノン、2−メチルアントラキノン、2−エチルアントラキノン、t−ブチルアントラキノン、2,6−ジクロロ−9,10−アントラキノンなどのアントラキノン系化合物;9−フェニルアクリジン、1,7−ビス(9−アクリジニル)ヘプタン、1,5−ビス(9−アクリジニルペンタン)、1,3−ビス(9−アクリジニル)プロパンなどのアクリジン系化合物;ベンジル、1,7,7−トリメチル−ビシクロ[2,2,1]ヘプタン−2,3−ジオン、9,10−フェナントレンキノンなどのジカルボニル化合物;2,4,6−トリメチルベンゾイルジフェニルホスフィンオキシド、ビス(2,6−ジメトキシベンゾイル)−2,4,4−トリメチルペンチルホスフィンオキシドなどのホスフィンオキシド系化合物;メチル−4−(ジメチルアミノ)ベンゾエート、エチル−4−(ジメチルアミノ)ベンゾエート、2−n−ブトキシエチル−4−(ジメチルアミノ)ベンゾエートなどのベンゾエート系化合物;2,5−ビス(4−ジエチルアミノベンザル)シクロペンタノン、2,6−ビス(4−ジエチルアミノベンザル)シクロヘキサノン、2,6−ビス(4−ジエチルアミノベンザル)−4−メチル−シクロペンタノンなどのアミノシナジスト;3,3−カルボニルビニル−7−(ジエチルアミノ)クマリン、3−(2−ベンゾチアゾリル)−7−(ジエチルアミノ)クマリン、3−ベンゾイル−7−(ジエチルアミノ)クマリン、3−ベンゾイル−7−メトキシ−クマリン、10,10−カルボニルビス[1,1,7,7−テトラメチル−2,3,6,7−テトラヒドロ−1H,5H,11H−C1]−ベンゾピラノ[6,7,8−ij]−キノリジン−11−オンなどのクマリン系化合物;4−ジエチルアミノカルコン、4−アジドベンザルアセトフェノンなどのカルコン化合物;2−ベンゾイルメチレン、3−メチル−b−ナフトチアゾリン;からなる群より選択される1種以上を使用することができる。 The photocrosslinking sensitizers are benzophenone, 4,4-bis (dimethylamino) benzophenone, 4,4-bis (diethylamino) benzophenone, 2,4,6-trimethylaminobenzophenone, methyl-o-benzoylbenzoate, 3, Benzophenone compounds such as 3-dimethyl-4-methoxybenzophenone, 3,3,4,4-tetra (t-butylperoxycarbonyl) benzophenone; 9-fluorenone, 2-chloro-9-fluorenone, 2-methyl-9 -Fluolenone compounds such as fluorenone; thioxanthone compounds such as thioxanthone, 2,4-diethylthioxanthone, 2-chlorothioxanthone, 1-chloro-4-propyloxythioxanthone, isopropylthioxanthone, diisopropylthioxanthone; xanthone, 2-methylxanthone, etc. Xanthone compounds; anthraquinone compounds such as anthraquinone, 2-methylanthraquinone, 2-ethylanthraquinone, t-butyl anthraquinone, 2,6-dichloro-9,10-anthraquinone; 9-phenylaclydin, 1,7-bis ( Acrydin compounds such as 9-acrydinyl) heptane, 1,5-bis (9-acridinylpentane), 1,3-bis (9-acridinyl) propane; benzyl, 1,7,7-trimethyl-bicyclo [2] , 2,1] Dicarbonyl compounds such as heptane-2,3-dione, 9,10-phenanthraquinone; 2,4,6-trimethylbenzoyldiphenylphosphine oxide, bis (2,6-dimethoxybenzoyl) -2,4 , 4-trimethylpentylphosphine oxides and other phosphine oxide compounds; methyl-4- (dimethylamino) benzoate, ethyl-4- (dimethylamino) benzoate, 2-n-butoxyethyl-4- (dimethylamino) benzoate and the like. Benzophenone compounds; 2,5-bis (4-diethylaminobenzal) cyclopentanone, 2,6-bis (4-diethylaminobenzal) cyclohexanone, 2,6-bis (4-diethylaminobenzal) -4-methyl -Amino synergists such as cyclopentanone; 3,3-carbonylvinyl-7- (diethylamino) coumarin, 3- (2-benzothiazolyl) -7- (diethylamino) coumarin, 3-benzoyl-7- (diethylamino) coumarin, 3-Benzoyl-7-methoxy-coumarin, 10,10-carboni Rubis [1,1,7,7-tetramethyl-2,3,6,7-tetrahydro-1H, 5H, 11H-C1] -benzopyrano [6,7,8-ij] -quinolidine-11-one, etc. One or more selected from the group consisting of chalcone compounds; chalcone compounds such as 4-diethylaminochalcone and 4-azidobenzalacetophenone; 2-benzoylmethylene and 3-methyl-b-naphthiazoline; can be used. ..

前記硬化促進剤としては、硬化および機械的強度を高めるために使用され、具体的には、2−メルカプトベンゾイミダゾール、2−メルカプトベンゾチアゾール、2−メルカプトベンゾオキサゾール、2,5−ジメルカプト−1,3,4−チアジアゾール、2−メルカプト−4,6−ジメチルアミノピリジン、ペンタエリスリトール−テトラキス(3−メルカプトプロピオネート)、ペンタエリスリトール−トリス(3−メルカプトプロピオネート)、ペンタエリスリトール−テトラキス(2−メルカプトアセテート)、ペンタエリスリトール−トリス(2−メルカプトアセテート)、トリメチロールプロパン−トリス(2−メルカプトアセテート)、およびトリメチロールプロパン−トリス(3−メルカプトプロピオネート)からなる群より選択される1種以上を使用することができる。 The curing accelerator is used to enhance curing and mechanical strength, and specifically, 2-mercaptobenzimidazole, 2-mercaptobenzothiazole, 2-mercaptobenzoxazole, 2,5-dimercapto-1, 3,4-Tiasazole, 2-mercapto-4,6-dimethylaminopyridine, pentaerythritol-tetrakis (3-mercaptopropionate), pentaerythritol-tris (3-mercaptopropionate), pentaerythritol-tetrakis (2) -Selected from the group consisting of pentaerythritol-tris (2-mercaptoacetate), trimethylolpropane-tris (2-mercaptoacetate), and trimethylolpropane-tris (3-mercaptopropionate) 1 More than seeds can be used.

本明細書で使用される密着促進剤としては、メタアクリロイルオキシプロピルトリメトキシシラン、メタアクリロイルオキシプロピルジメトキシシラン、メタアクリロイルオキシプロピルトリエトキシシラン、メタアクリロイルオキシプロピルジメトキシシランなどのメタアクリロイルシランカップリング剤のうちの1種以上を選択して使用することができ、アルキルトリメトキシシランとして、オクチルトリメトキシシラン、ドデシルトリメトキシシラン、オクタデシルトリメトキシシランなどから1種以上を選択して使用することができる。 Adhesion promoters used herein include metaacryloylsilane coupling agents such as metaacryloyloxypropyltrimethoxysilane, metaacryloyloxypropyldimethoxysilane, metaacryloyloxypropyltriethoxysilane, and metaacryloyloxypropyldimethoxysilane. One or more of them can be selected and used, and as the alkyltrimethoxysilane, one or more can be selected and used from octyltrimethoxysilane, dodecyltrimethoxysilane, octadecyltrimethoxysilane and the like. ..

前記界面活性剤は、シリコーン系界面活性剤またはフッ素系界面活性剤であり、具体的には、シリコーン系界面活性剤は、BYK−Chemie社のBYK−077、BYK−085、BYK−300、BYK−301、BYK−302、BYK−306、BYK−307、BYK−310、BYK−320、BYK−322、BYK−323、BYK−325、BYK−330、BYK−331、BYK−333、BYK−335、BYK−341v344、BYK−345v346、BYK−348、BYK−354、BYK−355、BYK−356、BYK−358、BYK−361、BYK−370、BYK−371、BYK−375、BYK−380、BYK−390などを使用することができ、フッ素系界面活性剤としては、DIC(DaiNippon Ink&Chemicals)社のF−114、F−177、F−410、F−411、F−450、F−493、F−494、F−443、F−444、F−445、F−446、F−470、F−471、F−472SF、F−474、F−475、F−477、F−478、F−479、F−480SF、F−482、F−483、F−484、F−486、F−487、F−172D、MCF−350SF、TF−1025SF、TF−1117SF、TF−1026SF、TF−1128、TF−1127、TF−1129、TF−1126、TF−1130、TF−1116SF、TF−1131、TF1132、TF1027SF、TF−1441、TF−1442などを使用することができるが、これらにのみ限定されるものではない。 The surfactant is a silicone-based surfactant or a fluorine-based surfactant. Specifically, the silicone-based surfactant is BYK-077, BYK-085, BYK-300, BYK of BYK-Chemie. -301, BYK-302, BYK-306, BYK-307, BYK-310, BYK-320, BYK-322, BYK-323, BYK-325, BYK-330, BYK-331, BYK-333, BYK-335 , BYK-341v344, BYK-345v346, BYK-348, BYK-354, BYK-355, BYK-356, BYK-358, BYK-361, BYK-370, BYK-371, BYK-375, BYK-380, BYK -390 and the like can be used, and as the fluorine-based surfactant, F-114, F-177, F-410, F-411, F-450, F-493, F of DIC (DaiNippon Inc & Chemicals) can be used. -494, F-443, F-444, F-445, F-446, F-470, F-471, F-472SF, F-474, F-475, F-477, F-478, F-479 , F-480SF, F-482, F-483, F-484, F-486, F-487, F-172D, MCF-350SF, TF-1025SF, TF-1117SF, TF-1026SF, TF-1128, TF -1127, TF-1129, TF-1126, TF-1130, TF-1116SF, TF-1131, TF1132, TF1027SF, TF-1441, TF-1442, etc. can be used, but are limited thereto. is not it.

前記酸化防止剤としては、ヒンダードフェノール系(Hindered phenol)酸化防止剤、アミン系酸化防止剤、チオ系酸化防止剤、およびホスフィン系酸化防止剤からなる群より選択される1種以上であってもよいが、これにのみ限定されるものではない。 The antioxidant is one or more selected from the group consisting of a hidden phenolic antioxidant, an amine-based antioxidant, a thio-based antioxidant, and a phosphine-based antioxidant. It may be, but it is not limited to this.

前記酸化防止剤の具体例としては、リン酸、トリメチルホスフェート、またはトリエチルホスフェートのようなリン酸系熱安定剤;2,6−ジ−t−ブチル−p−クレゾール、オクタデシル−3−(4−ヒドロキシ−3,5−ジ−t−ブチルフェニル)プロピオネート、テトラビス[メチレン−3−(3,5−ジ−t−ブチル−4−ヒドロキシフェニル)プロピオネート]メタン、1,3,5−トリメチル−2,4,6−トリス(3,5−ジ−t−ブチル−4−ヒドロキシベンジル)ベンゼン、3,5−ジ−t−ブチル−4−ヒドロキシベンジルホスファイトジエチルエステル、2,2−チオビス(4−メチル−6−t−ブチルフェノール)、2,6−g,t−ブチルフェノール4,4'−ブチリデン−ビス(3−メチル−6−t−ブチルフェノール)、4,4'−チオビス(3−メチル−6−t−ブチルフェノール)、またはビス[3,3−ビス−(4'−ヒドロキシ−3'−タート−ブチルフェニル)ブタン酸]グリコールエステル(Bis[3,3−bis−(4'−hydroxy−3'−tert−butylphenyl)butanoicacid]glycol ester)のようなヒンダードフェノール(Hindered phenol)系1次酸化防止剤;フェニル−α−ナフチルアミン、フェニル−β−ナフチルアミン、N,N'−ジフェニル−p−フェニレンジアミン、またはN,N'−ジ−β−ナフチル−p−フェニレンジアミンのようなアミン系2次酸化防止剤;ジラウリルジスルフィド、ジラウリルチオプロピオネート、ジステアリルチオプロピオネート、メルカプトベンゾチアゾール、またはテトラメチルチウラムジスルフィドテトラビス[メチレン−3−(ラウリルチオ)プロピオネート]メタンなどのThio系2次酸化防止剤;またはトリフェニルホスファイト、トリス(ノニルフェニル)ホスファイト、トリイソデシルホスファイト、ビス(2,4−ジブチルフェニル)ペンタエリスリトールジホスファイト(Bis(2,4−ditbutylphenyl)Pentaerythritol Diphosphite、または(1,1'−ビフェニル)−4,4'−ジイルビスホスホナス酸テトラキス[2,4−ビス(1,1−ジメチルエチル)フェニル]エステル((1,1'−Biphenyl)−4,4'−Diylbisphosphonous acid tetrakis[2,4−bis(1,1−dimethylethyl)phenyl]ester)のようなホスファイト系2次酸化防止剤が挙げられる。 Specific examples of the antioxidant are phosphoric acid-based heat stabilizers such as phosphoric acid, trimethyl phosphate, or triethyl phosphate; 2,6-di-t-butyl-p-cresol, octadecyl-3- (4-). Hydroxy-3,5-di-t-butylphenyl) propionate, tetrabis [methylene-3- (3,5-di-t-butyl-4-hydroxyphenyl) propionate] methane, 1,3,5-trimethyl-2 , 4,6-Tris (3,5-di-t-butyl-4-hydroxybenzyl) benzene, 3,5-di-t-butyl-4-hydroxybenzyl phosphite diethyl ester, 2,2-thiobis (4) -Methyl-6-t-butylphenol), 2,6-g, t-butylphenol 4,4'-butylidene-bis (3-methyl-6-t-butylphenol), 4,4'-thiobis (3-methyl- 6-t-butylphenol), or bis [3,3-bis- (4'-hydroxy-3'-tart-butylphenyl) butanoic acid] glycol ester (Bis [3,3-bis- (4'-hydroxy-) Hindered phenolic primary antioxidants such as 3'-tert-butylphenyl) butanoicacid] glycol ester); phenyl-α-naphthylamine, phenyl-β-naphthylamine, N, N'-diphenyl-p- Phenylene diamine, or amine-based secondary antioxidants such as N, N'-di-β-naphthyl-p-phenylene diamine; dilauryl disulfide, dilauryl thiopropionate, distearyl thiopropionate, mercaptobenzo Thio-based secondary antioxidants such as thiazole, or tetramethylthiuram disulfide tetrabis [methylene-3- (laurylthio) propionate] methane; or triphenylphosphite, tris (nonylphenyl) phosphite, triisodecylphosphite, Bis (2,4-dibutylphenyl) pentaerythritol diphosphite (Bis (2,4-dibutylphenyl) pentaerythritol diphosphite, or (1,1'-biphenyl) -4,4'-diylbisphosphonasate tetrakis 4-Bis (1,1-dimethylethyl) phenyl] ester ((1,1'-Biphenyl) -4,4'-Diylbisphosphonous ac Examples thereof include phosphite-based secondary antioxidants such as id tetrakis [2,4-bis (1,1-dimethyl) phenyl] ester).

前記紫外線吸収剤としては、2−(3−t−ブチル−5−メチル−2−ヒドロキシフェニル)−5−クロロ−ベンゾトリアゾール、アルコキシベンゾフェノンなどを使用することができるが、これらに限定されず、当業界で一般的に用いられるものがすべて使用可能である。 As the ultraviolet absorber, 2- (3-t-butyl-5-methyl-2-hydroxyphenyl) -5-chloro-benzotriazole, alkoxybenzophenone and the like can be used, but the ultraviolet absorber is not limited thereto. Anything commonly used in the industry can be used.

前記熱重合防止剤としては、例えば、p−アニソール、ヒドロキノン、ピロカテコール(pyrocatechol)、t−ブチルカテコール(t−butyl catechol)、N−ニトロソフェニルヒドロキシアミンアンモニウム塩、N−ニトロソフェニルヒドロキシアミンアルミニウム塩、p−メトキシフェノール、ジ−t−ブチル−p−クレゾール、ピロガロール、ベンゾキノン、4,4−チオビス(3−メチル−6−t−ブチルフェノール)、2,2−メチレンビス(4−メチル−6−t−ブチルフェノール)、2−メルカプトイミダゾール、およびフェノチアジン(phenothiazine)からなる群より選択された1種以上を含むことができるが、これらにのみ限定されるものではなく、当技術分野で一般的に知られているものを含むことができる。 Examples of the thermal polymerization inhibitor include p-anisole, hydroquinone, pyrocatechol, t-butylcatechol, N-nitrosophenylhydroxyamine ammonium salt, and N-nitrosophenylhydroxyamine aluminum salt. , P-methoxyphenol, di-t-butyl-p-cresol, pyrogallol, benzoquinone, 4,4-thiobis (3-methyl-6-t-butylphenol), 2,2-methylenebis (4-methyl-6-t) It can include, but is not limited to, one or more selected from the group consisting of −butylphenol), 2-mercaptoimidazole, and phenothiazine, but is generally known in the art. Can include those that are.

前記分散剤は、予め顔料を表面処理する形態で顔料に内部添加させる方法、または顔料に外部添加させる方法で使用することができる。前記分散剤としては、化合物型、非イオン性、陰イオン性、または陽イオン性分散剤を使用することができ、フッ素系、エステル系、陽イオン系、陰イオン系、非イオン系、両性界面活性剤などが挙げられる。これらは、それぞれまたは2種以上を組み合わせて使用できる。 The dispersant can be used by a method of internally adding the pigment to the pigment in a form of surface treatment in advance, or a method of externally adding the pigment to the pigment. As the dispersant, a compound type, nonionic, anionic, or cationic dispersant can be used, and a fluorine-based, ester-based, cationic-based, anionic-based, nonionic-based, amphoteric interface can be used. Activators and the like can be mentioned. These can be used individually or in combination of two or more.

具体的には、前記分散剤は、ポリアルキレングリコールおよびそのエステル、ポリオキシアルキレン多価アルコール、エステルアルキレンオキシド付加物、アルコールアルキレンオキシド付加物、スルホン酸エステル、スルホン酸塩、カルボン酸エステル、カルボン酸塩、アルキルアミドアルキレンオキシド付加物、およびアルキルアミンからなるグループより選択された1種以上があるが、これに限定されるものではない。 Specifically, the dispersant includes polyalkylene glycol and its ester, polyoxyalkylene polyhydric alcohol, ester alkylene oxide adduct, alcohol alkylene oxide adduct, sulfonic acid ester, sulfonate, carboxylic acid ester, and carboxylic acid. There is, but is not limited to, one or more selected from the group consisting of salts, alkylamide alkylene oxide adducts, and alkylamines.

前記レベリング剤としては、ポリマー性であるか、非ポリマー性であってもよい。ポリマー性のレベリング剤の具体例としては、ポリエチレンイミン、ポリアミドアミン、アミンとエポキシドの反応生成物を例に挙げることができ、非ポリマー性のレベリング剤の具体例としては、非−ポリマー硫黄−含有および非−ポリマー窒素−含有化合物を含むが、これに限定されず、当業界で一般的に用いられるものがすべて使用できる。 The leveling agent may be polymeric or non-polymeric. Specific examples of the polymeric leveling agent include polyethyleneimine, polyamideamine, and the reaction product of amine and epoxide, and specific examples of the non-polymeric leveling agent include non-polymeric sulfur. And non-polymeric nitrogen-containing compounds, including, but not limited to, all commonly used in the art.

本明細書の一実施態様において、前記レベリング剤は、F−554であってもよい。 In one embodiment of the specification, the leveling agent may be F-554.

前記接着助剤としては特に制限されず、当業界で一般的に用いられるものがすべて使用できる。 The adhesive aid is not particularly limited, and any adhesive commonly used in the art can be used.

本明細書の一実施態様において、前記接着助剤は、KBM−503であってもよい。 In one embodiment of the specification, the adhesion aid may be KBM-503.

本明細書の一実施態様によれば、前記感光性樹脂組成物を用いて製造された感光材を提供する。 According to one embodiment of the present specification, a photosensitive material produced by using the photosensitive resin composition is provided.

より詳細には、本明細書の感光性樹脂組成物を基材上に適切な方法で塗布し、硬化して、薄膜またはパターン形態の感光材を形成する。 More specifically, the photosensitive resin composition of the present specification is applied onto a substrate by an appropriate method and cured to form a photosensitive material in the form of a thin film or a pattern.

前記塗布方法としては特に制限されないが、スプレー法、ロールコーティング法、スピンコーティング法などを使用することができ、一般的に、スピンコーティング法を広く使用する。また、塗布膜を形成した後、場合によっては、減圧下で残留溶媒を一部除去することができる。 The coating method is not particularly limited, but a spray method, a roll coating method, a spin coating method, or the like can be used, and the spin coating method is generally widely used. Further, after forming the coating film, in some cases, a part of the residual solvent can be removed under reduced pressure.

本明細書に係る感光性樹脂組成物を硬化させるための光源としては、例えば、波長が250nm〜450nmの光を発散する水銀蒸気アーク(arc)、炭素アーク、Xeアークなどがあるが、必ずしもこれに限らない。 Examples of the light source for curing the photosensitive resin composition according to the present specification include a mercury steam arc (arc), a carbon arc, and an Xe arc that emit light having a wavelength of 250 nm to 450 nm. Not limited to.

本明細書に係る感光性樹脂組成物は、薄膜トランジスタ液晶表示装置(TFT LCD)カラーフィルタ製造用顔料分散型感光材、薄膜トランジスタ液晶表示装置(TFT LCD)または有機発光ダイオードのブラックマトリックス形成用感光材、オーバーコート層形成用感光材、カラムスペーサ感光材、光硬化型塗料、光硬化性インク、光硬化性接着剤、印刷版、印刷配線盤用感光材、プラズマディスプレイパネル(PDP)用感光材などに使用することができ、その用途に制限を特に設けない。 The photosensitive resin composition according to the present specification includes a pigment dispersion type photosensitive material for manufacturing a thin film transistor liquid crystal display device (TFT LCD) color filter, a thin film transistor liquid crystal display device (TFT LCD), or a photosensitive material for forming a black matrix of an organic light emitting diode. For overcoat layer forming photosensitive material, column spacer photosensitive material, photocurable paint, photocurable ink, photocurable adhesive, printing plate, photosensitive material for printing wiring board, photosensitive material for plasma display panel (PDP), etc. It can be used, and there are no particular restrictions on its use.

本明細書の一実施態様によれば、前記感光材を含むカラーフィルタを提供する。 According to one embodiment of the present specification, a color filter containing the photosensitive material is provided.

前記カラーフィルタは、前記化学式1で表される化合物を含む感光性樹脂組成物を用いて製造できる。前記感光性樹脂組成物を基板上に塗布してコーティング膜を形成し、前記コーティング膜を露光、現像および硬化をすることにより、カラーフィルタを形成することができる。 The color filter can be produced by using a photosensitive resin composition containing the compound represented by the chemical formula 1. A color filter can be formed by applying the photosensitive resin composition onto a substrate to form a coating film, and exposing, developing, and curing the coating film.

本明細書の一実施態様に係る感光性樹脂組成物は、耐熱性に優れ、熱処理による色の変化が少なくて、カラーフィルタの製造時、硬化過程によっても色再現率が高く、輝度および明暗比が高いカラーフィルタを提供することができる。 The photosensitive resin composition according to one embodiment of the present specification is excellent in heat resistance, has little color change due to heat treatment, has a high color reproduction rate even during the manufacturing and curing process of a color filter, and has a brightness and a light-dark ratio. Can provide a high color filter.

前記基板は、ガラス板、シリコンウエハ、およびポリエーテルスルホン(Polyethersulfone、PES)、ポリカーボネート(Polycarbonate、PC)などのプラスチック基材の板などであってもよいし、その種類が特に制限されるわけではない。 The substrate may be a glass plate, a silicon wafer, a plate of a plastic base material such as polyethersulfone (PES), polycarbonate (PC), or the like, and the type thereof is not particularly limited. Absent.

前記カラーフィルタは、赤色パターン、緑色パターン、青色パターン、ブラックマトリックスを含むことができる。 The color filter can include a red pattern, a green pattern, a blue pattern, and a black matrix.

もう一つの実施態様によれば、前記カラーフィルタは、オーバーコート層をさらに含んでもよい。 According to another embodiment, the color filter may further include an overcoat layer.

カラーフィルタのカラーピクセルの間には、コントラストを向上させる目的で、ブラックマトリックスと呼ばれる格子状の黒色パターンを配置することができる。ブラックマトリックスの材料としてクロムを使用することができる。この場合、クロムをガラス基板全体に蒸着させ、エッチング処理によってパターンを形成する方式を利用することができる。しかし、工程上の高費用、クロムの高反射率、クロム廃液による環境汚染を考慮して、微細加工が可能な顔料分散法によるレジンブラックマトリックスを使用することができる。 A grid-like black pattern called a black matrix can be arranged between the color pixels of the color filter for the purpose of improving contrast. Chromium can be used as the material for the black matrix. In this case, a method of depositing chromium on the entire glass substrate and forming a pattern by etching can be used. However, in consideration of high cost in the process, high reflectance of chromium, and environmental pollution by chromium waste liquid, a resin black matrix by a pigment dispersion method capable of fine processing can be used.

本明細書の一実施態様に係るブラックマトリックスは、色材としてブラック顔料またはブラック染料を使用することができる。例えば、カーボンブラックを単独で使用するか、カーボンブラックと着色顔料とを混合して使用することができ、この時、遮光性が不十分な着色顔料を混合するため、相対的に色材の量が増加しても膜の強度または基板に対する密着性が低下しないという利点がある。 The black matrix according to one embodiment of the present specification can use a black pigment or a black dye as a coloring material. For example, carbon black can be used alone, or carbon black and a coloring pigment can be mixed, and at this time, a coloring pigment having insufficient light-shielding property is mixed, so that the amount of coloring material is relatively large. There is an advantage that the strength of the film or the adhesion to the substrate does not decrease even if the amount increases.

本明細書に係るカラーフィルタを含むディスプレイ装置を提供する。 A display device including a color filter according to the present specification is provided.

前記ディスプレイ装置は、プラズマディスプレイパネル(Plasma Display Panel、PDP)、発光ダイオード(Light Emitting Diode、LED)、有機発光素子(Organic Light Emitting Diode、OLED)、液晶表示装置(Liquid Crystal Display、LCD)、薄膜トランジスタ液晶表示装置(Thin FIlm Transistor−Liquid Crystal Display、LCD−TFT)、および陰極線管(Cathode Ray Tube、CRT)のうちのいずれか1つであってもよい。 The display device includes a plasma display panel (Plasma Display Panel, PDP), a light emitting diode (Light Emitting Device, LED), an organic light emitting element (Organic Light Emitting Device, OLED), a liquid crystal display device (Liquid Crystal Disk), and a liquid crystal display device (Liquid Crystal Display). It may be any one of a liquid crystal display device (Thin Film Transistor-Liquid Crystal Display, LCD-TFT) and a cathode ray tube (Cathode Ray Tube, CRT).

以下、本明細書を具体的に説明するために実施例を挙げて詳細に説明する。しかし、本明細書に係る実施例は種々の異なる形態に変形可能であり、本明細書の範囲が以下に述べる実施例に限定されると解釈されない。本明細書の実施例は、当業界における平均的な知識を有する者に本明細書をより完全に説明するために提供されるものである。 Hereinafter, in order to specifically explain the present specification, examples will be given and described in detail. However, the examples according to the present specification can be transformed into various different forms, and the scope of the present specification is not construed as being limited to the examples described below. The examples herein are provided to provide a more complete description of the specification to those with average knowledge in the art.

製造例. Production example.

[化合物Aの製造]

Figure 0006828923
[Production of Compound A]
Figure 0006828923

フタル酸無水物(Phthalic anhydride)11.8g(0.08mol/1eq)に蒸留水80mlを入れて、常温で30分間撹拌後、N−エチルエチレンジアミン(N−Ethylethylenediamine)7.26g(0.082mol/1.03eq)を添加した後、105℃に撹拌しながら昇温させた。4時間反応後、常温に冷やした。 Add 80 ml of distilled water to 11.8 g (0.08 mol / 1 eq) of phthalic anhydride, stir for 30 minutes at room temperature, and then 7.26 g (0.082 mol / 0.082 mol /) of N-Ethylethylenediamine. After adding 1.03 eq), the temperature was raised to 105 ° C. with stirring. After reacting for 4 hours, it was cooled to room temperature.

減圧して蒸留水を除去し、アセトン60mLを入れて、2時間常温で撹拌した。アセトンを減圧除去し、イソプロピルアルコール(IPA)で再結晶して、白色パウダー13.5gを得た。(収率77.37%) Distilled water was removed under reduced pressure, 60 mL of acetone was added, and the mixture was stirred at room temperature for 2 hours. Acetone was removed under reduced pressure and recrystallized from isopropyl alcohol (IPA) to give 13.5 g of white powder. (Yield 77.37%)

イオン化モード:APCI+:m/z=219[M+H]+、Exact Mass:218 Ionization mode: APCI +: m / z = 219 [M + H] +, Exact Mass: 218

[化合物Bの製造]

Figure 0006828923
[Production of compound B]
Figure 0006828923

フタル酸無水物(Phthalic anhydride)11.8g(0.08mol/1eq)とN−イソプロピルエタン−1,2−ジアミン(N−isopropylethane−1,2−diamine)8.42g(0.082mol/1.03eq)を入れて、化合物Aと同様の方法で製造して、化合物B10.5gを得た。(収率57%) 11.8 g (0.08 mol / 1 eq) of phthalic anhydride (0.08 mol / 1 eq) and 8.42 g (0.082 mol / 1.) of N-isopropylethane-1,2-diamine. 03eq) was added and produced in the same manner as in Compound A to obtain 10.5 g of Compound B. (Yield 57%)

イオン化モード:APCI+:m/z=233[M+H]+、Exact Mass:232 Ionization mode: APCI +: m / z = 233 [M + H] +, Exact Mass: 232

[化合物Cの製造]

Figure 0006828923
[Production of Compound C]
Figure 0006828923

フタル酸無水物(Phthalic anhydride)11.8g(0.08mol/1eq)と2−((2−アミノエチル)アミノ)エタン−1−オール(2−((2−aminoethyl)amino)ethane−1−ol)8.54g(0.082mol/1.03eq)を入れて、化合物Aと同様の方法で製造して、化合物C12.3gを得た。(収率65%) Phthalic anhydride 11.8 g (0.08 mol / 1 eq) and 2-((2-aminoethyl) amino) ethane-1-ol (2-((2-aminoethyl) amineo) ether-1- ol) 8.54 g (0.082 mol / 1.03 eq) was added and produced in the same manner as in Compound A to obtain 12.3 g of Compound C. (Yield 65%)

イオン化モード:APCI+:m/z=235[M+H]+、Exact Mass:234 Ionization mode: APCI +: m / z = 235 [M + H] +, Exact Mass: 234

[化合物Dの製造]

Figure 0006828923
[Production of Compound D]
Figure 0006828923

フタル酸無水物(Phthalic anhydride)11.8g(0.08mol/1eq)とN−エチルプロパン−1,3−ジアミン(N−ethylpropane−1,3−diamine)8.38g(0.082mol/1.03eq)を入れて、化合物Aと同様の方法で製造して、化合物D14.2gを得た。(収率76.4%) Phthalic anhydride (11.8 g (0.08 mol / 1 eq)) and N-ethylpropan-1,3-diamine (N-ethyl compound-1,3-diamine) 8.38 g (0.082 mol / 1. 03eq) was added and produced in the same manner as in Compound A to obtain 14.2 g of Compound D. (Yield 76.4%)

イオン化モード:APCI+:m/z=233[M+H]+、Exact Mass:232 Ionization mode: APCI +: m / z = 233 [M + H] +, Exact Mass: 232

[化合物Eの製造]

Figure 0006828923
[Production of Compound E]
Figure 0006828923

ジクロロスルホフルオレセイン(Dichlorosulfofluorescein)0.81g(0.002mol、1eq)をメタノール(MeOH)30mLに溶解させ、ジエチルアミン(Diethylamine)1.04g(0.01mol、5eq)を添加した後、常温で2時間反応させた。1M塩酸(HCl)水溶液で焼入れ(quenching)して反応を終結させ、CHClで抽出した。 0.81 g (0.002 mol, 1 eq) of dichloromethane (Dichlorosulfofluorescein) is dissolved in 30 mL of methanol (MeOH), 1.04 g (0.01 mol, 5 eq) of diethylamine (Diethylamine) is added, and then the reaction is carried out at room temperature for 2 hours. I let you. The reaction was terminated by quenching with a 1M aqueous hydrochloric acid (HCl) solution, and the mixture was extracted with CH 2 Cl 2 .

有機層は分離して塩水(brine)で洗浄(washing)し、NaSOで乾燥し、減圧して溶媒を除去した。シリカカラムクロマトグラフィー(Silica column chromatography)(CHCl:MeOH=8:1)で精製して、化合物E0.52gを得た。(収率56%) The organic layer was separated, washed with brine, dried over Na 2 SO 4 , and depressurized to remove the solvent. Purification by silica column chromatography (CH 2 Cl 2 : MeOH = 8: 1) gave 0.52 g of compound E. (Yield 56%)

[化合物1の製造]

Figure 0006828923
[Production of Compound 1]
Figure 0006828923

化合物A2g(0.009mol/3eq)を蒸留水25mLに入れて、撹拌しながら炭酸カリウム(KCO)1.24g(0.009mol/3eq)を入れる。60℃に昇温しながら30分間撹拌後、ジクロロスルホフルオレセイン(Dichlorosulfofluorescein)1.22g(0.003mol/1eq)を入れた。 2 g (0.009 mol / 3 eq) of compound A is placed in 25 mL of distilled water, and 1.24 g (0.009 mol / 3 eq) of potassium carbonate (K 2 CO 3 ) is added with stirring. After stirring for 30 minutes while raising the temperature to 60 ° C., 1.22 g (0.003 mol / 1 eq) of Dichlorosulfofluorescein was added.

105℃で24時間撹拌後、常温に冷やす。0.1M塩酸(HCl)水溶液で焼入れ(quenching)して反応を終結させ、沈殿物を蒸留水で十分に洗浄(washing)しながら減圧濾過し、80℃のオーブンで乾燥させた。シリカカラムクロマトグラフィー(Silica column chromatography)(CHCl:MeOH=7:1)で精製して、化合物1 1.1gを得た。(収率47%) After stirring at 105 ° C. for 24 hours, cool to room temperature. The reaction was terminated by quenching with a 0.1 M aqueous hydrochloric acid (HCl) solution, and the precipitate was filtered under reduced pressure while being thoroughly washed with distilled water and dried in an oven at 80 ° C. Purification by silica column chromatography (CH 2 Cl 2 : MeOH = 7: 1) gave 1.1 g of compound. (Yield 47%)

イオン化モード:APCI+:m/z=769[M+H]+、Exact Mass:768 Ionization mode: APCI +: m / z = 769 [M + H] +, Exact Mass: 768

[化合物2の製造]

Figure 0006828923
[Production of Compound 2]
Figure 0006828923

化合物B2.09g(0.009mol/3eq)、ジクロロスルホフルオレセイン(Dichlorosulfofluorescein)1.22g(0.003mol/1eq)を入れて、化合物1と同様に製造して、化合物2 0.98gを得た。(収率41%) Compound B 2.09 g (0.009 mol / 3 eq) and dichlorosulfofluorescein (1.22 g (0.003 mol / 1 eq)) were added, and the same production as in Compound 1 was carried out to obtain Compound 2 0.98 g. (Yield 41%)

イオン化モード:APCI+:m/z=797[M+H]+、Exact Mass:796 Ionization mode: APCI +: m / z = 797 [M + H] +, Exact Mass: 796

[化合物3の製造]

Figure 0006828923
[Production of Compound 3]
Figure 0006828923

化合物C2.1g(0.009mol/3eq)、ジクロロスルホフルオレセイン(Dichlorosulfofluorescein)1.22g(0.003mol/1eq)を入れて、化合物1と同様に製造して、化合物3 1.3gを得た。(収率54%) Compound C 2.1 g (0.009 mol / 3 eq) and Dichlorosulfofluorescein (1.22 g (0.003 mol / 1 eq)) were added, and the same production as in Compound 1 was carried out to obtain Compound 3 1.3 g. (Yield 54%)

イオン化モード:APCI+:m/z=801[M+H]+、Exact Mass:800 Ionization mode: APCI +: m / z = 801 [M + H] +, Exact Mass: 800

[化合物4の製造]

Figure 0006828923
[Production of Compound 4]
Figure 0006828923

化合物D2.09g(0.009mol/3eq)、ジクロロスルホフルオレセイン(Dichlorosulfofluorescein)1.22g(0.003mol/1eq)を入れて、化合物1と同様に製造して、化合物4 0.85gを得た。(収率36%) Compound D 2.09 g (0.009 mol / 3 eq) and Dichlorosulfofluorescein (1.22 g (0.003 mol / 1 eq)) were added to obtain Compound 4 0.85 g. (Yield 36%)

イオン化モード:APCI+:m/z=797[M+H]+、Exact Mass:796 Ionization mode: APCI +: m / z = 797 [M + H] +, Exact Mass: 796

[化合物5の製造]

Figure 0006828923
[Production of Compound 5]
Figure 0006828923

化合物A0.65g(0.003mol/3eq)、化合物E0.52g(0.001mol/1eq)を入れて、化合物1と同様の方法で製造して、化合物5 0.25gを得た。(収率40%) Compound A 0.65 g (0.003 mol / 3 eq) and compound E 0.52 g (0.001 mol / 1 eq) were added and produced in the same manner as in Compound 1 to obtain Compound 5 0.25 g. (Yield 40%)

イオン化モード:APCI+:m/z=624[M+H]+、Exact Mass:623 Ionization mode: APCI +: m / z = 624 [M + H] +, Exact Mass: 623

比較例1の化合物.

Figure 0006828923
The compound of Comparative Example 1.
Figure 0006828923

比較例1の化合物としては、ローダミン6Gを使用した。 Rhodamine 6G was used as the compound of Comparative Example 1.

比較例2の化合物.

Figure 0006828923
Compound of Comparative Example 2.
Figure 0006828923

Acid Red289 5g(7.388mmol)、N−(3−ブロモプロピル)フタルイミド3.961g(14.776mmol)、KCO 4.09g(29.554mmol)をNMP100mlに入れて、95℃で12時間撹拌した。反応溶液を常温に冷やし、減圧下で濾過させた。濾過液をEthylacetae800mlに添加した。室温で30分間撹拌させ、析出物を減圧下で濾過させて、比較例2の化合物を得た。 Add 289 5 g (7.388 mmol) of Acid Red, 3.961 g (14.776 mmol) of N- (3-bromopropyl) phthalimide, and 4.09 g (29.554 mmol) of K 2 CO 3 to 100 ml of NMP at 95 ° C. for 12 hours. Stirred. The reaction solution was cooled to room temperature and filtered under reduced pressure. The filtrate was added to 800 ml of Ethylacetae. The mixture was stirred at room temperature for 30 minutes and the precipitate was filtered under reduced pressure to give the compound of Comparative Example 2.

実施例. Example.

[感光性樹脂組成物の実施例1の製造]
感光性樹脂組成物の総重量100重量部を基準として、先に製造した化合物1 15重量部、顔料R254 33重量部、バインダー樹脂としてバインダーA16重量部、多官能性モノマー(Dipentaerythritol hexaacrylate)4.5重量部、レベリング剤F−554 0.3重量部、接着助剤KBM−503 0.15重量部、光開始剤(PBG−3057)0.5重量部、溶媒プロピレングリコールモノメチルエーテルアセテート(PGMEA、Propylene Glycol monomethyl ether acetate)30.55重量部を混合して、感光性樹脂組成物の実施例1を製造した。
[Production of Example 1 of Photosensitive Resin Composition]
Based on the total weight of 100 parts by weight of the photosensitive resin composition, 15 parts by weight of the previously produced compound, 254 33 parts by weight of the pigment R, 16 parts by weight of the binder A as the binder resin, and 4.5 parts by weight of the dipentaerythritol hexaacrylate. Parts by weight, leveling agent F-554 0.3 parts by weight, adhesive auxiliary KBM-503 0.15 parts by weight, photoinitiator (PBG-3057) 0.5 parts by weight, solvent propylene glycol monomethyl ether acetate (PGMEA, Plasticene) Example 1 of the photosensitive resin composition was produced by mixing 30.55 parts by weight of Glycol monomer ether acetate).

前記バインダー樹脂として使用されたバインダーAは、質量比がベンジルメタアクリレート;N−フェニルマレイミド;スチレン;メタクリル酸=55:9:11:25の共重合体である。 The binder A used as the binder resin is a copolymer having a mass ratio of benzyl methacrylate; N-phenylmaleimide; styrene; methacrylic acid = 55: 9: 11: 25.

[感光性樹脂組成物の実施例2〜5の製造]
感光性樹脂組成物の実施例1の化合物1を下記表1に記載の化合物に変更した以外は、感光性樹脂組成物の実施例1と同様の方法で感光性樹脂組成物の実施例2〜5を製造した。

Figure 0006828923
[Manufacturing of Examples 2 to 5 of the photosensitive resin composition]
Examples 2 to 2 of the photosensitive resin composition in the same manner as in Example 1 of the photosensitive resin composition except that the compound 1 of Example 1 of the photosensitive resin composition was changed to the compounds shown in Table 1 below. 5 was manufactured.
Figure 0006828923

比較例. Comparative example.

[感光性樹脂組成物の比較例1の製造]
感光性樹脂組成物の実施例1の化合物を比較例1の化合物(ローダミン6G)に変更した以外は、感光性樹脂組成物の実施例1と同様の方法で感光性樹脂組成物の比較例1を製造した。
[Manufacturing of Comparative Example 1 of Photosensitive Resin Composition]
Comparative Example 1 of the photosensitive resin composition in the same manner as in Example 1 of the photosensitive resin composition except that the compound of Example 1 of the photosensitive resin composition was changed to the compound of Comparative Example 1 (Rhodamine 6G). Manufactured.

[感光性樹脂組成物の比較例2の製造]
感光性樹脂組成物の実施例1の化合物を比較例2の化合物に変更した以外は、感光性樹脂組成物の実施例1と同様の方法で感光性樹脂組成物の比較例2を製造した。
[Manufacturing of Comparative Example 2 of Photosensitive Resin Composition]
Comparative Example 2 of the photosensitive resin composition was produced in the same manner as in Example 1 of the photosensitive resin composition except that the compound of Example 1 of the photosensitive resin composition was changed to the compound of Comparative Example 2.

実験例. Experimental example.

[基板の作製]
前記比較例1、実施例1〜5によって製造された感光性樹脂組成物をガラス(5cm×5cm)上にスピンコーティングし、100℃で100秒間前熱処理(Prebake)を実施してフィルムを形成させた。
[Preparation of substrate]
The photosensitive resin compositions produced in Comparative Examples 1 and 1 to 5 were spin-coated on glass (5 cm × 5 cm) and preheated (Prebake) at 100 ° C. for 100 seconds to form a film. It was.

フィルムを形成させた基板に、露光器を用いて、基板の全面に40mJ/cmの露光量を照射した。この後、露光した基板を現像液(KOH、0.05%)に60秒間現像し、230℃で20分間後熱処理(Post bake)して基板を作製した。 The substrate on which the film was formed was irradiated with an exposure amount of 40 mJ / cm 2 on the entire surface of the substrate using an exposure device. After that, the exposed substrate was developed in a developing solution (KOH, 0.05%) for 60 seconds, and then heat-treated (Post-bake) at 230 ° C. for 20 minutes to prepare a substrate.

[耐熱性評価]
前記のような条件で作製された後熱処理(Post bake1回)基板を、分光器(MCPD、大塚社)を用いて、380〜780nmの波長範囲の吸収スペクトルを得た。
[Heat resistance evaluation]
An absorption spectrum in the wavelength range of 380 to 780 nm was obtained using a spectroscope (MCPD, Otsuka Co., Ltd.) on the post-heat treatment (Post bake 1 time) substrate prepared under the above conditions.

また、後熱処理基板(Post bake1回)を追加的に230℃で60分間処理して、同一の装備と同一の測定範囲で透過率スペクトルを得た。 In addition, the post-heat treatment substrate (Post bake once) was additionally treated at 230 ° C. for 60 minutes to obtain a transmittance spectrum in the same equipment and the same measurement range.

C光源をバックライトとして得られた吸収スペクトルで得られた値L*、a*、b*を用いて、△Eabを下記計算式1によって計算して、下記表2に示した。
[計算式1]
ΔEab(L*、a*、b*)={(ΔL*)+(Δa*)+(Δb*)1/2
△Eab値が小さいというのは、色変化が少ないという意味で、耐熱性に優れていることを表す。

Figure 0006828923
Using the values L *, a *, and b * obtained from the absorption spectrum obtained with the C light source as the backlight, ΔEab was calculated by the following formula 1 and shown in Table 2 below.
[Calculation formula 1]
ΔEab (L *, a *, b *) = {(ΔL *) 2 + (Δa *) 2 + (Δb *) 2 } 1/2
When the ΔEab value is small, it means that there is little color change, and it means that the heat resistance is excellent.
Figure 0006828923

前記表2において、60分は、後熱処理基板を追加的に230℃で60分間処理した場合、20分は、230℃で20分間後熱処理(Post bake)した場合を意味する。 In Table 2, 60 minutes means that the post-heat treatment substrate was additionally treated at 230 ° C. for 60 minutes, and 20 minutes means the case where the post-heat treatment substrate was post-heat-treated (Post-bake) at 230 ° C. for 20 minutes.

[異物評価]
作製された基板の1×1cm領域の表面の異物が15個以上であればX、6〜14個であれば△、5個以下であれば○と表記して、下記表3に示した。

Figure 0006828923
[Foreign matter evaluation]
If the number of foreign substances on the surface of the 1 × 1 cm region of the produced substrate is 15 or more, it is indicated as X, if it is 6 to 14, it is indicated as Δ, and if it is 5 or less, it is indicated as ○, and is shown in Table 3 below.
Figure 0006828923

本明細書の一実施態様に係る前記化学式1のように、窒素原子にアルキルフタルイミドが置換された化合物の場合、既存のミルベース(Millbase)の分散性を妨げず、R254ミルベース(Millbase)と混合して使用した時、表面の異物が少なく、高温で顔料を安定化させることができて、追加の熱処理による色変化が少ないことが分かった。前記ミルベース(Millbase)とは、溶けない色材を、分散剤とバインダーなどを入れて、組成物上でよく分散させておいた状態を意味する。 In the case of a compound in which an alkylphthalimide is substituted for a nitrogen atom as in the above chemical formula 1 according to one embodiment of the present specification, the compound is mixed with R254 millbase without interfering with the dispersibility of the existing millbase. It was found that there were few foreign substances on the surface, the pigment could be stabilized at high temperature, and there was little color change due to additional heat treatment. The Millbase means a state in which an insoluble coloring material is well dispersed on the composition by adding a dispersant, a binder and the like.

[色座標の輝度の測定]
前記比較例2、実施例1〜5によって製造された感光性樹脂組成物をガラス(5cm×5cm)上にスピンコーティングし、100℃で100秒間前熱処理(Prebake)を実施してフィルムを形成させた。
[Measurement of brightness of color coordinates]
The photosensitive resin compositions produced in Comparative Examples 2 and 1 to 5 were spin-coated on glass (5 cm × 5 cm) and preheated (Prebake) at 100 ° C. for 100 seconds to form a film. It was.

フィルムを形成させた基板に、露光器を用いて、基板の全面に40mJ/cmの露光量を照射した。この後、露光した基板を現像液(KOH、0.05%)に60秒間現像し、230℃で20分間後熱処理(Post bake)して基板を作製した。 The substrate on which the film was formed was irradiated with an exposure amount of 40 mJ / cm 2 on the entire surface of the substrate using an exposure device. After that, the exposed substrate was developed in a developing solution (KOH, 0.05%) for 60 seconds, and then heat-treated (Post-bake) at 230 ° C. for 20 minutes to prepare a substrate.

作製された基板を、分光器(MCPD、大塚社)を用いて色座標(x、y)と輝度(Y)を測定し、下記表4に示した。

Figure 0006828923
The produced substrate was measured in color coordinates (x, y) and brightness (Y) using a spectroscope (MCPD, Otsuka), and is shown in Table 4 below.
Figure 0006828923

前記表4によれば、実施例1〜実施例5によって作製された基板は、比較例2によって作製された基板より輝度が高くて優れた色特性を示すことを確認することができた。 According to Table 4, it was confirmed that the substrates produced by Examples 1 to 5 had higher brightness and exhibited excellent color characteristics than the substrates produced by Comparative Example 2.

[最大吸収波長の測定]
前記比較例2の化合物および前記化合物1〜5をそれぞれ25℃のプロピレングリコールモノメチルエーテルアセテート(PGMEA)に10−5molの濃度で溶解させ、UV−visble spectrometer(SCINCO社)を用いて最大吸収波長を測定し、これを下記表5に示した。

Figure 0006828923
[Measurement of maximum absorption wavelength]
The compound of Comparative Example 2 and the compounds 1 to 5 are each dissolved in propylene glycol monomethyl ether acetate (PGMEA) at 25 ° C. at a concentration of 10-5 mol, and the maximum absorption wavelength is maximized using a UV-visible spectrometer (SCINCO). Was measured, and this is shown in Table 5 below.
Figure 0006828923

前記表5によれば、化合物1〜5の最大吸収波長が、比較例2の化合物の最大吸収波長より5〜8nm長波長化され、レッド(Red)カラーフィルタ適用時、より少量で色座標を実現できることを確認することができた。 According to Table 5, the maximum absorption wavelengths of the compounds 1 to 5 are extended by 5 to 8 nm from the maximum absorption wavelengths of the compounds of Comparative Example 2, and when the Red color filter is applied, the color coordinates can be adjusted with a smaller amount. I was able to confirm that it could be achieved.

Claims (15)

下記化学式1で表される化合物:
[化学式1]
Figure 0006828923
前記化学式1において、
〜Rは、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;ニトロ基;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
〜R11は、互いに同一または異なり、それぞれ独立に、水素;重水素;ハロゲン基;−OH;−SO ;−SOH;−SORa;−SONRbRc;−SONHRd;−COOH;−COORa;−CONHRd;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
Ra〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択され、
12は、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;および窒素原子を含む二無水物基からなる群より選択され、
13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
15およびR16は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基からなる群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜30の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロ環を形成し、
およびLは、互いに同一または異なり、それぞれ独立に、直接結合;置換もしくは非置換の炭素数2〜30の直鎖もしくは分枝鎖のアルキレン基;−L−O−L−;−L−S−L−;−L−NH−L−;−L−CO−L−;−L−COO−L−;−L−OCO−L−;−L−NHCO−L−;および−L−CONH−L−からなる群より選択され、
およびLは、互いに同一または異なり、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキレン基であり、
aは、0または1の整数であり、
Xは、陰イオン性基である。
Compound represented by the following chemical formula 1:
[Chemical formula 1]
Figure 0006828923
In the chemical formula 1,
R 1 to R 6 are the same or different from each other, and independently of each other, hydrogen; heavy hydrogen; halogen group; nitro group; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or substituted. Alkoxy groups having 1 to 30 carbon atoms unsubstituted; aryl groups having 6 to 30 carbon atoms substituted or unsubstituted; and monocyclic or polycyclic aryl groups having 2 to 30 carbon atoms substituted or unsubstituted. Selected from the group consisting of heteroaryl groups
R 7 to R 11, equal to or different from each other, each independently, hydrogen, deuterium, halogen; -OH; -SO 3 -; -SO 3 H; -SO 3 Ra; -SO 2 NRbRc; -SO 2 NHRd; -COOH; -COORa; -CONHRd; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl having 6 to 30 carbon atoms. Groups; and selected from the group consisting of substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.
Ra to Rd are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic groups having 6 to 30 carbon atoms. Selected from the group consisting of ring aryl groups; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms.
R 12 is hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic aryl group having 6 to 30 carbon atoms; Selected from the group consisting of substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms; and dianhydride groups containing a nitrogen atom.
R 13 and R 14 are linear or branched alkyl groups having 1 to 30 carbon atoms, which are identical or different from each other and are independently substituted or unsubstituted.
R 15 and R 16 are identical or different from each other and independently of each other: hydrogen; hydrocarbons; -OH; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted. Selected from the group consisting of monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms, or bonded to each other and substituted. Alternatively, an unsubstituted monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 30 carbon atoms; or a substituted or unsubstituted monocyclic or polycyclic heterocycle having 2 to 30 carbon atoms is formed.
L 1 and L 2, equal to or different from each other, each independently, a direct bond; an alkylene group having a linear or branched substituted or unsubstituted C2-30; -L 3 -O-L 4 - ; -L 3 -S-L 4 -; - L 3 -NH-L 4 -; - L 3 -CO-L 4 -; - L 3 -COO-L 4 -; - L 3 -OCO-L 4 -; -L 3 -NHCO-L 4 -; and -L 3 -CONH-L 4 - is selected from the group consisting of,
L 3 and L 4 are linear or branched alkylene groups having 1 to 30 carbon atoms that are identical or different from each other and are substituted or unsubstituted.
a is an integer of 0 or 1 and
X is an anionic group.
前記化学式1は、下記化学式2で表される、請求項1に記載の化合物:
[化学式2]
Figure 0006828923
前記化学式2において、
〜R11、R13〜R16、L、L、aおよびXは、前記化学式1で定義した通りであり、
17およびR18は、互いに同一または異なり、それぞれ独立に、水素;重水素;−OH;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;および置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基を含む群より選択されるか、互いに結合して置換もしくは非置換の炭素数6〜30の単環もしくは多環の芳香族炭化水素環;または置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロ環を形成する。
The compound according to claim 1, wherein the chemical formula 1 is represented by the following chemical formula 2.
[Chemical formula 2]
Figure 0006828923
In the chemical formula 2,
R 1 to R 11 , R 13 to R 16 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 17 and R 18 are the same or different from each other, and independently of each other, hydrogen; hydrocarbons; -OH; substituted or unsubstituted linear or branched alkyl groups having 1 to 30 carbon atoms; substituted or unsubstituted. Selected from the group containing monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; and substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms, or bonded to each other and substituted. Alternatively, an unsubstituted monocyclic or polycyclic aromatic hydrocarbon ring having 6 to 30 carbon atoms; or a substituted or unsubstituted monocyclic or polycyclic heterocycle having 2 to 30 carbon atoms is formed.
前記化学式1は、下記化学式3で表される、請求項1に記載の化合物:
[化学式3]
Figure 0006828923
前記化学式3において、
〜R14、L、L、aおよびXは、前記化学式1で定義した通りであり、
19は、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;−SO ;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、mが2以上の場合、R19は、互いに同一または異なる。
The compound according to claim 1, wherein the chemical formula 1 is represented by the following chemical formula 3.
[Chemical formula 3]
Figure 0006828923
In the chemical formula 3,
R 1 to R 14 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 19 is hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted alkoxy group having 1 to 30 carbon atoms; substituted or unsubstituted carbon. Monocyclic or polycyclic aryl group of number 6 to 30; substituted or unsubstituted monocyclic or polycyclic heteroaryl group having 2 to 30 carbon atoms; halogen group; nitro group; -COOH; -OH; -SO 3 - ; Sulfonic acid group; Sulfonic acid ester group; Sulfonic acid base; -SO 2 NRbRc; and -SO 2 NHRd.
Rb to Rd are linear or branched alkyl groups having 1 to 30 carbon atoms, which are identical or different from each other and are independently substituted or unsubstituted.
m is an integer of 1 to 4, and when m is 2 or more, R 19 is the same as or different from each other.
前記化学式1は、下記化学式4で表される、請求項1に記載の化合物:
[化学式4]
Figure 0006828923
前記化学式4において、
〜R11、R13、R14、L、L、aおよびXは、前記化学式1で定義した通りであり、
19およびR20は、互いに同一または異なり、それぞれ独立に、水素;重水素;置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基;置換もしくは非置換の炭素数1〜30のアルコキシ基;置換もしくは非置換の炭素数6〜30の単環もしくは多環のアリール基;置換もしくは非置換の炭素数2〜30の単環もしくは多環のヘテロアリール基;ハロゲン基;ニトロ基;−COOH;−OH;−SO ;スルホン酸基;スルホン酸エステル基;スルホン酸塩基;−SONRbRc;および−SONHRdからなる群より選択され、
Rb〜Rdは、互いに同一または異なり、れぞれ独立に、置換もしくは非置換の炭素数1〜30の直鎖もしくは分枝鎖のアルキル基であり、
mは、1〜4の整数であり、
nは、1〜4の整数であり、
mが2以上の場合、R19は、互いに同一または異なり、
nが2以上の場合、R20は、互いに同一または異なる。
The compound according to claim 1, wherein the chemical formula 1 is represented by the following chemical formula 4.
[Chemical formula 4]
Figure 0006828923
In the chemical formula 4,
R 1 to R 11 , R 13 , R 14 , L 1 , L 2 , a and X are as defined in Chemical Formula 1 above.
R 19 and R 20 are the same or different from each other, and independently of each other, hydrogen; heavy hydrogen; substituted or unsubstituted linear or branched alkyl group having 1 to 30 carbon atoms; substituted or unsubstituted carbon number 1 Alkax groups of ~ 30; substituted or unsubstituted monocyclic or polycyclic aryl groups having 6 to 30 carbon atoms; substituted or unsubstituted monocyclic or polycyclic heteroaryl groups having 2 to 30 carbon atoms; halogen groups; a nitro group; -COOH; -OH; -SO 3 - ; sulfonic acid group; a sulfonic acid ester group; sulfonate; is selected from the group consisting of and -SO 2 NHRd,; -SO 2 NRbRc
Rb~Rd, equal to or different from each other, in their respective independently, a linear or branched alkyl group having a substituted or unsubstituted 1 to 30 carbon atoms,
m is an integer of 1 to 4 and
n is an integer of 1 to 4 and
When m is 2 or more, R 19 are the same or different from each other.
When n is 2 or more, R 20s are the same or different from each other.
前記Xは、タングステン、モリブデン、ケイ素、およびリンからなる群より選択される少なくとも1個の元素と酸素とを含む化合物の陰イオン;ホウ素を含む陰イオン;スルホン酸基を含む陰イオン;およびハロゲン基を含む陰イオンからなる群より選択されるものである、請求項1から4のいずれか1項に記載の化合物。 The X is an anion of a compound containing oxygen and at least one element selected from the group consisting of tungsten, molybdenum, silicon, and phosphorus; an anion containing boron; an anion containing a sulfonic acid group; and a halogen. The compound according to any one of claims 1 to 4, which is selected from the group consisting of anions containing a group. 前記化学式1で表される化合物は、下記化学式のうちのいずれか1つで表される、請求項1に記載の化合物:
Figure 0006828923
Figure 0006828923
Figure 0006828923
前記化学式中、Xは、前記化学式1で定義した通りである。
The compound according to claim 1, wherein the compound represented by the chemical formula 1 is represented by any one of the following chemical formulas:
Figure 0006828923
Figure 0006828923
Figure 0006828923
In the chemical formula, X is as defined in the chemical formula 1.
13およびR14は、互いに同一または異なり、それぞれ独立に、置換もしくは非置換の炭素数1〜10の直鎖もしくは分枝鎖のアルキル基である、請求項1から5のいずれか1項に記載の化合物。 According to any one of claims 1 to 5, R 13 and R 14 are the same or different from each other, and are independently substituted or unsubstituted linear or branched alkyl groups having 1 to 10 carbon atoms. The compound described. 請求項1〜7のいずれか1項に記載の化合物を含む色材組成物。 A color material composition containing the compound according to any one of claims 1 to 7. 染料および顔料のうちの少なくとも1つをさらに含む、請求項8に記載の色材組成物。 The color material composition according to claim 8, further comprising at least one of a dye and a pigment. 請求項1〜7のいずれか1項に記載の化合物;バインダー樹脂;多官能性モノマー;光開始剤;および溶媒を含む感光性樹脂組成物。 A photosensitive resin composition comprising the compound according to any one of claims 1 to 7, a binder resin; a polyfunctional monomer; a photoinitiator; and a solvent. 前記感光性樹脂組成物中の固形分の総重量を基準として、前記化学式1で表される化合物の含有量は5重量%〜60重量%であり、
前記バインダー樹脂の含有量は1重量%〜60重量%であり、
前記光開始剤の含有量は0.1重量%〜20重量%であり、
前記多官能性モノマーの含有量は0.1重量%〜50重量%である、請求項10に記載の感光性樹脂組成物。
Based on the total weight of the solid content in the photosensitive resin composition, the content of the compound represented by the chemical formula 1 is 5% by weight to 60% by weight.
The content of the binder resin is 1% by weight to 60% by weight.
The content of the photoinitiator is 0.1% by weight to 20% by weight.
The photosensitive resin composition according to claim 10, wherein the content of the polyfunctional monomer is 0.1% by weight to 50% by weight.
添加剤をさらに含む、請求項10または11に記載の感光性樹脂組成物。 The photosensitive resin composition according to claim 10 or 11, further comprising an additive. 請求項10から12のいずれか一項に記載の感光性樹脂組成物を用いて製造された感光材。 A photosensitive material produced by using the photosensitive resin composition according to any one of claims 10 to 12. 請求項13に記載の感光材を含むカラーフィルタ。 A color filter containing the photosensitive material according to claim 13. 請求項14に記載のカラーフィルタを含むディスプレイ装置。 A display device including the color filter according to claim 14.
JP2019543898A 2018-02-23 2019-01-09 Xanthene compounds, color material compositions, photosensitive resin compositions, photosensitive materials, color filters and display devices Active JP6828923B2 (en)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
KR20180022076 2018-02-23
KR10-2018-0022076 2018-02-23
KR10-2019-0001820 2019-01-07
KR1020190001820A KR102269200B1 (en) 2018-02-23 2019-01-07 Xanthene-based compound, colorant composition, photosensitive resin composition, photoresist, color filter and display device
PCT/KR2019/000342 WO2019164122A1 (en) 2018-02-23 2019-01-09 Xanthene-based compound, colorant composition, photosensitive resin composition, photosensitive material, color filter, and display device

Publications (2)

Publication Number Publication Date
JP2020512429A JP2020512429A (en) 2020-04-23
JP6828923B2 true JP6828923B2 (en) 2021-02-10

Family

ID=67951121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019543898A Active JP6828923B2 (en) 2018-02-23 2019-01-09 Xanthene compounds, color material compositions, photosensitive resin compositions, photosensitive materials, color filters and display devices

Country Status (4)

Country Link
JP (1) JP6828923B2 (en)
KR (1) KR102269200B1 (en)
CN (1) CN110392717B (en)
TW (1) TWI705063B (en)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20010009058A (en) 1999-07-07 2001-02-05 성재갑 Photosensitive resin composition
KR101632461B1 (en) * 2011-08-05 2016-06-21 스미또모 가가꾸 가부시키가이샤 Colored curable resin composition
KR101361679B1 (en) * 2012-03-30 2014-02-12 (주)경인양행 Xanthene dye compounds, colored resin composition comprising the same for color filter and color filter using the same
JP6162084B2 (en) * 2013-09-06 2017-07-12 富士フイルム株式会社 Colored composition, cured film, color filter, method for producing color filter, solid-state imaging device, image display device, polymer, xanthene dye
KR101997656B1 (en) * 2015-06-17 2019-07-09 주식회사 엘지화학 Xanthene-based compound and photosensitive resin composition comprising the same
KR102055478B1 (en) * 2015-09-21 2019-12-12 주식회사 엘지화학 Xanthene-based compound, colorant composition comprising the same and resin composition comprising the same
JP2017114996A (en) * 2015-12-24 2017-06-29 日本化薬株式会社 Xanthene compound
KR102092438B1 (en) * 2016-07-26 2020-03-23 주식회사 엘지화학 Photosensitive resin composition and color filter comprising same

Also Published As

Publication number Publication date
TWI705063B (en) 2020-09-21
CN110392717A (en) 2019-10-29
KR20190101864A (en) 2019-09-02
JP2020512429A (en) 2020-04-23
TW201936601A (en) 2019-09-16
CN110392717B (en) 2021-08-27
KR102269200B1 (en) 2021-06-25

Similar Documents

Publication Publication Date Title
JP6380867B2 (en) COMPOUND, COLORING COMPOSITION CONTAINING THE SAME, AND RESIN COMPOSITION CONTAINING THE SAME
JP6555625B2 (en) Xanthene compound and photosensitive resin composition containing the same
JP7120527B2 (en) compounds, colorant compositions, photosensitive materials, color filters and display devices
TWI669346B (en) Photosensitive resin composition, photosensitive material, color filter and display device
JP2017203161A (en) Compound, colorant composition comprising the same, and resin composition comprising the same
JP2017095706A (en) Compound, color material composition containing the same, and resin composition containing the same
JP6927551B2 (en) Xanthene compounds and photosensitive resin compositions containing them
JP6753597B2 (en) Compound and photosensitive resin composition containing the compound
JP6996050B2 (en) Binder resin, photosensitive resin composition, photosensitive material, color filter and display device
KR102330963B1 (en) Compound, colorant composition comprising the same, photosensitive resin composition, photoresist, color filter, display device comprising the same
JP6687189B2 (en) Colorant composition, colorant dispersion, photosensitive resin composition, color filter and liquid crystal display device
JP6879481B2 (en) Kinoftalone compounds, photosensitive resin compositions containing them, photosensitive materials, color filters, and display devices.
KR102662536B1 (en) Colorant composition, photosensitive resin composition, photo resist, color filter, and display device
JP6828923B2 (en) Xanthene compounds, color material compositions, photosensitive resin compositions, photosensitive materials, color filters and display devices
KR20200069070A (en) Colorant composition, photosensitive resin composition, photo resist, color filter, and display device
JP6940231B2 (en) Xanthene compounds, photosensitive resin compositions containing them, photosensitive materials, color filters, and display devices.
JP7120526B2 (en) Colorant composition, photosensitive material, color filter and display device
KR102306981B1 (en) Xanthene-based compound, color material composition comprising the same, photosensitive resin composition, photoresist, color filter, and display device
KR102268234B1 (en) Compound, colorant composition, photosensitive resin composition, photoresist, color filter, and display device
KR20200078339A (en) Photosensitive resin composition, photoresist, color filter and display device
JP2020530849A (en) Compounds, polymers, color material compositions, resin compositions, color filters and display devices
KR20200061040A (en) Photosensitive resin composition, photoresist, color filter and display device
KR20200069057A (en) Colorant composition, photosensitive resin composition, photo resist, color filter, and display device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200915

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201222

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210106

R150 Certificate of patent or registration of utility model

Ref document number: 6828923

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250