JP6738423B2 - Optical metrology in lithographic processes using asymmetric sub-resolution features to enhance measurements - Google Patents

Optical metrology in lithographic processes using asymmetric sub-resolution features to enhance measurements Download PDF

Info

Publication number
JP6738423B2
JP6738423B2 JP2018532067A JP2018532067A JP6738423B2 JP 6738423 B2 JP6738423 B2 JP 6738423B2 JP 2018532067 A JP2018532067 A JP 2018532067A JP 2018532067 A JP2018532067 A JP 2018532067A JP 6738423 B2 JP6738423 B2 JP 6738423B2
Authority
JP
Japan
Prior art keywords
main feature
model
substrate
sub
resolution
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018532067A
Other languages
Japanese (ja)
Other versions
JP2019502950A (en
Inventor
ソチャ、ロバート、ジョン
ワロウ、トーマス、アイ.
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019502950A publication Critical patent/JP2019502950A/en
Application granted granted Critical
Publication of JP6738423B2 publication Critical patent/JP6738423B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/20Masks or mask blanks for imaging by charged particle beam [CPB] radiation, e.g. by electron beam; Preparation thereof
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • G01N21/4785Standardising light scatter apparatus; Standards therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/18Complex mathematical operations for evaluating statistical data, e.g. average values, frequency distributions, probability functions, regression analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N20/00Machine learning
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N7/00Computing arrangements based on specific mathematical models
    • G06N7/01Probabilistic graphical models, e.g. probabilistic networks
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2201/00Features of devices classified in G01N21/00
    • G01N2201/12Circuits of general importance; Signal processing
    • G01N2201/127Calibration; base line adjustment; drift compensation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2111/00Details relating to CAD techniques
    • G06F2111/10Numerical modelling

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Data Mining & Analysis (AREA)
  • Mathematical Physics (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Evolutionary Computation (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Probability & Statistics with Applications (AREA)
  • Algebra (AREA)
  • Artificial Intelligence (AREA)
  • Computing Systems (AREA)
  • Pathology (AREA)
  • Evolutionary Biology (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Health & Medical Sciences (AREA)
  • Databases & Information Systems (AREA)
  • Operations Research (AREA)
  • Chemical & Material Sciences (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Medical Informatics (AREA)
  • Computer Vision & Pattern Recognition (AREA)
  • Geometry (AREA)
  • Computer Hardware Design (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Description

本発明は、一般にリソグラフィに関連し、より具体的にはリソグラフィプロセスの計測に関する。 The present invention relates generally to lithography, and more specifically to metrology for lithographic processes.

フォトリソグラフィ装置といったリソグラフィ装置は、基板上、たいていの場合基板上のターゲット部分にパターンを付与する機械である。リソグラフィ装置は、例えば集積回路(IC)の製造に用いることができる。この場合、代替的にマスクまたはレチクルとも称されるパターニングデバイスがICの個々の層の上に形成されるべき回路パターンを生成するために用いられうる。このパターンは、基板(例えばシリコンウェハ)上のターゲット部分(例えば、一以上のダイの部分を含むフィールド)に転写することができる。パターンの転写は、基板上に設けられる放射感受性材料(レジスト)の層へのイメージングを典型的に介する。一般に、一つの基板は、連続的にパターニングされる隣接するターゲット部分のセットを含むであろう。 A lithographic apparatus, such as a photolithographic apparatus, is a machine that applies a pattern onto a substrate, often a target portion on the substrate. A lithographic apparatus can be used, for example, in the manufacture of integrated circuits (ICs). In this case, patterning devices, which are alternatively referred to as masks or reticles, can be used to generate the circuit pattern to be formed on the individual layers of the IC. This pattern can be transferred onto a target portion (eg a field containing one or more die portions) on a substrate (eg a silicon wafer). The transfer of the pattern is typically via imaging onto a layer of radiation sensitive material (resist) provided on the substrate. In general, a single substrate will contain a set of adjacent target portions that are successively patterned.

リソグラフィプロセスにおいて、生成される構造を例えばプロセスの制御および監視のために頻繁に測定することが望ましい。様々なツールがこのような測定を実行してよく、例えば、様々な形態の散乱計などの光学ツールを含む。これらのデバイスは、典型的に放射のビームをターゲット(例えばパターニングされた基板上のテスト構造)に向け、散乱された放射の特性を測定する。このような特性の例は、単一の反射角度での波長の関数としての散乱放射の強度、一以上の波長での反射角の関数としての強度、または反射角の関数としての偏光を含む。測定された特性は、しばしば回折「スペクトル」を特徴付け、ここからターゲットの関心のある特性を決定できる。 In a lithographic process, it is desirable to frequently measure the resulting structures, for example for process control and monitoring. Various tools may perform such measurements, including, for example, optical tools such as various forms of scatterometers. These devices typically direct a beam of radiation onto a target (eg, a test structure on a patterned substrate) and measure the properties of the scattered radiation. Examples of such properties include the intensity of scattered radiation as a function of wavelength at a single reflection angle, the intensity as a function of reflection angle at one or more wavelengths, or the polarization as a function of reflection angle. The measured property often characterizes the diffraction "spectrum" from which the property of interest of the target can be determined.

以下は、本技術のいくつかの態様の網羅的ではないリストである。これらの態様および他の態様は、以下の開示に記載される。 The following is a non-exhaustive list of some aspects of the present technology. These and other aspects are described in the disclosure below.

いくつかの態様は、モデルを較正するプロセスを含む。このプロセスは、複数の構造からの散乱放射情報であって、各構造のパターニングプロセスの特性である別個のプロセス条件に散乱放射情報の個別の部分が関連する散乱放射情報を含むトレーニングデータを取得することと;一以上のプロセッサを用いて、プロセス特性の一つにおける変化を散乱放射情報の対応する変化に関連付ける第1の比率を決定することにより、モデルをトレーニングデータを用いて較正することと;を含む。 Some aspects include a process of calibrating the model. This process obtains training data that includes scattered radiation information from multiple structures, each portion of the scattered radiation information being associated with a separate process condition that is characteristic of the patterning process of each structure. Calibrating the model with the training data by using one or more processors to determine a first ratio that relates a change in one of the process characteristics to a corresponding change in the scattered radiation information; including.

いくつかの態様は、パターニングプロセスのパラメータを推測するプロセスを含む。このプロセスは、基板上のパターン構造の散乱放射測定値を取得することと;一以上のプロセッサを用いて、フォトリソグラフィ・パターニングのプロセス特性を較正されたモデルを用いて光学測定値に基づいて推測することと;を含み、モデルは、プロセス特性の一つにおける変化を散乱放射測定値の変化に関連付ける第1の比率を備える。 Some aspects include a process of estimating parameters of the patterning process. This process involves obtaining scattered radiation measurements of patterned structures on a substrate; using one or more processors to infer process characteristics of photolithographic patterning based on optical measurements using calibrated models. The model comprises a first ratio relating changes in one of the process characteristics to changes in the scattered radiation measurement.

本技術の上述の態様および他の態様は、同様の参照符号が類似または同一の部分を示す以下の図面を参照しながら本明細書が読まれるときにより良く理解されるであろう。 The above and other aspects of the present technology will be better understood when the specification is read with reference to the following drawings, wherein like reference numerals indicate similar or identical parts.

リソグラフィ装置の例を示す図である。FIG. 6 illustrates an example of a lithographic apparatus.

本発明に係る検査技術が用いられうるリソグラフィセルまたはクラスタの例を示す図である。FIG. 6 illustrates an example of a lithographic cell or cluster in which the inspection technique according to the present invention may be used.

検査装置の第1の例としての分光散乱計の動作原理を模式的に示す図である。It is a figure which shows typically the operating principle of the spectral scatterometer as a 1st example of an inspection apparatus.

検査装置の別の例としての角度分解散乱計を概略的な形態で模式的に示す図である。It is a figure which shows typically the angle-resolved scatterometer as another example of an inspection apparatus in schematic form.

図5Aおよび図5Bは、角度分解散乱計測および暗視野イメージング検査の実行に適した検査装置を概略的に示す図である。5A and 5B are schematic diagrams of an inspection apparatus suitable for performing angle-resolved scatterometry and dark field imaging inspection.

基板上にフォーカスに依存した非対称性を有するグレーティングを形成するのに適したレチクル上のターゲット形成要素を模式的に示す図である。FIG. 6 is a diagram schematically showing a target forming element on a reticle suitable for forming a grating having a focus-dependent asymmetry on a substrate.

いくつかの実施の形態に係るレチクル上のテスト構造の例を示す平面図である。FIG. 6 is a plan view showing an example of a test structure on a reticle according to some embodiments.

図7のレチクルに対応する基板上のパターンテスト構造の例を示す断面図である。FIG. 8 is a cross-sectional view showing an example of a pattern test structure on a substrate corresponding to the reticle of FIG. 7.

図7のテスト構造に基づいてモデルを較正するプロセスの例を示すフローチャートである。8 is a flow chart showing an example of a process for calibrating a model based on the test structure of FIG. 7.

図9のモデルに基づいてリソグラフィプロセスを監視または制御するプロセスの例を示すフローチャートである。10 is a flow chart showing an example of a process for monitoring or controlling a lithographic process based on the model of FIG. 9.

上述の技術の特定のステップが実施されうるコンピュータシステムの例を示すブロック図である。FIG. 3 is a block diagram illustrating an example computer system in which certain steps of the techniques described above may be implemented.

本発明は、様々な変形および代替的な形態をとりうるが、その特定の実施の形態は、図面の例として示され、本明細書において詳細に記載される。図面は縮尺どおりではないかもしれない。しかしながら、図面およびその詳細な説明は、本発明を開示された特定の形態に限定することを意図するものではなく、むしろ、添付の請求項により規定される本発明の思想および範囲に含まれる全ての変形、均等物および代替物をカバーすることを意図していることを理解されたい。 While the invention is susceptible to various modifications and alternative forms, specific embodiments thereof are shown by way of example in the drawings and will be described in detail herein. Drawings may not be to scale. However, the drawings and their detailed description are not intended to limit the invention to the particular forms disclosed, but rather to be within the spirit and scope of the invention as defined by the appended claims. It is to be understood that it is intended to cover variations, equivalents and alternatives of.

本書に記載される問題を低減するため、本発明者らは解決策を発明し、いくつかのケースでは重要なことに、リソグラフィの分野の他者によって見過ごされた(または予見されていない)問題を認識しなければならなかった。実際、発明者らが期待するように業界のトレンドが継続する将来に明らかとなるであろうこれらの新しい問題を認識することの難しさを本発明者らは強調したい。さらに、複数の問題が対処されるため、いくつかの実施の形態は問題特有のものであり、全ての実施の形態が本書に記載される従来のシステムのあらゆる問題に対処するわけではなく、また、本書に記載されるあらゆる利益を提供するわけではない。したがって、これらの問題の様々な変形例を解決する改善点が以下に記載される。 To reduce the problems described herein, we invented solutions, and in some cases, importantly, problems that were overlooked (or unforeseen) by others in the field of lithography. Had to recognize. In fact, we would like to emphasize the difficulty of recognizing these new issues that will become apparent in the future trend of the industry as we expect. Moreover, because multiple problems are addressed, some embodiments are problem-specific, and not all embodiments address every problem in conventional systems described herein, and , Does not provide all the benefits described in this document. Therefore, improvements that solve various variations of these problems are described below.

本発明の実施の形態を詳細に説明する前に、本発明が実施されうる例示的な環境を提示することが役に立つ。 Before describing the embodiments of the present invention in detail, it is helpful to present an exemplary environment in which the present invention may be implemented.

図1は、リソグラフィ装置LAを概略的に示す図である。装置は、放射ビームB(例えばUV放射またはDUV放射)を調整するよう構成される照明システム(イルミネータ)ILと;パターニングデバイス(例えばマスク)MAを支持するよう構築され、特定のパラメータにしたがってパターニングデバイスを正確に位置決めするよう構成される第1位置決め装置PMに接続されるパターニングデバイスサポートまたはサポート構造(例えばマスクテーブル)MTと;基板(例えばレジストコートされたウェハ)Wを保持するようそれぞれが構築され、特定のパラメータにしたがって基板を正確に位置決めするよう構成される第2位置決め装置PWにそれぞれが接続される二つの基板テーブル(例えばウェハテーブル)WTaおよびWTbと;パターニングデバイスMAにより放射ビームBに付与されるパターンを基板Wのターゲット部分C(例えば一以上のダイを含む)に投影するよう構成される投影システム(例えば屈折型投影レンズシステム)PSと、を備える。基準フレームRFは、様々な構成要素を接続し、パターニングデバイスおよび基板ならびにそれらの上のフィーチャの位置を設定および測定するための参照基準として機能する。 FIG. 1 is a diagram schematically showing a lithographic apparatus LA. The apparatus is constructed to support an illumination system (illuminator) IL configured to condition a beam of radiation B (eg UV or DUV radiation); a patterning device (eg mask) MA, and patterning device according to specific parameters. A patterning device support or support structure (eg mask table) MT connected to a first positioning device PM configured to accurately position the substrate; each configured to hold a substrate (eg resist coated wafer) W. , Two substrate tables (eg wafer tables) WTa and WTb each connected to a second positioning device PW configured to accurately position the substrate according to certain parameters; A projection system (eg, a refractive projection lens system) PS configured to project the patterned pattern onto a target portion C (eg, including one or more dies) of a substrate W. The reference frame RF serves as a reference reference for connecting the various components and for setting and measuring the position of the patterning device and the substrate and the features thereon.

照明システムは、放射を方向付け、成形し、または、制御するために、屈折型、反射型、磁気型、電磁気型、静電型または他の形式の光学素子、もしくは、これらの任意の組み合わせといった様々な形式の光学素子を含んでよい。 The illumination system may be refractive, reflective, magnetic, electromagnetic, electrostatic or other form of optical element, or any combination thereof, for directing, shaping, or controlling radiation. Various types of optical elements may be included.

いくつかの実施の形態において、パターニングデバイスサポートは、パターニングデバイスの向き、リソグラフィ装置のデザイン、および、例えばパターニングデバイスが真空環境で保持されるか否かといった他の条件に応じた態様でパターニングデバイスを保持する。パターニングデバイスサポートは、多くの形態をとることができる。パターニングデバイスサポートは、例えば投影システムに対してパターニングデバイスを位置決めしてよい。 In some embodiments, the patterning device support positions the patterning device in a manner that depends on the orientation of the patterning device, the design of the lithographic apparatus, and other conditions, such as whether the patterning device is held in a vacuum environment. Hold. The patterning device support can take many forms. The patterning device support may position the patterning device with respect to the projection system, for example.

本書で用いる「パターニングデバイス」の用語は、放射ビームの断面にパターンを付与して基板のターゲット部分にパターンを生成するように用いることのできる任意のデバイスを称するものとして広く解釈されるべきである。放射ビームに付されるパターンは、例えば、パターンが位相シフトフィーチャやいわゆるアシストフィーチャを含む場合、基板のターゲット部分の所望のパターンと正確に一致しなくてもよいことに留意されよう。一般に、放射ビームに付されるパターンは、集積回路といったターゲット部分に生成されるデバイス内の特定の機能層に一致するであろう。 The term "patterning device" as used herein should be broadly construed to refer to any device that can be used to pattern a cross section of a radiation beam to create a pattern in a target portion of a substrate. .. It should be noted that the pattern imparted to the radiation beam may not exactly match the desired pattern of the target portion of the substrate, eg if the pattern comprises phase shift features or so-called assist features. Generally, the pattern imparted to the radiation beam will correspond to a particular functional layer in the device being created in the target portion, such as an integrated circuit.

図示されるように、装置は透過型である(例えば透過型パターニングデバイスを用いる)。代わりに、装置は反射型であってもよい(例えば、上述したようなプログラマブルミラーアレイを用いるか、反射型マスクを用いる)。パターニングデバイスの例は、マスク、プログラマブルミラーアレイ、プログラマブルLCDパネルを含む。本書での「レチクル」または「マスク」の用語の任意の使用は、より一般的な用語である「パターニングデバイス」と同義とみなされてもよい。「パターニングデバイス」の用語は、プログラマブルパターニングデバイスなどの制御に用いるためのパターン情報をデジタル形式で記憶するデバイスを参照するものと解釈されることもできる。 As shown, the device is transmissive (eg, using a transmissive patterning device). Alternatively, the device may be reflective (eg, using a programmable mirror array as described above or using a reflective mask). Examples of patterning devices include masks, programmable mirror arrays, programmable LCD panels. Any use of the terms "reticle" or "mask" herein may be considered synonymous with the more general term "patterning device." The term "patterning device" can also be interpreted as referring to a device that stores pattern information in digital form for use in controlling, such as a programmable patterning device.

本書で用いる「投影システム」の用語は、用いられる露光放射や、液浸液の使用または真空の使用といった他の要素について適切であれば、屈折型、反射型、屈折反射型、磁気型、電磁気型および静電型の光学システムまたはこれらの任意の組み合わせを含む、任意の形式の投影システムを包含するものとして広く解釈されるべきである。本書での「投影レンズ」の用語のいかなる使用も、より一般的な用語である「投影システム」と同義とみなしてよい。 As used herein, the term "projection system" refers to refraction, reflection, refraction, magnetic, electromagnetic, where appropriate for the exposure radiation used and other factors such as the use of immersion liquid or the use of vacuum. It should be broadly construed as encompassing any type of projection system, including mold and electrostatic optical systems or any combination thereof. Any use of the term "projection lens" herein may be considered as synonymous with the more general term "projection system."

リソグラフィ装置は、基板の少なくとも一部が比較的高屈折率を有する液体(例えば水)でカバーされ、投影システムと基板の間の空間が満たされる形式であってもよい。液浸液はリソグラフィ装置の他の空間、例えばマスクと投影システムの間に適用されてもよい。液浸技術は、投影システムの開口数を増大することが期待される。 The lithographic apparatus may be of a type in which at least part of the substrate is covered with a liquid having a relatively high refractive index (eg water) so that the space between the projection system and the substrate is filled. The immersion liquid may be applied to other spaces of the lithographic apparatus, for example between the mask and the projection system. Immersion techniques are expected to increase the numerical aperture of projection systems.

動作中、照明システムILは放射ビームを放射源SOから受ける。放射源およびリソグラフィ装置は、例えば放射源がエキシマレーザである場合、別体であってもよい。このような場合、放射源はリソグラフィ装置の一部を形成するとみなされず、放射ビームは、放射源SOからイルミネータILに向けて、例えば適切な方向付けミラーおよび/またはビームエキスパンダを含むビームデリバリシステムBDの助けを借りて通過する。別の場合、例えば放射源が水銀ランプの場合、放射源がリソグラフィ装置の一体的な部分であってもよい。放射源SOおよびイルミネータILは、必要に応じて、ビームデリバリシステムBDとともに放射システムと称されてもよい。 In operation, the illumination system IL receives a beam of radiation from a radiation source SO. The radiation source and the lithographic apparatus may be separate entities, for example when the radiation source is an excimer laser. In such a case, the radiation source is not considered to form part of the lithographic apparatus, and the radiation beam is directed from the radiation source SO towards the illuminator IL, for example a beam delivery system including suitable directing mirrors and/or beam expanders. Pass with the help of BD. In other cases, the source may be an integral part of the lithographic apparatus, for example when the source is a mercury lamp. The radiation source SO and the illuminator IL may optionally be referred to as a radiation system together with the beam delivery system BD.

イルミネータILは、例えば、放射ビームの角度強度分布を調整するためのアジャスタADと、インテグレータINと、コンデンサCOとを含んでよい。イルミネータは、放射ビームを調整し、その断面に所望の均一性および強度分布を有するように用いられてもよい。 The illuminator IL may include, for example, an adjuster AD for adjusting the angular intensity distribution of the radiation beam, an integrator IN, and a capacitor CO. An illuminator may be used to condition the beam of radiation and to have the desired uniformity and intensity distribution in its cross section.

放射ビームBは、パターニングデバイスサポートMT上に保持されるパターニングデバイスMAに入射し、パターニングデバイスによりパターニングされる。パターニングデバイス(例えばマスク)MAを通過すると、放射ビームBは、基板Wのターゲット部分Cにビームを合焦させる投影システムPSを通過する。第2位置決め装置PWおよび位置センサIF(例えば干渉計装置、リニアエンコーダまたは静電容量センサ)の助けを借りて、例えば放射ビームBの経路上に異なるターゲット部分Cが位置するように基板テーブルWTaまたはWTbを正確に移動させることができる。同様に、第1位置決め装置PMおよび別の位置センサ(これは図1に明示されていない)を用いて、例えばマスクライブラリからの機械検索後またはスキャン中に、放射ビームBの経路に対してパターニングデバイス(例えばレチクル/マスク)MAを正確に位置決めできる。 The radiation beam B is incident on the patterning device MA, which is held on the patterning device support MT, and is patterned by the patterning device. After passing through the patterning device (eg mask) MA, the radiation beam B passes through a projection system PS which focuses the beam on a target portion C of the substrate W. With the help of a second positioning device PW and a position sensor IF (eg an interferometer device, a linear encoder or a capacitance sensor), for example the substrate table WTa or the substrate table WTa so that a different target portion C is located in the path of the radiation beam B. WTb can be moved accurately. Similarly, the first positioner PM and another position sensor (which is not explicitly shown in FIG. 1) are used to pattern the path of the radiation beam B, for example after a machine search from a mask library or during a scan. The device (eg reticle/mask) MA can be accurately positioned.

パターニングデバイス(例えばレチクル/マスク)MAおよび基板Wは、マスクアライメントマークM1,M2および基板アライメントマークP1,P2を用いてアライメントされうる。基板アライメントマークが専用のターゲット部分を占めるように描かれているが、それらがターゲット部分の間のスペースに配置されてもよい(これはスクライブラインアライメントマークとして知られる)。同様に、パターニングデバイス(例えばマスク)MAに二以上のダイが設けられる場合には、マスクアライメントマークがダイの間に配置されてもよい。相対的に小さなアライメントマークがダイの内側のデバイスフィーチャの間に含まれもてよく、この場合、マーカが可能な限り小さく、かつ、隣接するフィーチャとは異なる任意の結像条件またはプロセス条件を必要としないことが望ましい。アライメントマーカを検出するアライメントシステムは、以下に別途記載される。 Patterning device (eg reticle/mask) MA and substrate W may be aligned using mask alignment marks M1, M2 and substrate alignment marks P1, P2. Although the substrate alignment marks are depicted as occupying dedicated target portions, they may be located in the spaces between the target portions (this is known as the scribe line alignment marks). Similarly, if the patterning device (eg mask) MA is provided with more than one die, the mask alignment marks may be located between the dies. Relatively small alignment marks may be included between device features inside the die, where the markers are as small as possible and require any imaging or process conditions that differ from adjacent features. It is desirable not to. The alignment system that detects the alignment marker is described separately below.

図示される装置は、様々なモードで使用されることができる。スキャンモードでは、パターニングデバイスサポート(例えばマスクテーブル)MTおよび基板テーブルWTが同期してスキャンされる間、放射ビームに付与されるパターンがターゲット部分Cに投影される(つまり、単一動的露光)。パターニングデバイスサポート(例えばマスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの拡大(縮小)特性および像反転特性により決定されうる。スキャンモードにおいて、露光フィールドの最大サイズは、単一動的露光におけるターゲット部分の(非スキャン方向の)幅を制限する一方で、スキャン動作の長さは、ターゲット部分の(スキャン方向の)高さを決定する。ステップモードなどの他の型式のリソグラフィ装置および動作モードも可能である。いわゆる「マスクレス」リソグラフィにおいて、プログラマブルパターニングデバイスが静止して保持されるがパターンの変化を伴い、基板テーブルWTが移動またはスキャンされる。 The depicted apparatus can be used in various modes. In scan mode, the pattern imparted to the radiation beam is projected onto the target portion C (ie a single dynamic exposure) while the patterning device support (eg mask table) MT and the substrate table WT are scanned synchronously. The speed and orientation of the substrate table WT relative to the patterning device support (eg mask table) MT can be determined by the magnification (reduction) and image reversal characteristics of the projection system PS. In scan mode, the maximum size of the exposure field limits the width (in the non-scan direction) of the target portion in a single dynamic exposure, while the length of the scan operation determines the height (in the scan direction) of the target portion. decide. Other types of lithographic apparatus and modes of operation are also possible, such as step mode. In so-called "maskless" lithography, the programmable patterning device is held stationary, but with a pattern change, the substrate table WT is moved or scanned.

上述の使用モードを組み合わせてもよいし、および/または、上述の使用モードに変更を加えてもよく、または完全に異なる使用モードを用いてもよい。 The above described modes of use may be combined and/or modifications may be made to the above described modes of use or entirely different modes of use may be used.

図示される例示的なリソグラフィ装置LAは、二つの基板テーブルWTa,WTbおよび二つのステーションを有するいわゆるデュアルステージ形式のものであり、露光ステーションEXPおよび測定ステーションMEAの間で基板テーブルを交換できる。一方の基板テーブル上の一方の基板が露光ステーションにて露光されている間、別の基板が測定ステーションにて他方の基板テーブル上に装填されることができ、様々な準備ステップが実行される。これは、装置のスループットの実質的な増大を可能にする。準備ステップは、レベルセンサLSを用いて基板の表面の等高線をマップすること、および、アライメントセンサASを用いて基板上のアライメントマーカの位置を測定することを含んでよい。仮に基板テーブルが測定ステーションまたは露光ステーションにある間にその位置を位置センサIFが測定できなければ、基準フレームRFに対して双方のステーションにて基板テーブルの位置の追跡を可能にするように第2位置センサが設けられてもよい。図示されるデュアルステージ構成の代わりに他の構成が使用されてもよい。例えば、基板テーブルおよび測定テーブルが設けられる他のリソグラフィ装置である。これらは、予備的な測定が実行されるときに一緒にドッキングされる一方、基板テーブルが露光を受けるときに切り離される。 The exemplary lithographic apparatus LA shown is of the so-called dual stage type with two substrate tables WTa, WTb and two stations, with which the substrate table can be exchanged between an exposure station EXP and a measurement station MEA. While one substrate on one substrate table is being exposed at the exposure station, another substrate can be loaded on the other substrate table at the measuring station and various preparatory steps are performed. This allows a substantial increase in device throughput. The preparing step may include mapping the contours of the surface of the substrate with the level sensor LS and measuring the position of the alignment marker on the substrate with the alignment sensor AS. If the position sensor IF cannot measure the position of the substrate table while the substrate table is in the measurement station or the exposure station, it is possible to track the position of the substrate table in both stations with respect to the reference frame RF. A position sensor may be provided. Other configurations may be used instead of the dual stage configuration shown. For example, another lithographic apparatus in which a substrate table and a measurement table are provided. They are docked together when preliminary measurements are performed, while they are detached when the substrate table is exposed.

図2に示されるように、リソグラフィ装置LAは、しばしばリソセルまたはクラスタとも称され、基板上での露光前および露光後プロセスを実行する装置も含むリソグラフィセルLCの一部を形成する。たいていの場合、これらはレジスト層を堆積させるスピンコータSC、露光されたレジストを現像する現像装置DE,冷却プレートCHおよびベークプレートBKを含む。基板ハンドラまたはロボットROは、基板を入力/出力ポートI/O1,I/O2から取り出し、異なるプロセス装置間で基板を移動させ、リソグラフィ装置のローディングベイLBに運ぶ。しばしば集合的にトラックと称されるこれらの装置は、トラック制御ユニットTCUの制御下にある。TCU自体は、監視制御システムSCSにより制御され、SCSはリソグラフィ制御ユニットLACUを介してリソグラフィ装置も制御する。したがって、異なる装置がスループットおよびプロセス効率を最大化させるように動作することができる。 As shown in FIG. 2, the lithographic apparatus LA, often also referred to as a lithocell or cluster, forms part of a lithographic cell LC that also includes apparatus for performing pre-exposure and post-exposure processes on a substrate. In most cases, these include a spin coater SC for depositing a resist layer, a developing device DE for developing the exposed resist, a cooling plate CH and a bake plate BK. The substrate handler or robot RO takes the substrate out of the input/output ports I/O1, I/O2, moves the substrate between different process devices and carries it to the loading bay LB of the lithographic apparatus. These devices, which are often collectively referred to as trucks, are under the control of the truck control unit TCU. The TCU itself is controlled by the supervisory control system SCS, which also controls the lithographic apparatus via the lithographic control unit LACU. Therefore, different devices can operate to maximize throughput and process efficiency.

リソグラフィ装置により露光される基板が正確かつ一貫して露光されるため、後続層との間のオーバレイ誤差、線幅、クリティカルディメンジョン(CD)などといった特性を測定し、露光される基板を検査することが多くの場合望ましい。したがって、リソセルLCが配置される製造設備は、リソセルで処理された基板Wの一部または全てを受け入れる計測システムMETも含む。計測結果は、監視制御システムSCSに直接的または間接的に提供される。もしエラーが検出されれば、特に同一バッチの他の基板がまだ露光されている程度に迅速かつ高速に検査が実行できれば、後続基板の露光に対して調整がなされてもよい。また、すでに露光された基板も、歩留まり向上のために剥離および再加工されてもよいし、廃棄されてもよく、これにより不良であることが分かっている基板上でさらなるプロセスが実行されるのが回避されてもよい。基板のいくつかのターゲット部分のみが不良である場合、良好であるターゲット部分のみにさらなる露光を実行することができる。 Inspecting the exposed substrate by measuring characteristics such as overlay error with subsequent layers, line width, critical dimension (CD), etc. so that the substrate exposed by the lithographic apparatus is accurately and consistently exposed Is often desirable. Therefore, the manufacturing facility in which the lithocell LC is arranged also includes the metrology system MET that receives a part or all of the substrate W processed by the lithocell. The measurement result is directly or indirectly provided to the supervisory control system SCS. If an error is detected, adjustments may be made to the exposure of subsequent substrates, especially if the inspection can be performed quickly and quickly such that other substrates in the same batch are still exposed. Also, an already exposed substrate may be stripped and reworked for yield enhancement, or may be discarded, which allows further processing to be performed on substrates known to be defective. May be avoided. If only some target portions of the substrate are defective, then a further exposure can be performed only on the good target portions.

計測システムMET内において、検査装置は、基板の特性を決定するために用いられ、特に、異なる基板または同一基板の異なる層の特性が層ごとにどのように異なるかを決定するために用いられる。検査装置は、リソグラフィ装置LAまたはリソセルLCに一体化されてもよいし、または、独立式の装置であってもよい。測定を迅速化するため、検査装置は、露光されたレジスト内の特性を露光直後に測定することが望ましい。しかしながら、レジスト内の潜像は非常に低いコントラストを有し、放射で露光されているレジスト部分とそうでないレジスト部分との間には非常に小さな屈折率差しかなく、全ての検査装置が潜像の有効な測定の実行に十分な感度を有しているわけではない。したがって、測定は、露光後ベークステップ(PEB)の後に測定が実行されてもよい。PEBは通常、露光された基板上で実行される第1ステップであり、レジストの露光された部分と露光されていない部分との間のコントラストを増大させる。この段階において、レジスト内の像は、半潜像と称されうる。現像された像(この時点で、レジストの露光された部分または露光されていない部分のいずれかが除去されている)の測定を実行することも可能であり、または、エッチングなどのパターン転写ステップ後に実行することも可能である。後者の可能性は、基板の不良を再加工する可能性を制限するが、依然として有益な情報を提供しうる。 In the metrology system MET, the inspection device is used to determine the properties of the substrates, in particular how the properties of different substrates or different layers of the same substrate are different from layer to layer. The inspection apparatus may be integrated in the lithographic apparatus LA or the lithocell LC or may be a stand-alone apparatus. In order to speed up the measurement, it is desirable that the inspection apparatus measures the characteristics in the exposed resist immediately after the exposure. However, the latent image in the resist has a very low contrast, and there is no very small index difference between the resist areas that are exposed to radiation and the resist areas that are not exposed to radiation, so that all inspection systems have a latent image. It is not sensitive enough to perform an effective measurement of. Therefore, the measurement may be performed after the post-exposure bake step (PEB). PEB is usually the first step performed on the exposed substrate and increases the contrast between the exposed and unexposed parts of the resist. At this stage, the image in the resist may be referred to as the semi-latent image. It is also possible to carry out a measurement of the developed image (at which point either the exposed or the unexposed part of the resist has been removed) or after a pattern transfer step such as etching. It is also possible to execute. The latter possibility limits the possibility of reworking substrate defects, but may still provide valuable information.

図3は、上述した形式の計測システム内の検査装置として用いられうる分光散乱計の例を示す。いくつかの実施例において、散乱計は、基板Wに放射を投影する広帯域(白色光)放射投影器2を含む。反射された放射は、鏡面反射された放射のスペクトル6(波長の関数としての強度)を測定する分光器4に向かう。このデータから、検出されるスペクトルを生じさせる構造またはプロファイル8が(後述する一以上のコンピュータシステムを含みうる)処理ユニットPU内での計算により再構築される。この再構築は、例えば厳密結合波解析(Rigorous Coupled Wave Analysis)および非線形回帰法により、または、事前測定したスペクトルまたは事前計算でシミュレーションしたスペクトルのライブラリとの比較により実行できる。たいていの場合、再構築であるため、構造の一般形は既知であり、かつ、いくつかのパラメータは、散乱計測データから決定されるべき構造の少ないパラメータを除いて、構造が形成されたプロセスの知見から推測される。このような散乱計は、法線入射散乱計または斜め入射散乱計として構成されてもよい。 FIG. 3 shows an example of a spectroscopic scatterometer that can be used as an inspection device in a measurement system of the type described above. In some embodiments, the scatterometer includes a broadband (white light) radiation projector 2 that projects radiation onto a substrate W. The reflected radiation goes to a spectroscope 4 which measures the spectrum 6 (intensity as a function of wavelength) of the specularly reflected radiation. From this data, the structure or profile 8 that gives rise to the detected spectrum is reconstructed by calculation in the processing unit PU (which may include one or more computer systems described below). This reconstruction can be performed, for example, by Rigorous Coupled Wave Analysis and non-linear regression methods, or by comparison with a library of pre-measured spectra or pre-computed simulated spectra. In most cases, because it is a reconstruction, the general form of the structure is known, and some parameters are of the process by which the structure was formed, except for the few parameters that should be determined from scatterometry data. Inferred from findings. Such a scatterometer may be configured as a normal incidence scatterometer or an oblique incidence scatterometer.

図4は、分光散乱計に代えてまたは加えて用いられうる角度分解散乱計の例の構成要素を示す。この形式の検査装置において、放射源11により出射される放射は、照明システム12により調整される。例えば、照明システム12は、レンズシステム12a、カラーフィルタ12b、偏光子12cおよびアパチャデバイス13を用いてコリメートすることを含んでよい。調整された放射は、照明経路IPを進み、部分反射面15により反射され、顕微鏡対物レンズ16を介して基板W上のスポットSにフォーカスされる。計測ターゲットTは、基板上に形成されうる。レンズ16は、高い開口数(NA)を有し、好ましくは少なくとも0.9であり、より好ましくは少なくとも0.95である。必要であれば、1を超える開口数を得るために液浸液を用いることができる。 FIG. 4 illustrates example components of an angle resolved scatterometer that may be used in place of or in addition to the spectroscopic scatterometer. In this type of inspection device, the radiation emitted by the radiation source 11 is conditioned by the illumination system 12. For example, the illumination system 12 may include collimating with a lens system 12a, a color filter 12b, a polarizer 12c and an aperture device 13. The adjusted radiation travels along the illumination path IP, is reflected by the partially reflecting surface 15, and is focused on the spot S on the substrate W via the microscope objective lens 16. The measurement target T can be formed on the substrate. The lens 16 has a high numerical aperture (NA), preferably at least 0.9, more preferably at least 0.95. Immersion liquids can be used to obtain numerical apertures greater than 1 if desired.

リソグラフィ装置LA内と同様、測定動作中に基板Wを保持するために一以上の基板テーブルが設けられてもよい。基板テーブルは、図1の基板テーブルWTa,WTbの形態と同様または同一であってもよい(検査装置がリソグラフィ装置に一体化される場合の例において、これらは同じ基板テーブルであってもよい)。粗動および微動位置決め装置は、基板を測定光学システムに対して正確に位置決めするよう構成されてもよい。様々なセンサおよびアクチュエータは、例えば注目するターゲットの位置を取得するため、および、注目するターゲットを対物レンズ16の下に位置させるために設けられてもよい。典型的に多数の測定が基板Wを横切る異なる場所のターゲット上で実行されるであろう。基板サポートは、異なるターゲットを得るためにXおよびY方向に移動でき、ターゲット上で光学システムの所望のフォーカスを得るためにZ方向に移動できる。実際には光学システムが実質的に静止したまま基板のみが移動する場合であっても、対物レンズおよび光学システムが基板上の異なる場所に与えられるように動作を考えて記述することが便利である。基板と光学システムの相対位置が正確であれば、それらの一方または双方が現実世界で移動するかどうかは原理的に重要ではない。 As in the lithographic apparatus LA, one or more substrate tables may be provided to hold the substrate W during the measuring operation. The substrate table may be similar or identical to the configuration of the substrate tables WTa, WTb of FIG. 1 (in the example where the inspection apparatus is integrated in the lithographic apparatus, they may be the same substrate table). .. The coarse and fine positioner may be configured to accurately position the substrate with respect to the measurement optical system. Various sensors and actuators may be provided, for example, to obtain the position of the target of interest and to position the target of interest under the objective lens 16. Typically multiple measurements will be performed on the target at different locations across the substrate W. The substrate support can be moved in the X and Y directions to obtain different targets and in the Z direction to obtain the desired focus of the optical system on the targets. In practice, it is convenient to describe the operation so that the objective lens and the optical system are provided at different positions on the substrate even when only the substrate moves while the optical system remains substantially stationary. .. As long as the relative positions of the substrate and the optical system are accurate, it does not matter in principle whether one or both of them move in the real world.

放射ビームがビームスプリッタ16に入射するとき、この例において、その一部がビームスプリッタを透過して参照ミラーに向けて参照経路RPを進む。 When the radiation beam impinges on the beam splitter 16, in this example, part of it passes through the beam splitter and follows the reference path RP towards the reference mirror.

この例において、基板により反射される放射は、任意の計測ターゲットTにより回折される放射を含み、レンズ16により収集され、収集経路CPを進み、部分反射面を通過して検出器19に向かう。検出器は、レンズ16の焦点距離Fに位置する逆投影瞳面Pに配置されてもよい。実際には、瞳面自体にはアクセスできないかもしれず、代わりに補助光学系(不図示)を用いて、いわゆる共役瞳面P'に配置される検出器に再結像されてもよい。検出器は、基板ターゲット30の二次元角度散乱スペクトルまたは回折スペクトルを測定できるような二次元検出器であってもよい。瞳面または共役瞳面において、放射の径方向位置は、フォーカススポットSの平面内での放射の入射/出射の角度を定義し、光軸O周りの角度位置は放射の方位角を定義する。検出器19は、例えば、CCDまたはCMOSセンサのアレイであってもよく、例えばフレーム毎に40ミリ秒の積分時間を用いてもよい。 In this example, the radiation reflected by the substrate, including the radiation diffracted by any metrology target T, is collected by the lens 16 and travels the collection path CP towards the detector 19 through the partially reflecting surface. The detector may be arranged in the back projection pupil plane P located at the focal length F of the lens 16. In practice, the pupil plane itself may not be accessible and, instead, auxiliary optics (not shown) may be used to reimage it onto a detector located in the so-called conjugate pupil plane P′. The detector may be a two-dimensional detector capable of measuring the two-dimensional angular scattering spectrum or diffraction spectrum of the substrate target 30. In the pupil plane or the conjugate pupil plane, the radial position of the radiation defines the angle of incidence/exit of the radiation in the plane of the focus spot S, and the angular position around the optical axis O defines the azimuth angle of the radiation. The detector 19 may be, for example, an array of CCD or CMOS sensors and may use, for example, an integration time of 40 milliseconds per frame.

参照経路RPにおける放射は、同じ検出器19の異なる部分に投影されてもよいし、代わりに異なる検出器(不図示)上に投影されてもよい。参照ビームは、多くの場合に入射する放射の強度を測定し、散乱スペクトル内に測定される強度値の正規化を可能にするために例えば用いられる。 The radiation in the reference path RP may be projected on different parts of the same detector 19, or alternatively on different detectors (not shown). The reference beam is often used, for example, to measure the intensity of the incident radiation and to allow normalization of the intensity values measured in the scatter spectrum.

照明システム12の様々な構成要素は、同じ装置内で異なる計測「レシピ」を実現するよう調整可能にできる。カラーフィルタ12bは、例えば、405−790nmの範囲またはより短い200−300nmといった範囲内で関心のある異なる波長を選択する干渉フィルタ一式により実装されうる。干渉フィルタは、異なるフィルタ一式を備えるのではなく、変調可能であってもよい。干渉フィルタの代わりにグレーティングを用いることができる。偏光子12cは、放射スポットSの異なる偏光状態を実現するように回転可能または交換可能であってよい。アパチャデバイス13は、後述されるように、異なる照明プロファイルを実現するよう調整されることができる。アパチャデバイス13は、対物レンズ16の瞳面Pに共役な平面P"および検出器19の平面に配置される。このようにして、アパチャデバイスにより定義される照明プロファイルは、アパチャデバイス13上の異なる場所を通過して基板に入射する放射光の角度分布を定義する。 Various components of the lighting system 12 can be adjustable to achieve different metrology “recipe” within the same device. The color filter 12b may be implemented by a set of interference filters that select different wavelengths of interest within the range of, for example, 405-790 nm or shorter 200-300 nm. The interference filter may be modulatable rather than comprising a different set of filters. A grating can be used instead of the interference filter. The polarizer 12c may be rotatable or exchangeable to achieve different polarization states of the radiation spot S. The aperture device 13 can be adjusted to achieve different lighting profiles, as described below. The aperture device 13 is arranged in the plane P″ which is conjugate to the pupil plane P of the objective lens 16 and in the plane of the detector 19. In this way the illumination profile defined by the aperture device is different on the aperture device 13. It defines the angular distribution of radiation that passes through a location and is incident on the substrate.

検出器19は、散乱光の強度を単一波長(または狭い波長範囲)で測定してよいし、複数の波長で別個に強度を測定してよいし、または、ある波長範囲にわたって積分された強度を測定してよい。さらに検出器は、TM偏光およびTE偏光の強度を個別に測定してよいし、および/または、TM偏光およびTE偏光の位相差を測定してよい。 The detector 19 may measure the intensity of the scattered light at a single wavelength (or narrow wavelength range), the intensity at multiple wavelengths separately, or the intensity integrated over a range of wavelengths. May be measured. Further, the detector may measure the TM and TE polarization intensities separately and/or may measure the TM and TE polarization phase differences.

計測ターゲットTが基板W上に設けられる場合、これは、現像後にバーが固いレジスト線で形成されるように印刷される1Dグレーティングであってもよい。ターゲットは、図示される散乱計により容易に測定されるように設計されるテスト構造であってもよい。ターゲットは、現像後にグレーティングが固いレジストのピラーまたはレジスト中のビアで形成されるように印刷される2Dグレーティングであってもよい。バー、ピラーまたはビアは、代替的に基板内にエッチングされてもよい。このパターンは、リソグラフィ投影装置、特に投影システムPSの色収差に対して感度を有する。照明の対称性およびこのような収差の存在は、印刷されるグレーティングにおける変化自体を増大させるであろう。したがって、印刷されるグレーティングの散乱計測データは、グレーティングの形状を再構築するために用いられる。線の幅および形状といった1Dグレーティングのパラメータや、ピラーまたはビアの幅、長さもしくは形状といった2Dグレーティングのパラメータは、印刷ステップおよび/または他の散乱計測プロセスの知見から、処理ユニットPUにより実行される再構築プロセスに入力されてもよい。 If the metrology target T is provided on the substrate W, this may be a 1D grating printed after development such that the bars are formed of hard resist lines. The target may be a test structure designed to be easily measured by the scatterometer shown. The target may be a 2D grating in which the grating is printed after development such that the grating is formed with solid resist pillars or vias in the resist. The bars, pillars or vias may alternatively be etched into the substrate. This pattern is sensitive to the chromatic aberrations of the lithographic projection apparatus, in particular the projection system PS. The symmetry of the illumination and the presence of such aberrations will increase the variation itself in the printed grating. Therefore, the scatterometry data of the printed grating is used to reconstruct the shape of the grating. The parameters of the 1D grating, such as the line width and shape, and the parameters of the 2D grating, such as the width, length or shape of the pillars or vias, are implemented by the processing unit PU from the knowledge of the printing step and/or other scatterometry processes. It may be entered into the reconstruction process.

再構築によるパラメータの測定に加えて、角度分解散乱計測が製品および/またはレジストパターンにおけるフィーチャの非対称性の測定に有用である。非対称性測定の具体的な用途は、フォーカスに依存する非対称性を用いて印刷されるターゲットからのフォーカスパラメータ(例えば、ターゲットの露光中のフォーカス)の測定のためである。図3または4の機器を用いる非対称性測定のコンセプトは、米国特許公開20060066855に記載され、参照によりその内容が組み込まれる。たいていの場合、ターゲットの回折スペクトル内での回折次数の位置がターゲットの周期性のみにより決定される一方で、回折スペクトル内での強度レベルの非対称性がターゲットを作り上げる個々のフィーチャ内の非対称性を示す。図4の機器において、検出器19がイメージセンサでありうる場合、このような回折次数内の非対称性は、検出器により記録される瞳画像内の非対称性として直接的に現れる。この非対称性は、ユニットPU内のデジタル画像処理により測定されることができ、これからフォーカスをいくつかの実施例で決定することができる。 In addition to measuring parameters by reconstruction, angle-resolved scatterometry is useful for measuring feature asymmetry in the product and/or resist pattern. A specific application of asymmetry measurement is for the measurement of focus parameters (eg focus during exposure of the target) from a target printed with focus-dependent asymmetry. The concept of asymmetry measurement using the instrument of Figure 3 or 4 is described in US Patent Publication 20060066855, the contents of which are incorporated by reference. In most cases, the position of the diffraction order within the diffraction spectrum of the target is determined solely by the periodicity of the target, while the asymmetry of the intensity level within the diffraction spectrum accounts for the asymmetry within the individual features that make up the target. Show. In the instrument of FIG. 4, if the detector 19 can be an image sensor, such an asymmetry in the diffraction order will manifest itself directly as an asymmetry in the pupil image recorded by the detector. This asymmetry can be measured by digital image processing within the unit PU, from which focus can be determined in some embodiments.

図5Aは、図4の装置と同じ原理であるが、いわゆる暗視野イメージングの実行用に追加変更がなされる角度分解散乱計測を実現する検査装置をより詳細に示す。この装置は、独立式の装置であってもよいし、例えば測定ステーションにてリソグラフィ装置LAまたはリソグラフィセルLCのいずれかに組み込まれてもよい。装置を通じて複数の分岐を有する光軸は、点線Oにより示される。ターゲットグレーティングTおよび回折された光線は、図5Bにより詳細に示される。 FIG. 5A shows in more detail an inspection apparatus that has the same principle as the apparatus of FIG. 4, but realizes angle-resolved scatterometry with additional modifications for performing so-called dark field imaging. This device may be a stand-alone device, or may be incorporated into either the lithographic apparatus LA or the lithographic cell LC, eg at a measurement station. The optical axis with multiple branches through the device is indicated by the dotted line O. The target grating T and the diffracted rays are shown in more detail in Figure 5B.

図4の装置にてすでに説明された構成要素に対して同じ参照符号が用いられる。照明経路は、以前と同様IPの符号が付される。参照経路RPは明確性のために省略されている。装置を比較すると、第2ビームスプリッタ17が収集経路を二つの分岐に分割する。第1測定分岐において、検出器19は、上述と全く同じように、ターゲットの散乱スペクトルまたは回折スペクトルを記録する。この検出器19は、瞳画像検出器と称されうる。 The same reference numerals are used for the components already described in the device of FIG. The illumination path is labeled with IP as before. The reference path RP is omitted for clarity. Comparing the devices, the second beam splitter 17 splits the collection path into two branches. In the first measurement branch, the detector 19 records the scattering or diffraction spectrum of the target, exactly as described above. This detector 19 may be referred to as a pupil image detector.

第2測定分岐において、イメージング光学系22は、基板W上のターゲットのイメージを検出器23(例えばCCDまたはCMOSセンサ)上に形成する。アパチャ絞り21は、収集経路内の瞳面に共役な平面に設けられる(瞳絞りとも称されうる)。アパチャ絞り21は、照明アパチャが異なる形態を取ることができるのと同様、異なる形態をとることができる。典型的にアパチャ絞り21は、0次回折ビームを遮蔽し、センサ23上に形成されるターゲットの像が1次ビームのみから形成されるように機能する。これは、いわゆる暗視野像であり、暗視野顕微鏡と同様である。センサ19および23により撮像される画像は、画像処理コントローラPUに出力される。コントローラの機能は、実行される測定の特定の形式に依存するであろう。 In the second measurement branch, the imaging optics 22 forms an image of the target on the substrate W on the detector 23 (eg CCD or CMOS sensor). The aperture diaphragm 21 is provided in a plane conjugate with the pupil plane in the collection path (also referred to as a pupil diaphragm). The aperture stop 21 can take different forms, just as the illumination aperture can take different forms. Aperture stop 21 typically blocks the 0th order diffracted beam and functions so that the image of the target formed on sensor 23 is formed from only the 1st order beam. This is a so-called dark field image, which is similar to a dark field microscope. The images captured by the sensors 19 and 23 are output to the image processing controller PU. The function of the controller will depend on the particular type of measurement being performed.

この例の照明経路において、フィールド絞り13'がターゲットおよびイメージセンサ23の平面に共役な平面内に配置できるように追加の光学系が示される。この平面は、フィールド面または共役イメージ面と称されてもよく、フィールド面を横切る空間的位置のそれぞれがターゲットを横切る位置に対応する場所を有する。このフィールド絞りは、例えば、特定の目的のために照明スポットを形付けるために、または、注目するターゲットの一部を除いて装置の視野内にあるフィーチャの照明を単純に避けるために用いられてもよい。以下の図面および議論では、例示を目的として、アパチャデバイス13の機能を実現するための技術について言及するが、本開示はフィールド絞り13'を実現するための同様の技術の使用も包含する。 In the illumination path of this example, additional optics are shown so that the field diaphragm 13 ′ can be placed in a plane that is conjugate to the plane of the target and image sensor 23. This plane may be referred to as the field plane or conjugate image plane and has a location where each spatial location across the field plane corresponds to a location across the target. This field stop is used, for example, to shape the illumination spot for a particular purpose, or to simply avoid illuminating features within the field of view of the device except for a portion of the target of interest. Good. Although the following figures and discussion refer to techniques for implementing the functionality of aperture device 13 for purposes of illustration, the present disclosure also includes the use of similar techniques to implement field aperture 13'.

図5Bにより詳細に示されるように、ターゲットグレーティングTは、対物レンズ16の光軸Oに垂直に基板Wに配置される。軸外照明プロファイルの場合において、照明光線Iは、軸Oから離れた角度からグレーティングTに入射し、0次光線(実線0)および二つの1次光線(一点鎖線+1および二点鎖線−1)を生じさせる。満たされている(overfilled)小さなターゲットグレーティングを用いる場合、これらの光線が計測ターゲットグレーティングTおよび他のフィーチャを含む基板の領域をカバーする多くの平行光線の一つにすぎないことに留意すべきである。プレート13のアパチャは(有用な光量を得るために十分となる)有限の幅を有するため、入射光線Iは、実際にはある角度範囲を占め、回折される光線0および+1/−1はある程度拡がっているであろう。小さなターゲットの点像分布関数にしたがって、+1および−1の各次数は、図示されるような単一の理想的な光線ではなく、ある角度範囲にわたってさらに拡がるであろう。 As shown in more detail in FIG. 5B, the target grating T is arranged on the substrate W perpendicular to the optical axis O of the objective lens 16. In the case of an off-axis illumination profile, the illumination ray I is incident on the grating T from an angle away from the axis O and is a zero order ray (solid line 0) and two primary rays (dotted line +1 and dashed line -1). Cause It should be noted that when using a small overfilled target grating, these rays are only one of many parallel rays that cover the area of the substrate that contains the metrology target grating T and other features. is there. Since the aperture of the plate 13 has a finite width (sufficient to obtain a useful amount of light), the incident ray I actually occupies a certain angular range and the diffracted rays 0 and +1/-1 are to some extent. It will have spread. According to the point spread function of the small target, each order of +1 and -1 will spread further over a range of angles rather than a single ideal ray as shown.

異なる照明モードは、異なるアパチャを用いることにより可能となる。アパチャ13N(北)および13S(南)のそれぞれは、特定の狭い角度範囲のみから軸外照明を提供する。図5Aに戻ると、これは、環状アパチャの直径方向に反対側の部分を北(N)および南(S)に指定することにより模式的に示される。照明の円錐体の北部分からの+1次の回折光線(+1(13N)の符号)は対物レンズ16に入射し、円錐体の南部分からの−1次の回折次数(−1(13S)の符号)も同様である。導入部で言及した先の出願にて記載されるように、この形式のアパチャ13N,13Sを切り替えながら暗視野イメージセンサ23を用いることは、複数の小さなターゲットから非対称性測定値を取得する一つの方法である。アパチャ絞り21aは、軸外照明を用いるときに0次放射を遮蔽するために用いることができる。 Different illumination modes are possible by using different apertures. Each of apertures 13N (north) and 13S (south) provides off-axis illumination only from a particular narrow angular range. Returning to FIG. 5A, this is schematically illustrated by designating the diametrically opposite portions of the annular aperture north (N) and south (S). The +1st order diffracted ray (sign of +1(13N)) from the north part of the illumination cone enters the objective lens 16 and the −1st order diffraction order (sign of −1(13S)) from the south part of the cone. Is also the same. Using dark field image sensor 23 while switching apertures 13N, 13S of this type, as described in the earlier application mentioned in the introductory part, is one way to obtain asymmetry measurements from multiple small targets. Is the way. The aperture stop 21a can be used to block 0th order radiation when using off-axis illumination.

軸外照明が示される一方で、ターゲットの軸上照明を代わりに用いてもよく、1次の回折光の一方のみを実質的にセンサに通過させるために軸外アパチャを持つアパチャ絞りが用いられてもよい。一例において、+1次および−1次をセンサ23上の異なる場所に逸らせる作用を有するプリズム21bがアパチャ絞り21の代わりに用いられ、その結果、二つの画像を生成することなくそれらを検出および比較できる。この技術は、上述のUS特許公開20110102753に開示され、参照によりその内容が本書に組み込まれる。2次、3次およびさらに高次のビーム(図5AまたはBには不図示)は、1次のビームの代わりにまたは加えて測定に用いることができる。 While off-axis illumination is shown, on-axis illumination of the target may be used instead and an aperture stop with an off-axis aperture is used to pass substantially only one of the first order diffracted light to the sensor. May be. In one example, a prism 21b having the effect of diverting the +1st order and the −1st order to different locations on the sensor 23 is used instead of the aperture stop 21 so that they can detect and compare without generating two images. it can. This technique is disclosed in the aforementioned US Patent Publication 20110102753, the contents of which are incorporated herein by reference. The secondary, tertiary and higher order beams (not shown in FIG. 5A or B) can be used for the measurement instead of or in addition to the primary beam.

リソグラフィプロセスを監視するとき、基板上でのリソグラフィビームのフォーカスを監視することが多くの場合望ましい。印刷された構造からフォーカスの設定を決定する一つの既知の方法は、印刷された構造のクリティカルディメンジョン(CD)を測定することによる。CDは、最小フィーチャの寸法(例えば要素の線幅)である。印刷された構造は、フォーカスの監視に特化して形成されるライン−スペースグレーティングといったターゲットであってもよい。CDは通常フォーカスに対して2次の応答を示し、フォーカス(x軸)に対するCD(y軸)のプロット上の「Bossung曲線」として知られるものを形成する。Bossung曲線は、実質的に対称な曲線であり、最適なフォーカスを示すピークの周りで実質的に対称である。この手法にはいくつかの欠点があるが、この手法がいくつかの実施の形態で用いることができないことを示唆するものではない。欠点の一つは(曲線の放物線形状に起因して)最適なフォーカスの近傍で低い感度を示すことである。別の欠点は(最適なフォーカスの周りで曲線がほぼ対称であるため)任意のピンぼけの符号に対して反応しないことである。この手法は、特にドーズおよびプロセス変動にも感度を有する(クロストーク)。 When monitoring a lithographic process, it is often desirable to monitor the focus of the lithographic beam on the substrate. One known method of determining the focus setting from a printed structure is by measuring the critical dimension (CD) of the printed structure. CD is the minimum feature size (eg, element linewidth). The printed structure may be a target such as a line-space grating that is specially formed for focus monitoring. CD normally exhibits a quadratic response to focus, forming what is known as the "Bossung curve" on a plot of CD (y-axis) against focus (x-axis). The Bossung curve is a substantially symmetric curve, which is substantially symmetric about the peak showing optimum focus. Although this approach has some drawbacks, it does not imply that this approach cannot be used in some embodiments. One of the drawbacks is that it exhibits low sensitivity near optimum focus (due to the parabolic shape of the curve). Another drawback is that it does not react to any sign of defocus (because the curve is nearly symmetrical around optimal focus). This approach is particularly sensitive to dose and process variations (crosstalk).

これらの問題に対処するため、回折に基づくフォーカス(DBF;diffraction based focus)が考案された。回折に基づくフォーカスは、印刷中のフォーカス設定に依存してある程度の非対称性を有するターゲットを印刷するレチクル上にフィーチャを形成するターゲットを用いうる。この非対称性の程度は、その後、フォーカス設定の測定を取得するために、ターゲットから回折される+1次および−1次の放射間の強度の非対称性を測定することによる検査方法に基づく散乱計測法を用いて測定されることができる。 In order to deal with these problems, a diffraction based focus (DBF) has been devised. Diffraction-based focusing can use targets that form features on a reticle that will print the target with some asymmetry depending on the focus setting during printing. This degree of asymmetry is a scatterometry method based on an inspection method by measuring the intensity asymmetry between the +1st and -1st order radiation diffracted from the target to obtain a measurement of the focus setting. Can be measured using.

図6は、回折に基づくフォーカス測定のために構成されるDBFテスト構造またはターゲット615を模式的に示す。それは、複数のDBF構造620を備え、それぞれは高分解能サブ構造625を備える。ベースピッチの上にある高分解能サブ構造は、各DBF構造620に対して非対称なレジスト形状を生成し、その非対称性の程度はフォーカスに依存する。その結果、計測ツールは、DBFターゲット形成デザイン615を用いて形成されるターゲットから非対称性の程度を測定することができ、これをスキャナのフォーカスに変換できる。 FIG. 6 schematically illustrates a DBF test structure or target 615 configured for diffraction-based focus measurements. It comprises a plurality of DBF structures 620, each comprising a high resolution substructure 625. The high resolution substructure above the base pitch produces an asymmetric resist shape for each DBF structure 620, the degree of asymmetry being focus dependent. As a result, metrology tools can measure the degree of asymmetry from a target formed using the DBF target formation design 615, which can be translated into scanner focus.

DBFテスト構造615が回折に基づくフォーカス測定を可能にする一方で、それは、いくつかの状況での使用に適していない。EUVレジストフィルムの厚さは、液浸リソグラフィに用いられるものよりも顕著に小さく、ターゲットの部分を形成する構造の非対称性プロファイルから正確な非対称性情報を抽出することを困難にする。また、このような構造は、特定の製品構造に適用可能な厳密な設計上の制約に適合しないかもしれない。チップ製造工程の間、レチクル上の全てのフィーチャは、印刷され、後続の処理ステップに耐えなければならない。半導体製造者は、印刷されるフィーチャがそのプロセス要件に合致することを確実するため、フィーチャデザインを制約する手段としてデザインルールを用いる。このようなデザインルールの例は、許容可能な構造のサイズまたはピッチに関連する。別の例のデザインルールは、特定の範囲内に位置すべきレジストパターンの密度を制約しうるパターン密度に関連する。いくつかの場合、これらの問題は、より広く採用されているネガ型レジストの使用により悪化するかもしれない。 While the DBF test structure 615 allows for diffraction-based focus measurements, it is not suitable for use in some situations. The thickness of the EUV resist film is significantly smaller than that used in immersion lithography, making it difficult to extract accurate asymmetry information from the asymmetry profile of the structures forming the part of the target. Also, such structures may not meet the strict design constraints applicable to a particular product structure. During the chip manufacturing process, all features on the reticle must be printed and survive subsequent processing steps. Semiconductor manufacturers use design rules as a means of constraining feature design to ensure that printed features meet their process requirements. Examples of such design rules relate to acceptable structure size or pitch. Another example design rule relates to pattern density, which may constrain the density of resist patterns to be located within a particular range. In some cases, these problems may be exacerbated by the use of the more widely adopted negative resist.

いくつかの実施の形態は、フォトリソグラフィプロセスのフォーカス、露光または他の特性の変動に対して相対的に強い応答を提供する一方で、一般的に適用されるデザインルールに適合するテスト構造を実現しうる。いくつかの実施の形態は、同一焦点(相対的に大きな焦点深度の公差)で分離線をパターニングするための、または、相対的に大きな露光量の公差で分離線をパターニングするためのサブ分解能フィーチャを配置しうる。いくつかの場合、このテスト構造は、光学計測の使用を促進しうるため、破壊測定や電子線測定などのより遅く、より高価で、特定の方法では情報量がより少なく、または、製造ウェハに対してダメージを与える他の形式の測定よりも多くの場合好ましいかもしれない(ただし、これらの技術をいくつかの実施の形態においても使用しないことを示唆するものではない)。いくつかの場合、テスト構造はレチクル上に実装されてよく、測定モデルは、1)フォーカス露光マトリックスの条件を変化させながらレチクルを用いて基板をパターニングすること、2)散乱計測ツールを用いてテスト構造を光学的に測定すること、および、3)モデルを対応するプロセス条件および光学測定値にフィッティングすること、によりテスト構造に対して較正されてもよい。その後、このモデルは、製造基板の光学測定を通じて製造基板が経験する未知のプロセス条件を推測するために用いられてもよい。 Some embodiments provide a relatively strong response to changes in focus, exposure, or other properties of the photolithography process, while providing test structures that meet commonly applied design rules. You can. Some embodiments provide sub-resolution features for patterning separation lines at the same focal point (relatively large depth of focus tolerance) or at relatively large exposure tolerances. Can be placed. In some cases, this test structure may facilitate the use of optical metrology, making it slower, more expensive, such as destructive and electron beam measurements, less information-intensive in certain ways, or even on production wafers. It may be preferable over other types of measurements that would otherwise be damaging (although it does not imply that these techniques are not used in some embodiments either). In some cases, the test structure may be mounted on a reticle and the measurement model may be: 1) patterning the substrate with the reticle while changing the conditions of the focus exposure matrix, 2) testing with a scatterometry tool. It may be calibrated to the test structure by optically measuring the structure and 3) fitting the model to the corresponding process conditions and optical measurements. This model may then be used to infer unknown process conditions experienced by the production substrate through optical measurements of the production substrate.

いくつかの実施の形態においてより詳細に説明されるように、テスト構造はグレーティングを含み、グレーティングの各バーに平行(例えば実質的に平行)に延びるサブ分解能アシストフィーチャがグレーティングのバーから非対称に離れて隣接する。このサブ分解能フィーチャは、基板上にパターニングされる際、フォーカスまたは露光などといったプロセス条件に応じてバーの側壁に異なる影響を生じさせることが期待される。側壁形状の差は、プロセス条件を示す相対的に強固な信号を光学計測ツールに提供することが期待される。 As described in more detail in some embodiments, the test structure includes a grating, with sub-resolution assist features extending parallel (eg, substantially parallel) to each bar of the grating asymmetrically away from the bar of the grating. Adjacent to each other. This sub-resolution feature is expected to have different effects on the sidewalls of the bar when patterned on the substrate depending on process conditions such as focus or exposure. The difference in sidewall shape is expected to provide a relatively robust signal to the optical metrology tool that is indicative of process conditions.

図7は、これらの技術にしたがうテスト構造30の一部を模式的に示す。いくつかの場合、テスト構造30は、レチクル上の測定サイトのグレーティング内に存在する。いくつかの実施の形態において、テスト構造30は、メインフィーチャ32と、二つのサブ分解能アシストフィーチャ34および36と、サブ分解能反転フィーチャ38とを含む。テスト構造30の図示される部分は破線とともに示されるが、この構造は、いくつかの実施の形態において、その長さに沿って比較的均一であってもよい。いくつかの場合、テスト構造30の一部は、グレーティングを形成するように平行アレイ内で間隔を空けて繰り返されてもよく、メインフィーチャ32の間隔がグレーティングのピッチに一致してよい。サブ分解能アシストフィーチャ34および36は、メインフィーチャ32からそれぞれギャップ40および42だけ間隔を空けて離れていてもよい。 FIG. 7 schematically illustrates a portion of test structure 30 according to these techniques. In some cases, the test structure 30 resides within the measurement site grating on the reticle. In some embodiments, the test structure 30 includes a main feature 32, two sub-resolution assist features 34 and 36, and a sub-resolution inversion feature 38. Although the illustrated portion of test structure 30 is shown with dashed lines, this structure may be relatively uniform along its length in some embodiments. In some cases, portions of test structure 30 may be spaced and repeated in a parallel array to form a grating and the spacing of main features 32 may match the pitch of the grating. Sub-resolution assist features 34 and 36 may be spaced apart from main feature 32 by gaps 40 and 42, respectively.

テスト構造30の寸法は、基板上のパターンテスト構造において様々な応答を引き出すために選択されてよい。具体的には、光学測定に適用可能であってフォトリソグラフィのプロセス条件に対応する応答を引き出すようにいくつかの寸法が選択されてよい。寸法は、基板上にテスト構造をパターニングする際の放射の波長に基づいて選択されてもよいし、パターニングされたテスト構造を測定する際の光の波長に基づいて選択されてもよい。 The dimensions of test structure 30 may be selected to elicit various responses in patterned test structures on the substrate. Specifically, some dimensions may be selected that are applicable to optical measurements and elicit a response corresponding to photolithographic process conditions. The dimensions may be selected based on the wavelength of the radiation in patterning the test structure on the substrate or the wavelength of light in measuring the patterned test structure.

図7に示されるように、メインフィーチャ32は幅44を有し、サブ分解能アシストフィーチャ34および36はそれぞれ幅46および48を有する。これらの幅は、フォトリソグラフィ装置の分解能限界より小さくてもよく、これは、フィーチャの他の寸法がこの限界より大きいかもしれないにもかかわらず、フィーチャを「サブ分解能」にする。その結果、フィーチャ34および36は、リソグラフィ・パターニングプロセスにおいて基板に直接的に転写されないかもしれない。 As shown in FIG. 7, the main feature 32 has a width 44 and the sub-resolution assist features 34 and 36 have widths 46 and 48, respectively. These widths may be smaller than the resolution limit of the photolithographic apparatus, which makes the feature "sub-resolution", although other dimensions of the feature may be larger than this limit. As a result, features 34 and 36 may not be transferred directly to the substrate during the lithographic patterning process.

しかしながら、フィーチャ34および36は、メインフィーチャ32のパターニングに影響を及ぼすように寸法および間隔が決められうる。いくつかの場合、フィーチャ34および36は、「散乱バー」または「サブ分解能アシストフィーチャ」として特徴付けられてもよい。サブ分解能フィーチャ34はメインフィーチャ32から距離50だけ間隔を空けて離れてよく、サブ分解能フィーチャ36はメインフィーチャ32から別の距離52だけ間隔を空けて離れてよい。これらの距離は、フィーチャ34および36がメインフィーチャ32のパターニングに影響を及ぼすように選択されうる。この作用を増強するため、いくつかの実施の形態において、メインフィーチャは、例えばグレーティング内のテスト構造の他のインスタンスに対して隔離されたフィーチャであってもよい。いくつかの場合、距離50および52は、リソグラフィによりテスト構造をパターニングする際の放射の波長より小さくてよく、例えば、193nmの波長プロセスにおいて10−150nmのオーダであってよく、他の波長において比例するようにより小さくてもより大きくてもよい。いくつかの実施の形態において、グレーティングのピッチは、この波長より大きくてもよく、例えばこの波長の2倍より大きくてもよい。 However, features 34 and 36 may be dimensioned and spaced to affect the patterning of main features 32. In some cases, features 34 and 36 may be characterized as “scattering bars” or “sub-resolution assist features”. Sub-resolution features 34 may be spaced a distance 50 from main features 32, and sub-resolution features 36 may be spaced a distance 52 from main features 32. These distances may be selected such that features 34 and 36 affect the patterning of main features 32. To enhance this effect, in some embodiments the main feature may be a feature isolated to other instances of the test structure, eg, in the grating. In some cases, the distances 50 and 52 may be less than the wavelength of the radiation when lithographically patterning the test structure, eg, on the order of 10-150 nm in a 193 nm wavelength process, and proportional to other wavelengths. It may be smaller or larger so that In some embodiments, the pitch of the grating may be greater than this wavelength, for example greater than twice this wavelength.

距離50および52は、互いに異なることができ、メインフィーチャ32に対してフィーチャ34および36を非対称にする。その結果、いくつかの実施の形態において、パターンテスト構造を基板上にリソグラフィで形成するとき、サブ分解能フィーチャ34および36はメインフィーチャ32の転写に影響を及ぼしうる。距離50および52が異なるため、その影響はメインフィーチャの異なる側で異なりうるであろう。これは、図8を参照しながらより詳細に後述される。 Distances 50 and 52 can be different from each other, making features 34 and 36 asymmetric with respect to main feature 32. As a result, in some embodiments, sub-resolution features 34 and 36 may affect the transfer of main feature 32 when lithographically forming a pattern test structure. Due to the different distances 50 and 52, the effect could be different on different sides of the main feature. This will be described in more detail below with reference to FIG.

サブ分解能反転フィーチャ38は、同様の作用を引き出すために寸法が決められてよい。いくつかの場合、幅54はリソグラフィの分解能限界より小さくてもよく、その結果、フィーチャ38は基板に転写されない。フィーチャ38は、メインフィーチャ32内に配置され、メインフィーチャ32の両側から異なる距離56および58で配置される。その結果、フィーチャ38は、メインフィーチャ32の異なる側のパターンの転写に異なるように影響を及ぼすことが予想され、図示される向きにおいて、左側よりも右側に大きな程度影響を及ぼすであろう。 Sub-resolution inversion feature 38 may be dimensioned to elicit a similar effect. In some cases, the width 54 may be less than the lithographic resolution limit, so that the features 38 are not transferred to the substrate. The features 38 are located within the main feature 32 and are located at different distances 56 and 58 from opposite sides of the main feature 32. As a result, features 38 are expected to affect the transfer of patterns on different sides of main feature 32 differently, and will affect the right side to a greater extent than the left side in the orientation shown.

パターンをフォトリソグラフィで転写する際に用いる放射の波長は、他の寸法の選択をガイドしうる。いくつかの実施の形態において、メインフィーチャ32の幅44は、レチクルから基板にパターンをフォトリソグラフィで転写する際に用いる光の分解能限界よりも大きい。したがって、メインフィーチャは、基板上に対応するパターンテスト構造を生成しうる。 The wavelength of the radiation used in photolithographically transferring the pattern can guide the selection of other dimensions. In some embodiments, the width 44 of the main feature 32 is greater than the resolution limit of the light used in photolithographically transferring the pattern from the reticle to the substrate. Thus, the main feature may generate a corresponding pattern test structure on the substrate.

いくつかの実施の形態において、寸法52および50および56および58は、メイフィーチャ32の隣接する側壁にある対応するサブ分解能フィーチャ34、38または36が及ぼす影響の所望の量に基づいて選択されてよい。いくつかの場合、これらの影響は、これらの個別の距離と、基板の表面で明らかになるフォトリソグラフィプロセスのプロセス特性との双方の関数となる(これは、プロセスドリフトが生じる際に実際に展開されるプロセスとは異なりうるプロセス設定とは対照的である)。例えば、いくつかの場合、テスト構造の形状に依存して、その影響の大きさは、その距離と、フォーカス、露光、色収差、アライメント、球面収差またはそれらの組み合わせの一以上との関数となりうる。いくつかの場合、距離の影響はこれらのプロセス条件の変化に比例し、または、いくつかの実施の形態において、距離の影響はこれらのプロセス条件の変化に比例しない。比例しない例において、パターンメインフィーチャの側壁間の差は、基板が経験するプロセス条件を示すことが予測され、例えばその差は、フォーカスが一方向に変化するにつれて増加し、フォーカスが他方向に変化するにつれて減少しうる。 In some embodiments, the dimensions 52 and 50 and 56 and 58 are selected based on the desired amount of impact of the corresponding sub-resolution features 34, 38 or 36 on the adjacent sidewalls of the May feature 32. Good. In some cases, these effects are a function of both these individual distances and the process characteristics of the photolithography process that become apparent at the surface of the substrate (which actually develops when process drift occurs). (As opposed to process settings, which may differ from the processes that are done). For example, in some cases, depending on the shape of the test structure, the magnitude of the effect can be a function of the distance and one or more of focus, exposure, chromatic aberration, alignment, spherical aberration, or a combination thereof. In some cases, the effect of distance is proportional to changes in these process conditions, or in some embodiments, the effect of distance is not proportional to changes in these process conditions. In the non-proportional example, the difference between the sidewalls of the patterned main features is expected to indicate the process conditions that the substrate experiences, for example, the difference increases as the focus changes in one direction and the focus changes in the other direction. Can decrease as

計測に用いられるような他の光源は、いくつかの寸法の選択をガイドしうる。いくつかの実施の形態において、ピッチ、例えばグレーティング内のメイン構造32のインスタンス間の間隔は、光学測定の実行に用いる光に基づいて選択されてよい。同様に、メインフィーチャの幅32は、計測光源に基づいて選択されてよい。 Other light sources, such as those used in metrology, can guide the selection of several dimensions. In some embodiments, the pitch, eg, the spacing between instances of the main structure 32 in the grating, may be selected based on the light used to make the optical measurement. Similarly, the width 32 of the main feature may be selected based on the measurement light source.

いくつかの実施の形態において、テスト構造30は、距離60が距離44よりも実質的に大きい(例えば1桁以上大きい)1次元テスト構造であってもよく、テスト構造の形状は距離60にわたって比較的均一であってもよい。または、他の実施の形態において、形状が変化してもよいし、途切れていてもよい。なお、フィーチャ34、36および38は、フォトリソグラフィ装置の分解能限界より距離60が大きいが、依然としてサブ分解能フィーチャである。 In some embodiments, the test structure 30 may be a one-dimensional test structure where the distance 60 is substantially greater than the distance 44 (eg, an order of magnitude or more), and the shape of the test structure is compared over the distance 60. It may be uniform. Alternatively, in another embodiment, the shape may change or the shape may be interrupted. It should be noted that features 34, 36 and 38 are sub-resolution features at distance 60 greater than the resolution limit of the photolithographic apparatus.

テスト構造30の形状は、通常、特にネガ型レジストプロセスに適用されると予想されるデザインルールのもとで適用可能となることが予想される。図示される例において、サブ分解能フィーチャ34、36および38は、メインフィーチャ32に平行である(例えば、デザインルールとメインフィーチャへの影響を比較する目的で実質的に平行である)。この特性は、いくつかのフィーチャがメインフィーチャに直交する図6を参照して上述したような他のテスト構造に対するプロセス統合問題を低減することが予想される。つまり、いくつかの実施の形態は、例えばメインフィーチャの一方側で直交する構造を有する図6に示されるようなフィーチャと、他方の側にある図7のサブ分解能アシストフィーチャ34とを使用してもよい。 It is expected that the shape of the test structure 30 will typically be applicable under the design rules expected to apply especially to negative resist processes. In the illustrated example, the sub-resolution features 34, 36 and 38 are parallel to the main feature 32 (eg, substantially parallel for purposes of comparing design rules and impact on the main features). This property is expected to reduce process integration issues for other test structures such as those described above with reference to Figure 6 where some features are orthogonal to the main features. That is, some embodiments use features such as those shown in FIG. 6 having orthogonal structures on one side of the main feature and the sub-resolution assist feature 34 of FIG. 7 on the other side, for example. Good.

本技術は、ポジ型レジストおよびネガ型レジストの双方への使用に適する。ポジ型レジストを用いる場合、レジストは下層の材料が除去されるべき場所にて放射で露光され、ネガ型レジストを用いる場合、レジストは下層の材料が保存されるべき場所にて放射で露光され、例えば、その後に後続のエッチングが続く。「メインフィーチャ」および「アシストフィーチャ」の用語は、双方の領域に適用される。いくつかの場合、これらのフィーチャは、使用されるレジストの形式に依存して、光を遮るレチクルの一部に対応してもよいし、または、放射が基板に向けて透過可能なレチクルの一部に対応してもよい。 The present technology is suitable for use with both positive and negative resists. When using a positive resist, the resist is exposed to radiation at the location where the underlying material is to be removed, and when using a negative resist the resist is exposed to radiation at the location where the underlying material is to be stored, For example, subsequent etching follows. The terms "main feature" and "assist feature" apply to both areas. In some cases, these features may correspond to a portion of the reticle that blocks light, or depending on the type of resist used, or one of the reticles that allows radiation to be transmitted toward the substrate. It may correspond to a section.

図8は、図7のテスト構造によりリソグラフィパターニング後に基板上に生成されるシミュレーションされたレジストプロファイルを模式的に示す。図示されるパターンテスト構造65は、寸法60が図8の平面の法線となる断面視として示される。図示されるパターンテスト構造65は、レジスト内のトレンチ70のいずれかの側にあるフォトレジストの二つのボディ66および68を含む。これらの構造は、領域71の下側に横たわる基板上に存在しうる。トレンチ70は、図7のメインフィーチャ32に対応しうる。特に、アシストフィーチャ34、38および36は、図8のパターンテスト構造には直接的にも部分的にも示されていない。なぜなら、これらのフィーチャ34、38および36は、フォトリソグラフィプロセスの分解能限界よりも小さいからである。 FIG. 8 schematically shows a simulated resist profile produced on a substrate after lithographic patterning by the test structure of FIG. The illustrated pattern test structure 65 is shown in cross-section with dimension 60 normal to the plane of FIG. The illustrated pattern test structure 65 includes two bodies 66 and 68 of photoresist on either side of a trench 70 in the resist. These structures may be on a substrate that underlies region 71. The trench 70 may correspond to the main feature 32 of FIG. In particular, assist features 34, 38 and 36 are not shown directly or partially in the pattern test structure of FIG. This is because these features 34, 38 and 36 are smaller than the resolution limit of the photolithography process.

しかしながら、フィーチャ34、38および36は、パターンテスト構造65内のトレンチ70の形状に影響を及ぼす。トレンチ70は、部分的に側壁72および74により定義される。側壁は、それぞれ角度76および78で基板71の法線ベクトルに対して立ち上がる。図示されるように、角度76および78は異なっており、この例では角度78が角度76よりも大きい。この差は、部分的にサブ分解能フィーチャ34、36および38の影響と、図7のメインフィーチャ32のそれぞれの側壁までの相対距離に起因すると考えられる。いくつかの実施の形態において、角度76と78の差は、パターンテスト構造65が基板71に転写される際のフォトリソグラフィプロセスのプロセス特性により影響されうる。 However, features 34, 38 and 36 affect the shape of trench 70 in pattern test structure 65. Trench 70 is defined in part by sidewalls 72 and 74. The sidewalls rise with respect to the normal vector of the substrate 71 at angles 76 and 78, respectively. As shown, angles 76 and 78 are different, with angle 78 being greater than angle 76 in this example. This difference is believed to be due in part to the effect of the sub-resolution features 34, 36 and 38 and the relative distance to the respective sidewall of the main feature 32 of FIG. In some embodiments, the difference between angles 76 and 78 can be affected by the process characteristics of the photolithography process when pattern test structure 65 is transferred to substrate 71.

多くの場合、これらのプロセス特性は、フォトリソグラフィ装置の設定内に指定されるが、基板71の表面が経験する実際のプロセス特性は、この設定からしばしば逸脱する。例えば、フォーカスや露光などの特性は、小さい量ではあるが、関連しうる量だけ時間をかけながら予測が比較的難しい態様でドリフトしうる。任意の数の要因がプロセス特性のドリフトを生じさせるかもしれず、例えば、リソグラフィ装置のレンズの加熱、製造設備の環境変化、下側に横たわる積層体の変化、消耗部品の消耗などである。 Often, these process characteristics are specified within the settings of the photolithography apparatus, but the actual process characteristics experienced by the surface of the substrate 71 often deviate from this setting. For example, characteristics such as focus and exposure, although small in amount, can drift in a relatively difficult way to predict over time that may be relevant. Any number of factors may cause drift in the process characteristics, such as heating of the lens of the lithographic apparatus, changes in the environment of the manufacturing equipment, changes in the underlying stack, consumption of consumable components, etc.

いくつかの実施の形態において、このようなドリフトは、例えば散乱計などのエリプソメータを用いて、テスト構造65を測定することにより光学的に検出可能である。テスト構造65は、基板上の測定箇所の上にあるグリッドまたはグレーティング内に繰り返されることができ、上述の散乱計測技術は、テスト構造65の形状の特性を測定するために使用しうる。いくつかの場合、これらの測定は、角度76および78を示す信号を含み、例えば、角度76と78の差を示す測定値を含みうる。いくつかの場合、このような光学測定は、テスト構造を特徴付けしうる他の技術に比べて相対的に高速かつ安価でありうる。例えば、トップダウン走査型電子顕微鏡測定は、側壁72および74の形状について十分な情報を提供しないことが多く、かつ、相対的に遅くて高価となりうる。同様に、基板の断面を得ることは、生成が相対的に遅いプロセスであり、基板を破壊しうることが問題となる。しかしながら、これは、いくつかの実施の形態がこれらの測定技術を組み合わせて使用されえないことを示唆するものではない。 In some embodiments, such drift can be detected optically by measuring the test structure 65 using an ellipsometer, such as a scatterometer. The test structure 65 can be repeated in a grid or grating above the measurement location on the substrate, and the scatterometry techniques described above can be used to measure the shape characteristics of the test structure 65. In some cases, these measurements include signals indicative of angles 76 and 78, and may include, for example, measurements indicative of the difference between angles 76 and 78. In some cases, such optical measurements can be relatively fast and inexpensive compared to other techniques that can characterize test structures. For example, top-down scanning electron microscopy measurements often do not provide sufficient information about the shape of sidewalls 72 and 74, and can be relatively slow and expensive. Similarly, obtaining a cross section of a substrate is a relatively slow process to produce, which can be problematic because it can destroy the substrate. However, this does not suggest that some embodiments may not be used in combination with these measurement techniques.

いくつかの場合、パターンテスト構造65の形状は、光学的および非光学的影響の双方に依存しうる。例えば、基板71に比べて基板に付着するレジストがより多く収縮するため、レジストの収縮により生成されるレジスト66および58の横応力は、角度72および74の形状のシフトを生じさせるかもしれず、かつ、これらの影響もいくつかの実施の形態で測定されるかもしれない。 In some cases, the shape of pattern test structure 65 may depend on both optical and non-optical effects. For example, the lateral stress in resists 66 and 58 created by resist shrinkage may cause a shape shift of angles 72 and 74, as the resist attached to the substrate shrinks more than substrate 71, and , These effects may also be measured in some embodiments.

いくつかの実施の形態において、パターンテスト構造65の光学測定値は、例えば後述の相関モデルまたは機械モデルにおいてその形状を生成する傾向のあるプロセス条件に相関しうる。いくつかの実施の形態において、較正後、このモデルは、観測される光学測定値に基づいてフォトリソグラフィプロセスをモニタするために用いられうる。 In some embodiments, the optical measurements of the pattern test structure 65 can be correlated to process conditions that tend to produce its shape, eg, in the correlation model or mechanical model described below. In some embodiments, after calibration, the model can be used to monitor the photolithography process based on observed optical measurements.

図7のテスト構造および図8のパターンテスト構造65は、本技術の例示にすぎないことが留意されよう。様々な他の構成を使用してもよい。例えば、追加のサブ分解能フィーチャ34および36がメインフィーチャ32のいずれかの側に異なる距離で配置され、サブ分解能フィーチャの影響が増強されてもよい。いくつかの実施の形態において、サブ分解能フィーチャ34または36は、その一方の側にある追加のサブ分解能フィーチャを含んでよいが、他方の側にはなくてもよい。いくつかの場合、一つのサブ分解能フィーチャが対称である一方で、別のものが非対称であってもよい。いくつかの場合、三以上のサブ分解能フィーチャが一方の側に配置される一方で、他方には存在しなくてもよい。同様の変形がサブ分解能反転フィーチャ38にも適用しうる。例えば、複数のサブ分解能反転フィーチャ38がメインフィーチャ32内の様々な位置に配置されてもよい。いくつかの場合、これらのフィーチャは、メインフィーチャ32に対して非対称に位置決めされてもよく、パターンテスト構造65の側壁に異なる影響を及ぼしてよい。図示されるテスト構造30は、寸法60に沿って直線かつ連続(例えば実質的に直線かつ連続)であるが、他の実施の形態ではパターンテスト構造30が曲線または途切れた部分を含んでよい。いくつかの場合、メインフィーチャは他の形状を有してよく、例えばメインフィーチャがピラーまたはビアであってもよく、また、アシストフィーチャはピラーまたはビアの外周の周りで延在してよく、いくつかの場合、ピラーまたはビアの中心軸に対して非対称であってもよい。様々な他の変形はこれらの例に合致する。 It should be noted that the test structure of FIG. 7 and the pattern test structure 65 of FIG. 8 are merely examples of the present technology. Various other configurations may be used. For example, additional sub-resolution features 34 and 36 may be placed at different distances on either side of the main feature 32 to enhance the effect of the sub-resolution features. In some embodiments, sub-resolution features 34 or 36 may include additional sub-resolution features on one side thereof, but not on the other side. In some cases, one sub-resolution feature may be symmetric while another is asymmetric. In some cases, three or more sub-resolution features may be located on one side and absent on the other. Similar variations can be applied to sub-resolution inversion feature 38. For example, multiple sub-resolution inversion features 38 may be located at various locations within the main feature 32. In some cases, these features may be positioned asymmetrically with respect to the main feature 32 and may affect the sidewalls of the pattern test structure 65 differently. The illustrated test structure 30 is straight and continuous (eg, substantially straight and continuous) along the dimension 60, although in other embodiments the pattern test structure 30 may include curved or discontinuous portions. In some cases, the main features may have other shapes, for example, the main features may be pillars or vias, and the assist features may extend around the perimeter of the pillars or vias. In that case, it may be asymmetric with respect to the central axis of the pillar or via. Various other variations are consistent with these examples.

図9は、例えば上述の例のテスト構造の光学測定に基づいて(例えば基板の表面で明らかになる)リソグラフィプロセス特性を推測するモデルを較正するプロセス80の例を模式的に示す。いくつかの実施の形態において、プロセス80は、パラメータ空間を通じて(例えば値のマトリックスを通じて)フォトリソグラフィのプロセス特性を意図的に変化させることと、変化させた条件下でパターニングされたテスト構造の観測された光学測定値にプロセスの設定を相関させることと、を含む。このような相関は、様々な形態をとってもよく、機械学習モデルをトレーニングすること、モデルのパラメータを選択すること、ルックアップテーブルを設定することなどを含む。 FIG. 9 schematically illustrates an example of a process 80 for calibrating a model that infers lithographic process characteristics (e.g. revealed at the surface of the substrate) based on optical measurements of the test structure of the above example, for example. In some embodiments, the process 80 intentionally changes the process characteristics of photolithography through a parameter space (eg, through a matrix of values) and observes the patterned test structure under varying conditions. Correlating the process settings with the measured optical values. Such correlation may take various forms, including training a machine learning model, selecting model parameters, setting up a look-up table, and the like.

いくつかの実施の形態において、プロセス80は、ブロック82により示されるように、メインフィーチャと、メインフィーチャに近接し、メインフィーチャに対して非対称であり、メインフィーチャに実質的に平行な一以上のサブ分解能フィーチャを持つテスト構造を有するレチクルを取得することを含む。いくつかの実施の形態において、レチクルは、図7のテスト構造を有するレチクルまたは上述の一以上の変形例であってもよい。いくつかの実施の形態において、レチクルを取得することは、レチクルが実装されているフォトリソグラフィ装置、例えば、レチクルを用いて電子デバイスが製造される半導体製造プラント内のフォトリソグラフィ装置を取得することを含んでよい。いくつかの場合、テスト構造は、集積回路といった機能デバイスのための層のレイアウトとともにレチクル上に配置されてもよい。例えば、半導体製造プラントは、半導体デバイスの異なる層をパターニングするための複数のフォトリソグラフィ装置内に複数のレチクルを含んでもよく、異なるレチクルが異なる層をパターニングするために用いられてもよい。 In some embodiments, the process 80 includes a main feature and one or more proximate to the main feature, asymmetric with respect to the main feature, and substantially parallel to the main feature, as indicated by block 82. Acquiring a reticle having a test structure with sub-resolution features. In some embodiments, the reticle may be the reticle having the test structure of FIG. 7 or one or more variations of the above. In some embodiments, obtaining the reticle comprises obtaining a photolithography apparatus in which the reticle is mounted, eg, a photolithography apparatus in a semiconductor manufacturing plant in which electronic devices are manufactured using the reticle. May be included. In some cases, the test structure may be placed on a reticle with a layout of layers for functional devices such as integrated circuits. For example, a semiconductor manufacturing plant may include multiple reticles in multiple photolithographic apparatus for patterning different layers of a semiconductor device, and different reticles may be used to pattern different layers.

次に、いくつかの実施の形態は、ブロック84により示されるように、フォトリソグラフィプロセスのプロセス特性を変化させながら基板をフォトリソグラフィによりパターニングしてよい。基板のパターニングは、所望のサンプルサイズに応じて、一以上の基板をパターニングすることを含んでよい。フォトリソグラフィによるパターニングは、図8に示されるようなパターンテスト構造を上述のフォトリソグラフィ装置を用いて基板の表面上に形成するようパターニングすることを含んでよい。プロセス特性を変化させることは、ある値の範囲にわたって一以上のフォトリソグラフィプロセス特性を変化させることを含んでよい。例えば、その範囲にわたる増加量が固定されてもよいし、変化してもよい。いくつかの場合、複数のプロセス特性が複数の個別の範囲にわたって変化してよい。例えば、フォーカスおよび露光の設定がフォーカス−露光量行列にしたがって個別の範囲にわたって変化してよい。いくつかの場合、追加のプロセス特性をより高次のマトリックスで変化させてもよい。いくつかの場合、基板上の異なる露光フィールドが異なるプロセス特性の変化にしたがって異なる扱いを受けてもよい。例えば、複数のダイなどを含む第1フィールドは、フォーカスおよび露光量設定の第1セットでパターニングされてもよく、その後、複数のダイの別のインスタンスなどを含む第2の異なるフィールドは、異なるフォーカス設定、異なる露光量設定またはその両方でパターニングされてもよい。いくつかの場合、上述のテスト構造は、個々のダイの間のスクライブライン内に配置されてもよいし、個々のダイの範囲内に配置されてもよい。いくつかの実施の形態において、フォトリソグラフィによりパターニングすることは、測定前にフォトレジストを現像およびベーキングすることを含んでよい。 Next, some embodiments may photolithographically pattern the substrate while changing the process characteristics of the photolithographic process, as indicated by block 84. Patterning the substrate may include patterning one or more substrates depending on the desired sample size. Photolithographic patterning may include patterning a pattern test structure as shown in FIG. 8 to form on the surface of the substrate using the photolithographic apparatus described above. Changing the process characteristics may include changing one or more photolithographic process characteristics over a range of values. For example, the amount of increase over that range may be fixed or may change. In some cases, multiple process characteristics may vary over multiple discrete ranges. For example, focus and exposure settings may vary over discrete ranges according to a focus-exposure dose matrix. In some cases, additional process characteristics may be varied in higher order matrices. In some cases, different exposure fields on the substrate may be treated differently according to different process characteristic changes. For example, a first field containing multiple dies, etc., may be patterned with a first set of focus and exposure settings, and then a second different field containing different instances of multiple dies, etc., with different focus. It may be patterned with different settings, different exposure settings, or both. In some cases, the test structures described above may be located within scribe lines between individual dies or within individual dies. In some embodiments, photolithographic patterning may include developing and baking the photoresist prior to measurement.

次に、いくつかの実施の形態は、ブロック86により示されるように、パターンテスト構造を光学的に測定してよい。光学測定は、一以上の上述の散乱計測技術を用いて実行されてもよい。いくつかの場合、各フィールド内の複数のパターンテスト構造は、各処理条件の結果をより安定したサンプルサイズで取得するために測定されてもよい。いくつかの実施の形態において、光学測定は、メインフィーチャの第1側壁の形状を示す光学信号を受信することと、メインフィーチャの異なる(第2)側壁の形状を示す光学信号を受信することと、その後に光学測定値の微分を計算するために異なる光学信号間の差を決定することと、を含んでよい。いくつかの場合、各処理条件についての光学測定値は、例えば平均、最頻値または中央値などの代表値を用いて集約されてもよいし、または、いくつかの実施の形態において、値が集約されずに、例えばクロスバリデーション(相互検証)やブートストラップ・アグリゲーションを用いる様々な機械学習技術を強化するために用いられてもよい。 Next, some embodiments may optically measure the pattern test structure, as indicated by block 86. Optical measurements may be performed using one or more of the scatterometry techniques described above. In some cases, multiple pattern test structures within each field may be measured to obtain results for each processing condition with a more stable sample size. In some embodiments, the optical measurement comprises receiving an optical signal indicative of a shape of the first sidewall of the main feature and receiving an optical signal indicative of a shape of a different (second) sidewall of the main feature. Then determining the difference between the different optical signals to calculate a derivative of the optical measurement. In some cases, the optical measurements for each treatment condition may be aggregated using a representative value such as, for example, the mean, mode or median, or in some embodiments the values may be Instead of being aggregated, it may be used to enhance various machine learning techniques using, for example, cross validation or bootstrap aggregation.

次に、いくつかの実施の形態は、ブロック88により示されるように、テスト条件および対応する光学測定値に基づいてモデルを較正してよい。いくつかの場合、較正記録は、複数のテスト条件であって、各テスト条件がそのテスト条件を用いるフォトリソグラフィプロセス用の設定値を含む複数のテスト条件と、それらのテスト条件を用いて形成されるパターンテスト構造の光学測定値の集合とを含んでよい。様々な異なるモデルおよび較正技術が用いられてもよい。いくつかの場合、モデルは、光学測定の範囲に対応するインデックスと、その範囲内でその光学測定を生成するために観測されるテスト条件に対応する値とを有するルックアップテーブルであってもよい。別の例では、モデルは、テストデータを用いて決定木(ディシジョン・ツリー)をトレーニングすることにより作られてもよい。いくつかの場合、テスト条件に基づいてモデルを較正することは、例えば、新しく観測されたテストデータを考慮するためにベイズ推定を用いて事前に存在するモデルを更新することを含んでよい。いくつかの場合、モデルを較正することは、プロセス特性が隠された状態で、隠れマルコフモデルを較正することを含んでよい。いくつかの場合、モデルは、テストデータの異なる部分集合を用いて複数の異なる回数だけ較正されてもよく、また、モデルの信頼性を向上させるために異なるインスタンスが互いに比較されてもよいし、または、クロスバリデーションで集約されてもよい。 Next, some embodiments may calibrate the model based on the test conditions and corresponding optical measurements, as indicated by block 88. In some cases, the calibration record is formed using a plurality of test conditions, each test condition including a setpoint for a photolithography process using the test condition, and the test conditions. And a set of optical measurements of the pattern test structure. A variety of different models and calibration techniques may be used. In some cases, the model may be a look-up table having an index corresponding to a range of optical measurements and a value corresponding to the test condition observed within that range to generate the optical measurements. .. In another example, the model may be created by training a decision tree with test data. In some cases, calibrating the model based on the test conditions may include, for example, updating the pre-existing model with Bayesian estimation to account for the newly observed test data. In some cases, calibrating the model may include calibrating the Hidden Markov Model with the process characteristics hidden. In some cases, the model may be calibrated multiple different times with different subsets of test data, and different instances may be compared to each other to improve the reliability of the model, Alternatively, they may be aggregated by cross validation.

いくつかの実施の形態において、モデルが以下のテイラー級数展開に基づいてもよい:

Figure 0006738423
この式(「式1」)において、「P」は、例えば特定の範囲の波長または角度にて、散乱計測の瞳で観測される光学信号、例えば光強度であり;ドーズ(dose)は、基板の表面での放射のドーズであり;フォーカス(focus)は、基板の表面でのフォーカス条件であり;「…」は、複数項のパターンにわたって拡張する高次の項を表し、これはより小さい影響に起因していくつかの場合に省略されてもよいが、他の特徴も省略されてもよいことを示唆するものではない。 In some embodiments, the model may be based on the following Taylor series expansion:
Figure 0006738423
In this equation (“Equation 1”), “P” is the optical signal, eg, light intensity, observed at the pupil of the scatterometry, eg, at a wavelength or angle in a particular range; the dose is the substrate Is the dose of radiation at the surface of the substrate; focus is the focus condition at the surface of the substrate; "..." represents the higher order terms that extend over the multi-term pattern, which has a smaller effect. May be omitted in some cases due to, but does not imply that other features may also be omitted.

式1と、散乱計測ツールの瞳測定値とを用いて、いくつかの実施の形態は、(例えば、誤差関数、例えば、フォーカス−露光量行列における設定値に対するモデルにより予測される条件の二乗平均根の和を減少させる傾向にある偏微分項を選択することにより)式のパラメータを選択してよい。いくつかの場合、モデルは、確率的勾配降下といった勾配降下を用いてトレーニングされてもよい。いくつかの実施の形態において、モデルは、複数のパラメータについてトレーニングされてもよく、例えば、一部のテスト構造が露光量についてテストし、残りのテスト構造がフォーカスについてテストしてもよいし、モデルが双方のプロセス特性についてトレーニングされてもよい。いくつかの場合、光学信号は、瞳画素のずれに対するフォーカスのずれを較正するために二つの瞳面測定値の差分により得られる作動信号である。 Using Equation 1 and scatterometry tool pupil measurements, some embodiments (e.g., error function, e.g., the root mean square of the conditions predicted by the model for the settings in the focus-exposure matrix). The parameters of the equation may be chosen (by choosing partial differential terms that tend to reduce the root sum). In some cases, the model may be trained with gradient descent, such as stochastic gradient descent. In some embodiments, the model may be trained on multiple parameters, for example, some test structures may be tested for exposure and the remaining test structures may be tested for focus, or the model may be tested. May be trained on both process characteristics. In some cases, the optical signal is an actuation signal obtained by the difference between two pupil plane measurements to calibrate the focus shift relative to the pupil pixel shift.

式1に基づくモデルは、多くの形態をとりうる。いくつかの場合、モデルは、式1の最初の3項、最初の4項、5項、6項またはそれ以上の項などであるトレーニングデータセットに基づいて決定される係数を有する部分式1自体である。いくつかの場合、モデルは、いくつかのバージョンの式1を含み、それぞれが異なるプロセス特性について解かれる。いくつかの場合、モデルは、式1を近似するルックアップテーブル、または、式1を近似するトレーニングされた機械学習モデルであり、例えば普遍的な近似定理に合致するトレーニングされたディシジョンツリーまたはニューラルネットなどである。 The model based on Equation 1 can take many forms. In some cases, the model is a sub-expression 1 itself with coefficients determined based on the training data set, such as the first three terms, the first four terms, the five terms, the six terms, or more of the equations. Is. In some cases, the model includes several versions of Equation 1, each solved for different process characteristics. In some cases, the model is a look-up table that approximates Equation 1, or a trained machine learning model that approximates Equation 1, such as a trained decision tree or neural net that fits the universal approximation theorem. And so on.

いくつかの実施の形態において、モデルは、メトロポリス・ヘイスティングアルゴリズムなどのマルコフ連鎖モンテカルロアルゴリズムで構築され、後述する一以上の計算デバイスにより実行される。いくつかの実施の形態において、このようなアルゴリズムは、実行時に、所望の確率分布の相対的に高確率の領域から引き出される傾向にあるサンプルに基づいてマルコフ連鎖を繰り返し構築しうる。いくつかの実施の形態は、パラメータ空間内の点と確率密度が例えばその点についてランダムに選択される初期化ステップを含んでもよく、その確率密度について選択された点を中心とするガウス分布となるように選択される。いくつかの実施の形態は、その後、繰り返しにより、1)確率密度をサンプリングすることで後続点を選択することにより確率密度に基づいてパラメータ空間内で次の候補点を決定し、2)次の候補点が現在点よりも可能性が高いかどうかを示す合格率を決定し、3)その点の蓋然性が高いとの決定に応じてその次の候補点を受け入れるか、または、その点の蓋然性が低いとの決定に応じてその次の候補点を拒否するかのいずれかをしてよい。合格した点は、パラメータ空間内でマルコフ連鎖を形成することができ、その空間の相対的に高確率の領域に向けてランダムウォークし、その領域内にとどまる傾向にある。いくつかの実施の形態において、その連鎖の初期状態の閾値量は、その連鎖がより高確率の領域に移動する間の「ならし(バーンイン)」期間に廃棄されてもよい。生じるマルコフ連鎖点(例えば高次元ベクトル)は、リソグラフィプロセスの様々なプロセス特性の期待値をモンテカルロ分析を用いて計算するために用いられてもよい。 In some embodiments, the model is built with a Markov chain Monte Carlo algorithm, such as the Metropolis-Hasting algorithm, and executed by one or more computing devices described below. In some embodiments, such algorithms may, at run-time, iteratively build Markov chains based on samples that tend to be drawn from relatively high probability regions of the desired probability distribution. Some embodiments may include an initialization step in which points in the parameter space and probability densities are randomly selected, eg, for the points, resulting in a Gaussian distribution centered on the points selected for the probability densities. To be selected. Some embodiments then iterate to 1) determine the next candidate point in the parameter space based on the probability density by selecting subsequent points by sampling the probability density, and 2) Determine a pass rate that indicates whether a candidate point is more likely than the current point, and 3) accept the next candidate point in response to determining that the point is more likely, or the probability of that point. The next candidate point may either be rejected depending on the determination that is low. Passed points can form Markov chains in the parameter space and tend to randomly walk towards a relatively high probability region of that space and stay within that region. In some embodiments, the initial state threshold amount of the chain may be discarded during the "burn-in" period during which the chain moves to a higher probability region. The resulting Markov chain points (eg, high dimensional vectors) may be used to calculate expected values for various process characteristics of the lithographic process using Monte Carlo analysis.

較正は、トレーニングデータセット用にテスト構造をパターニングする際に意図的に変化させるフォーカス、ドーズおよび他のプロセス特性設定に値Pを一致させる式1の係数をトレーニングセット内の測定のために決定することを含んでよい。いくつかの場合、一致は、トレーニングデータセットのテスト条件の一式にわたって誤差を例えば自乗平均根の合計などで集約する誤差関数または適合性関数によって符号に依存して特徴付けられうる。いくつかの実施の形態は、例えば一致性の測定に対する各パラメータの偏微分にしたがって、連続的な繰り返し間の変化が閾値より小さくなるまで、モデルとトレーニングセットとの間の一致性を増加させるように減少する傾向のあるパラメータを繰り返し選択してもよい。いくつかの実施の形態は、パラメータの異なる初期選択からこのプロセスを繰り返し、トレーニングセットとモデルとの間で最も近い一致が生じる繰り返しの結果を選択し、極小値の選択を防ぐようにしてよい。 The calibration determines for measurement within the training set the coefficients of Equation 1 that match the value P to the focus, dose and other process characteristic settings that are intentionally changed when patterning the test structure for the training data set. May be included. In some cases, the match may be sign-dependently characterized by an error function or a fitness function that aggregates the error over a set of test conditions on the training data set, such as the sum of root mean squares. Some embodiments may increase the match between the model and the training set until the change between successive iterations is less than a threshold, for example according to the partial derivative of each parameter with respect to the measure of the match. The parameters that tend to decrease may be selected repeatedly. Some embodiments may iterate this process from different initial selections of parameters and select the result of the iteration that produces the closest match between the training set and the model to prevent the selection of local minima.

いくつかの実施の形態において、式1の係数を決定することは、プロセス特性の一つにおける変化を瞳強度の変化に関連付ける比率を決定することを含む。これは、一つのプロセス特性のみの変化について瞳強度と関連付ける比率を決定することを含んでよい。いくつかの実施の形態において、比率を決定することは、プロセス特性の部分集合における変化を瞳強度の変化に関連付ける混合微分を決定することも含んでよい。いくつかの実施の形態は、瞳強度に対する全微分を決定することも含んでよい。微分(導関数)を決定することは、他のプロセス特性をほぼ一定に固定しながら、例えばリソグラフィ装置における目標値を変化させることなく、あるプロセス特性の変化の増分を瞳強度における測定された変化に関連付けることにより導関数を近似することを含んでよい。導関数を決定することは、導関数の逆数を決定することも含んでよい。 In some embodiments, determining the coefficient of Equation 1 includes determining a ratio that relates a change in one of the process characteristics to a change in pupil intensity. This may include determining a ratio associated with pupil intensity for changes in only one process characteristic. In some embodiments, determining the ratio may also include determining a mixed derivative that relates changes in the subset of process characteristics to changes in pupil intensity. Some embodiments may also include determining a total derivative for pupil intensity. Determining the derivative (derivative) determines the incremental change in one process characteristic, for example, without changing the target value in the lithographic apparatus, while fixing the other process characteristic substantially constant, and the measured change in pupil intensity. May be approximated by relating to Determining the derivative may also include determining the reciprocal of the derivative.

いくつかの実施の形態において、いったんモデルが較正されると、そのモデルはリソグラフィ装置のプロセス監視モジュールにアップロードされてよい。このモジュールは、一以上の後述するコンピュータ上で実行されるコードを含んでもよく、図10を参照して後述されるプロセスを実行し、フォトリソグラフィプロセスのプロセス制御または監視を実行してよい。 In some embodiments, once the model is calibrated, the model may be uploaded to the process monitoring module of the lithographic apparatus. This module may include one or more of the computer-executed code described below, may perform the processes described below with reference to FIG. 10, and may perform process control or monitoring of the photolithography process.

いくつかの実施の形態において、プロセス89は、ブロック90により示されるように、メインフィーチャと、メインフィーチャに近接し、メインフィーチャに対して非対称であり、メインフィーチャに実質的に平行な一以上のサブ分解能フィーチャとを持つテスト構造を有するレチクルを取得することを含む。いくつかの場合、これは、上述のレチクルと同じであってもよい。しかしながら、図9および10のプロセスは、図7−8を参照して上述したテスト構造に限られるものではない(これは他のフィーチャが同様に限定されることを示唆するものではない)。実際、これらのプロセスは、とりわけ図6のテスト構造の使用にも適している。 In some embodiments, the process 89 includes a main feature and one or more proximate to the main feature, asymmetric with respect to the main feature, and substantially parallel to the main feature, as indicated by block 90. Acquiring a reticle having a test structure with sub-resolution features. In some cases this may be the same as the reticle described above. However, the processes of FIGS. 9 and 10 are not limited to the test structures described above with reference to FIGS. 7-8 (which does not imply that other features are similarly limited). In fact, these processes are also particularly suitable for use with the test structure of FIG.

次に、いくつかの実施の形態は、ブロック91により示されるように、製造基板(例えば、ある基板はテスト基板とは対照的に製造設備の仕掛を有する)をレチクルを用いてフォトリソグラフィによりパターニングしてよい。いくつかの実施の形態において、このステップは、例えば製造基板の集合内の複数の製造基板をパターニングすること、または、部分的にパターニングすることを含んでよい。 Next, some embodiments photolithographically pattern a manufacturing substrate (eg, one substrate has a manufacturing facility widget as opposed to a test substrate), as indicated by block 91, using a reticle. You can do it. In some embodiments, this step may include, for example, patterning, or partially patterning, a plurality of production substrates within a set of production substrates.

次に、いくつかの実施の形態は、ブロック92により示されるように、製造基板上のパターンテスト構造を光学的に測定してよい。いくつかの場合、製造基板の部分集合は、全般的な製造工程を代表する測定のために選択されてよく、または、いくつかの場合、製造工程におけるそれぞれの基板が測定されてもよい。いくつかの場合、光学測定は、図9を参照しながら上述したものと同様または同一であってもよい。いくつかの実施の形態において、光学測定は、散乱計測測定であり、いくつかの場合、散乱計測測定は、パターンテスト構造の側壁形状の差を示す差分値を生成する。いくつかの実施の形態において、基板内の複数の場所、例えば各露光フィールド内または各ダイ内の複数の場所が測定されてよい。 Next, some embodiments may optically measure the pattern test structures on the production substrate, as indicated by block 92. In some cases, a subset of manufacturing substrates may be selected for measurements that are representative of the overall manufacturing process, or in some cases each substrate in the manufacturing process may be measured. In some cases, the optical measurement may be similar or identical to that described above with reference to FIG. In some embodiments, the optical measurement is a scatterometry measurement, and in some cases, the scatterometry measurement produces a difference value indicative of a difference in sidewall shape of the pattern test structure. In some embodiments, multiple locations within the substrate may be measured, eg, multiple locations within each exposure field or within each die.

次に、いくつかの実施の形態は、ブロック93により示されるように、フォトリソグラフィによるパターニングのプロセス特性を光学測定値およびモデルに基づいて推測(例えば間接測定を通じて推定)してよい。いくつかの実施の形態において、この推測は、光学測定値を図9のプロセスで較正されたモデルに入力することと、推測されたプロセス特性を出力することとを含んでよい。いくつかの場合、推測されたプロセス特性は、絶対値であり、例えばフォーカス、露光、色収差、オーバレイなどである。または、いくつかの場合、推測されたプロセス特性は差分であり、一以上のこれらの値の変化などである。プロセス特性を推測することは、プロセス特性の比率またはプロセス特性のいくつかの他の組み合わせを推測することを含んでよい。いくつかの場合、プロセス特性を推測することは、一つのモデルまたは複数のモデルを用いて複数のプロセス特性を推測することを含んでよい。いくつかの場合、複数のプロセス特性は、基板上の複数の異なる場所のそれぞれについて推測されてよく、例えば、基板の各フィールド、基板の各ダイ、基板の各ダイの各領域について推測されてよい。 Next, some embodiments may infer (eg, through indirect measurements) the process characteristics of photolithographic patterning based on optical measurements and models, as indicated by block 93. In some embodiments, this estimation may include inputting optical measurements into the model calibrated in the process of FIG. 9 and outputting estimated process characteristics. In some cases, the inferred process characteristic is an absolute value, such as focus, exposure, chromatic aberration, overlay, etc. Or, in some cases, the inferred process characteristic is a difference, such as a change in one or more of these values. Inferring process characteristics may include inferring a ratio of process characteristics or some other combination of process characteristics. In some cases, inferring process characteristics may include inferring multiple process characteristics using one model or multiple models. In some cases, the process characteristics may be inferred for each of a plurality of different locations on the substrate, eg, each field of the substrate, each die of the substrate, each region of each die of the substrate. ..

次に、いくつかの実施の形態は、ブロック94により示されるように、推測されたプロセス特性が目標値と異なるかどうかを決定してよい。いくつかの場合、このステップは、推測されたプロセス特性が許容値の範囲の閾値内におさまるかどうかを決定することを含んでよく、または、いくつかの場合、このステップは、その値がいくらかの分解能で単純に異なるかどうかを決定することを含んでよい。いくつかの場合、例えば基板フィールドまたはダイについて、閾値量(例えばカウントまたは比率)よりも大きい測定サイトが目標値とは異なるプロセス特性を有するかどうかを決定することを含んでよい。いくつかの場合、例えば、頻繁に生じることが予想されないエッジダイの測定値に起因する再作業を防ぐために、異なる閾値が基板、フィールドまたはダイの異なる領域に適用されてよい。いくつかの場合、目標値に対してプロセス特性を比較することは、例えば、許容可能な目標値および目標値のクロス積に対応するプロセス特性に基づいてスコアを計算することにより、複数の目標値に対して複数のプロセス特性を比較してよい。 Next, some embodiments may determine whether the inferred process characteristic differs from a target value, as indicated by block 94. In some cases, this step may include determining whether the inferred process characteristic falls within a threshold of a range of acceptable values, or in some cases, this step may include determining that some May be simply determined at different resolutions. In some cases, for example, for a substrate field or die, it may include determining whether a measurement site that is greater than a threshold amount (eg, count or ratio) has a process characteristic that differs from a target value. In some cases, different thresholds may be applied to different areas of the substrate, field or die, for example, to prevent rework due to infrequently expected edge die measurements. In some cases, comparing a process characteristic to a target value may be accomplished by, for example, calculating a score based on the process characteristic corresponding to an acceptable target value and a cross product of the target values, thereby obtaining a plurality of target values. Multiple process characteristics may be compared against.

次に、いくつかの実施の形態は、ブロック95により示されるように、目標値からの差を低減するようにフォトリソグラフィによるパターニングプロセスの設定を調整してよい。いくつかの場合、このステップは、フォトリソグラフィ装置のフォーカスまたは露光を調整して差を低減することを含んでよい。いくつかの実施の形態において、この調整は、仮に調整されなければ、許容されるプロセス条件未満で追加の基板が処理される間の長い時間にわたって検出されないままとなりうるプロセス条件におけるドリフトを低減してよい。いくつかの実施の形態において、このステップは、追加的または代替的に、例えばレジストの除去および再パターニングにより、基板を再作業することを含んでよい。 Next, some embodiments may adjust the settings of the photolithographic patterning process to reduce the difference from the target value, as indicated by block 95. In some cases, this step may include adjusting the focus or exposure of the photolithographic apparatus to reduce the difference. In some embodiments, this adjustment reduces drift in process conditions that, if not adjusted, can remain undetected for extended periods of time while additional substrates are being processed below allowed process conditions. Good. In some embodiments, this step may additionally or alternatively include reworking the substrate, such as by removing resist and repatterning.

いくつかの実施の形態において、このプロセス89は、電子デバイスが製造される半導体製造プラント内の様々なレイヤで複数回繰り返されてもよい。例えば、基板は、半導体デバイスの様々な層を構築するために堆積/注入、パターニング、エッチングなどの複数のサイクルを経験し、その後、基板から個片化され、パッケージ化され、電子デバイス内に配置されうる。 In some embodiments, this process 89 may be repeated multiple times at various layers within a semiconductor manufacturing plant where electronic devices are manufactured. For example, a substrate undergoes multiple cycles of deposition/implantation, patterning, etching, etc. to build various layers of a semiconductor device, then singulated from the substrate, packaged, and placed in an electronic device. Can be done.

図11は、本書に開示される方法およびフローの実装を支援できるコンピュータシステム100を模式的に示す。コンピュータシステム100は、情報通信のためのバス102または他の通信手段、情報処理のためにバス102に接続されるプロセッサ104(または複数のプロセッサ104および105)を含む。コンピュータシステム100は、バス102に接続され、プロセッサ104により実行すべき情報および指令を記憶するためのランダムアクセスメモリ(RAM)または他の動的記憶デバイスといったメインメモリ106も含む。メインメモリ106は、プロセッサ104により実行すべき指令の実行中に一時的な変数および他の中間情報を記憶するために用いられてもよい。コンピュータシステム100は、バス102に接続され、プロセッサ104向けの静的な情報および指令を記憶するためのリードオンリメモリ(ROM)または他の静的記憶デバイスをさらに含む。磁気ディスクまたは光ディスクなどの記憶デバイス110が設けられ、情報および指令を記憶するためにバス102に接続される。 FIG. 11 schematically illustrates a computer system 100 that can assist in implementing the methods and flows disclosed herein. Computer system 100 includes a bus 102 or other communication means for communicating information, a processor 104 (or multiple processors 104 and 105) coupled to bus 102 for processing information. Computer system 100 also includes a main memory 106, such as a random access memory (RAM) or other dynamic storage device, connected to bus 102 for storing information and instructions to be executed by processor 104. Main memory 106 may be used to store temporary variables and other intermediate information during execution of instructions to be executed by processor 104. Computer system 100 further includes a read only memory (ROM) or other static storage device connected to bus 102 for storing static information and instructions for processor 104. A storage device 110, such as a magnetic disk or optical disk, is provided and is connected to the bus 102 for storing information and instructions.

コンピュータシステム100は、コンピュータユーザに情報を表示するためのブラウン管(CRT)またはフラットパネルもしくはタッチパネルディスプレイといったディスプレイ112にバス102を通じて接続されてもよい。英数字キーおよび他のキーを含む入力デバイス114は、プロセッサ104との情報通信およびコマンド選択のためにバス102に接続される。他の形式のユーザ入力装置は、プロセッサ104に対する方向情報の通信およびコマンド選択と、ディスプレイ112上のカーソルの動きの制御のためのマウス、トラックボールまたはカーソル方向キーといったカーソルコントローラ116である。この入力デバイスは、2軸、第1軸(例えばx)および第2軸(例えばy)の二つの自由度を典型的に有し、デバイスが平面上の位置を特定できるようにする。タッチパネル(スクリーン)ディスプレイは、入力デバイスとして用いられてもよい。 Computer system 100 may be connected via bus 102 to a display 112 such as a cathode ray tube (CRT) or flat panel or touch panel display for displaying information to a computer user. Input devices 114, including alphanumeric and other keys, are connected to bus 102 for information communication and command selection with processor 104. Another type of user input device is a cursor controller 116, such as a mouse, trackball or cursor direction keys for communicating directional information and command selection to the processor 104 and controlling cursor movement on the display 112. The input device typically has two degrees of freedom, a two-axis, a first axis (eg x) and a second axis (eg y), allowing the device to specify a position on a plane. A touch panel (screen) display may be used as an input device.

一つの実施の形態によれば、本書に記載されるプロセスの一部は、メインメモリ106に含まれる一以上の指令の一以上のシーケンスを実行するプロセッサ104に応答して、コンピュータシステム100により実行されてもよい。このような指令は、記憶デバイス110などの別のコンピュータ可読媒体からメインメモリ106に読み込まれてもよい。メインメモリ106に含まれる指令のシーケンスの実行は、本書に記載されるプロセスステップの実行をプロセッサ104に生じさせる。一以上のプロセッサがマルチ処理構成において採用され、メインメモリ106に含まれる指令のシーケンスを実行してよい。代替的な実施の形態において、ソフトウェア指令の代わりに、または、ソフトウェア指令との組み合わせで、ハードウェアで実現される回路が用いられてもよい。したがって、本書の記載は、ハードウェア回路とソフトウェアの任意の特定の組み合わせには限定されない。 According to one embodiment, some of the processes described herein are performed by computer system 100 in response to processor 104 executing one or more sequences of one or more instructions contained in main memory 106. May be done. Such instructions may be read into main memory 106 from another computer-readable medium, such as storage device 110. Execution of the sequences of instructions contained in main memory 106 causes processor 104 to perform the process steps described herein. One or more processors may be employed in the multi-processing configuration to execute the sequences of instructions contained in main memory 106. In alternative embodiments, hardware implemented circuitry may be used in place of, or in combination with, software instructions. Therefore, the description herein is not limited to any particular combination of hardware circuitry and software.

コンピュータ可読媒体の様々な形態は、一以上の指令の一以上のシーケンスをプロセッサ104に実行用に搬送するために関与してよい。例えば、指令は、遠隔コンピュータのコンピュータ可読媒体にて最初に生成されうる。遠隔コンピュータは、その指令を動的メモリにロードし、有線または無線の通信でその指令を送信できる。コンピュータシステム100は、その指令を受信でき、その指令をバス102に置くことができる。バス102は、その指令をメインメモリ106に搬送し、プロセッサ104はメインメモリ106からその指令を検索して実行する。メインメモリ106により受信した指令は、プロセッサ104により実行される前または後のいずれかに、記憶デバイス110に選択的に記憶されてもよい。 Various forms of computer readable media may be involved in carrying one or more sequences of one or more instructions to processor 104 for execution. For example, the instructions may first be generated on a computer-readable medium of a remote computer. The remote computer can load the command into its dynamic memory and send the command via wired or wireless communication. Computer system 100 can receive the instructions and place the instructions on bus 102. The bus 102 carries the command to the main memory 106, and the processor 104 retrieves the command from the main memory 106 and executes the command. The instructions received by main memory 106 may be selectively stored on storage device 110 either before or after execution by processor 104.

ある実施の形態において、コンピュータシステム100は、バス102に接続される通信インタフェース118を含む。通信インタフェース118は、ローカルネットワーク122に接続されるネットワークリンク120に接続する二方向データ通信を提供する。例えば、通信インタフェース118は、対応する通信線へのデータ通信接続を提供する統合サービスデジタルネットワーク(ISDN)カードまたはモデムであってもよい。別の例として、通信インタフェース118は、適合するローカルエリアネットワーク(LAN)へのデータ通信接続を提供するLANカードであってもよい。無線リンクが実装されてもよい。任意のこのような実装において、通信インタフェース118は、様々な形式の情報を表すデジタルデータストリームを搬送する電気信号、電磁気信号または光信号を送信および受信する。 In one embodiment, computer system 100 includes a communication interface 118 connected to bus 102. Communication interface 118 provides two-way data communication that connects to a network link 120 that connects to a local network 122. For example, communication interface 118 may be an integrated services digital network (ISDN) card or modem that provides a data communication connection to a corresponding communication line. As another example, the communication interface 118 may be a LAN card that provides a data communication connection to a compatible local area network (LAN). Wireless links may be implemented. In any such implementation, communication interface 118 sends and receives electrical, electromagnetic or optical signals that carry digital data streams representing various types of information.

ネットワークリンク120は、一以上のネットワークを通じて他のデータ装置へのデータ通信を典型的に提供する。例えば、ネットワークリンク120は、ローカルネットワーク122を通じたホストコンピュータ124への接続を提供してよいし、インターネットサービスプロバイダ(ISP)126により実行されるデータ設備への接続を提供してよい。次に、ISP126は、現在において一般的に「インターネット」128とも称されるワールドワイドパケットデータ通信ネットワークを通じたデータ通信サービスを提供する。ローカルネットワーク122およびインターネット128の双方は、デジタルデータストリームを搬送する電気信号、電磁気信号または光信号を用いる。デジタルデータをコンピュータシステム100送受信する様々なネットワークを通じた信号およびネットワークリンク120上の通信インタフェース118を通じた信号は、情報を伝送する搬送波の例示的な形態である。 Network link 120 typically provides data communication through one or more networks to other data devices. For example, the network link 120 may provide a connection to a host computer 124 through a local network 122, or a data facility executed by an Internet service provider (ISP) 126. The ISP 126 in turn provides data communication services through the world wide packet data communication network now commonly referred to as the “Internet” 128. Both the local network 122 and the Internet 128 use electrical, electromagnetic or optical signals that carry digital data streams. Signals through various networks for transmitting and receiving digital data to computer system 100 and through communication interface 118 on network link 120 are exemplary forms of carrier waves for transmitting information.

コンピュータシステム100は、ネットワーク、ネットワークリンク120および通信インタフェース118を通じて、メッセージを送信し、プログラムコードを含むデータを受信できる。インターネットの例において、サーバ130は、インターネット128、ISP126、ローカルネットワーク122および通信インタフェース118を通じて、アプリケーションプログラム用の要求コードを送信してよい。このようにしてダウンロードされるアプリケーションの一つは、例えば本書に記載されるある実施の形態のプロセスの一部の実行を提供してよい。受信したコードは、受信した際にプロセッサ104により実行されてもよいし、および/または、後の実行のために記憶デバイス110または他の不揮発性の記憶装置に記憶されてもよい。このようにして、コンピュータ110は、搬送波の形態でアプリケーションコードを取得してよい。 Computer system 100 can send messages and receive data, including program code, through the networks, network links 120 and communication interfaces 118. In the Internet example, server 130 may send a request code for an application program through Internet 128, ISP 126, local network 122 and communication interface 118. One of the applications thus downloaded may provide, for example, performance of some of the processes of certain embodiments described herein. The received code may be executed by processor 104 as it is received, and/or stored in storage device 110 or other non-volatile storage for later execution. In this way, the computer 110 may obtain the application code in the form of carrier waves.

開示の実施の形態は、ハードウェア、ファームウェア、ソフトウェアまたはこれらの組み合わせにより実現されてもよい。本開示の実施の形態は、機械可読媒体またはコンピュータ可読媒体に記憶される指令として実現されてもよく、これらは一以上のプロセッサにより読出および実行されてもよい。本書で用いられる「機械可読媒体」または「コンピュータ可読媒体」の用語は、実行用にプロセッサ104に指令を提供するのに関係する任意の媒体のことを指し、および/または、機械(例えば計算デバイス)により読み取り可能な形態で情報を記憶または伝送するよう構成される任意のメカニズムを含む。このような媒体は様々な形態をとってもよく、不揮発性の非一時的な媒体、揮発性の非一時的な媒体および伝送媒体を含むが、これに限られない。不揮発性媒体は、例えば記憶デバイス110のような光ディスクまたは磁気ディスクを含む。揮発性媒体は、メインメモリ106のような動的メモリを含む。伝送媒体は、同軸ケーブル、銅配線およびファイバ光学系を含み、バス102を備える配線を含む。伝送媒体は、高周波(RF)および赤外(IR)のデータ通信中に生成されるような音響波または光波の形態を取ることもできる。コンピュータ可読媒体の通常の形態は、例えばフロッピーディスク、フレキシブルディスク、ハードディスク、磁気テープ、磁気ディスク記憶媒体または他の磁気媒体、CD−ROM、DVDまたは任意の他の光記憶媒体、パンチカード、紙テープまたは他の穴のパターンを持つ物理媒体、読出専用メモリ(ROM)、ランダムアクセスメモリ(RAM)、PROM、EPROM、フラッシュEPROM、フラッシュメモリデバイスまたは任意の他のメモリチップ、または、カートリッジ、搬送波(例えば、電気的、光学的、音響的または他の形態の伝送信号(例えば、搬送波、赤外信号、デジタル信号など))またはコンピュータが読み取りできる任意の他の媒体を含む。 The disclosed embodiments may be implemented in hardware, firmware, software, or a combination thereof. Embodiments of the disclosure may be implemented as instructions stored on a machine-readable medium or a computer-readable medium, which may be read and executed by one or more processors. The term "machine-readable medium" or "computer-readable medium" as used herein refers to any medium that participates in providing instructions to a processor 104 for execution and/or a machine (eg, a computing device). ) Include any mechanism configured to store or transmit information in a readable form. Such a medium may take various forms, including but not limited to, non-volatile, non-transitory media, volatile, non-transitory media, and transmission media. Non-volatile media includes, for example, optical or magnetic disks, such as storage device 110. Volatile media includes dynamic memory, such as main memory 106. Transmission media includes coaxial cables, copper wire and fiber optics, including the wires that comprise bus 102. Transmission media can also take the form of acoustic or light waves, such as those generated during radio frequency (RF) and infrared (IR) data communications. Common forms of computer readable media are, for example, floppy disks, flexible disks, hard disks, magnetic tape, magnetic disk storage media or other magnetic media, CD-ROM, DVD or any other optical storage media, punched cards, paper tape or Physical media with other hole patterns, read only memory (ROM), random access memory (RAM), PROM, EPROM, flash EPROM, flash memory device or any other memory chip, or cartridge, carrier wave (eg, It includes an electrical, optical, acoustic or other form of transmission signal (eg, carrier wave, infrared signal, digital signal, etc.) or any other computer-readable medium.

さらに、ファームウェア、ソフトウェア、ルーチン、指令は、特定の動作を実行するものとして本書に記載されうる。しかしながら、このような記載は単に便宜のためであり、このような動作は、実際には計算デバイス、プロセッサ、コントローラまたは他のデバイスがファームウェア、ソフトウェア、ルーチン、指令などを実行することにより生じることが理解されよう。 In addition, firmware, software, routines, instructions may be described herein as performing certain actions. However, such a description is merely for convenience and such acts may actually occur as a result of a computing device, processor, controller or other device executing firmware, software, routines, instructions, etc. Be understood.

本書ではICの製造におけるリソグラフィ装置の使用を例として説明しているが、本書に記載するリソグラフィ装置は、例えば集積光学システム、磁気ドメインメモリ用案内パターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッドの製造といった他の用途も有しうることが理解されよう。当業者であれば、このような代替的な用途において、本書における「ウェハ」または「ダイ」の用語の任意の使用がより一般的な用語である「基板」または「ターゲット部分」のそれぞれと同義とみなされうることが理解されよう。本書で参照される基板は、露光前または露光後において、例えばトラック(典型的にはレジスト層を基板に塗布し、露光後のレジストを現像する装置)、メトロロジツール、および/またはインスペクションツールにより処理されてもよい。適用可能であれば、本書の開示はこれらのまたは他の基板処理装置にも適用されうる。また、基板は例えば多層ICを製造するために複数回処理されてもよく、その場合には本書における基板という用語は既に処理されている多数の処理層を含む基板をも意味しうる。 Although described herein as an example of the use of a lithographic apparatus in the manufacture of ICs, the lithographic apparatus described herein may include, for example, integrated optical systems, magnetic domain memory guiding and sensing patterns, flat panel displays, liquid crystal displays (LCDs). It will be appreciated that it may also have other applications, such as the manufacture of thin film magnetic heads. Those skilled in the art will recognize that in such alternative applications any use of the terms "wafer" or "die" herein is synonymous with the more general term "substrate" or "target portion", respectively. It will be understood that this can be considered. Substrates referred to herein may be pre- or post-exposure by, for example, a track (typically a device that applies a resist layer to the substrate and develops post-exposure resist), metrology tools, and/or inspection tools. It may be processed. Where applicable, the disclosure herein may be applied to these or other substrate processing apparatus. The substrate may also be processed multiple times, for example to produce a multi-layer IC, in which case the term substrate in this document may also mean a substrate containing a number of processing layers that have already been processed.

本書で用いられる「放射」および「ビーム」の用語は、いかなる種類の電磁的な放射を包含し、紫外(UV)放射(例えば、365nm、248nm、193nm、157nmもしくは126nmの波長を有する)、極短紫外(EUV)放射(例えば5−20nmの範囲の波長を有する)、および、イオンビームや電子ビームといった粒子ビームを含む。 The terms "radiation" and "beam" as used herein include any type of electromagnetic radiation, including ultraviolet (UV) radiation (eg, having a wavelength of 365 nm, 248 nm, 193 nm, 157 nm or 126 nm), polar. Includes short ultraviolet (EUV) radiation (eg, having a wavelength in the range of 5-20 nm) and particle beams such as ion and electron beams.

ブロック図において図示された構成要素は、別個の機能ブロックとして描かれているが、実施の形態は、本書に記載される機能が図示されるように組織化されるシステムに限定されるものではない。各構成要素により提供される機能は、現に図示されるものとは異なって組織化されるソフトウェアまたはハードウェアにより提供されてもよく、例えばこのようなソフトウェアまたはハードウェアが(例えばデータセンタ内でまたは地理的に)混在、結合、複製、分割、分布してよく、または、他の態様で異なって組織化されてもよい。本書に記載される機能は、有形の非一時的な機械可読媒体に記憶されるコードを実行する一以上のコンピュータの一以上のプロセッサにより提供されてもよい。いくつかの場合、第三者のコンテンツ配送ネットワークがネットワークを通じて配送される情報の一部または全てをホストしてよく、この場合、ある程度まで情報(例えばコンテンツ)が供給または提供されたと言われるまで、その情報をコンテンツ配送ネットワークから検索するための指令を送信することによりその情報が提供されてもよい。 Although the components illustrated in the block diagrams are depicted as separate functional blocks, embodiments are not limited to systems in which the functions described herein are organized as illustrated. .. The functionality provided by each component may be provided by software or hardware that is organized differently than what is currently illustrated, such as such software or hardware (e.g., in a data center or They may be (geographically) mixed, combined, duplicated, divided, distributed, or otherwise organized differently. The functionality described herein may be provided by one or more processors on one or more computers executing code stored on tangible, non-transitory, machine-readable media. In some cases, a third party content delivery network may host some or all of the information delivered through the network, in which case, to some extent, until information (eg, content) is said to have been provided or provided, The information may be provided by sending a command to retrieve the information from the content delivery network.

読み手は、本出願が複数の発明を開示することを理解するであろう。それらの発明を複数の分離された特許出願に分割するのではなく、出願人がこれらの発明を単一の文書にグループ化したのは、その関連する主題が出願プロセスの経済性に当てはまるからである。しかしながら、このような発明の別々の利点および態様は矛盾してはならない。いくつかの場合、実施の形態が本書に記載される欠点の全てに対処するが、発明が独立して有益であり、かつ、いくつかの実施の形態がこのような問題の部分集合にのみ対処し、または、本開示を検討する当業者であれば明らかであろう他の言及されていない利益を提供することが理解されよう。コストの制約に起因して、本書に記載されるいくつかの発明は、現に請求項に記載されていないかもしれず、継続出願のような後の出願において、または、現在の請求項を補正することにより、請求項に記載されるかもしれない。同様に、スペースの制約に起因して、本書の要約または本発明の概要のセクションのいずれにも、このような発明の全てまたはこのような発明の全ての態様の包括的なリストが含まれると解釈されるべきではない。 The reader will understand that this application discloses multiple inventions. Instead of dividing the inventions into separate patent applications, the applicants grouped these inventions into a single document because the relevant subject matter applies to the economics of the filing process. is there. However, the separate advantages and aspects of such an invention must be consistent. In some cases, embodiments address all of the shortcomings described herein, but the invention is independently beneficial, and some embodiments address only a subset of such problems. It will be appreciated that, or provide other unreferenced benefits that will be apparent to those of skill in the art upon reviewing this disclosure. Due to cost constraints, some inventions described herein may not be presently claimed, in later applications such as continuation applications, or by amending the present claims. May be stated in the claim. Similarly, due to space constraints, either the summary of this document or the summary of the invention section contains a comprehensive list of all such inventions or all aspects of such inventions. It should not be interpreted.

説明および図面は、開示された特定の形態に本発明を限定することを意図するものではなく、逆に、添付の請求項によって規定される本発明の思想および範囲内にあるすべての変更、均等物および代替物を網羅することを意図するものであることを理解されたい。本発明の様々な態様のさらなる改変および代替的な実施の形態は、この説明に触れた当業者には明らかであろう。したがって、この説明および図面は、単に例示として解釈されるべきであり、本発明を実施する一般的な方法を当業者に教示するためのものである。本書に示され記載された本発明の形態は、実施の形態の例として解釈されるべきであることが理解されよう。本書のこの記載の利益を有した後であれば、その全てが当業者に理解されるであろうように、本書で図示および説明されたものの代わりの要素および材料が使用されてもよく、部分およびプロセスを逆にしても省略してよく、本発明の特定の特徴が独立して利用されてもよい。以下の請求項に記載される本発明の思想および範囲から逸脱することなく、本書に記載される要素について変更がなされてもよい。本書に用いられる見出しは、組織化の目的のみのためであり、説明の範囲を制限するために用いられることを意味しない。 The description and drawings are not intended to limit the invention to the particular forms disclosed, but on the contrary, all changes and equivalents within the spirit and scope of the invention as defined by the appended claims. It is to be understood that it is intended to cover things and alternatives. Further modifications and alternative embodiments of various aspects of the invention will be apparent to those of skill in the art given this description. Therefore, this description and drawings are to be construed as illustrative only and are for the purpose of teaching those skilled in the art the general manner of carrying out the invention. It will be appreciated that the forms of the invention shown and described herein are to be construed as examples of embodiments. Subsequent to having the benefit of this description of this document, alternative elements and materials to those shown and described herein may be used, as would be understood by one of ordinary skill in the art, and portions thereof. And the process may be reversed or omitted, and particular features of the invention may be utilized independently. Changes may be made in the elements described herein without departing from the spirit and scope of the invention as described in the following claims. The headings used herein are for organizational purposes only and are not meant to be used to limit the scope of the description.

本出願を通して使用されるように、「〜しうる」「〜してよい」という文言は、強制的な意味(つまり、〜しなければならないの意味)ではなく、許容的な意味(つまり、可能性を有するという意味)で使用される。「含む」の文言は、含むことを意味するが、それに限られない。この出願を通じて使用されるように、単数形は、内容が明示的にそうではないことを示さない限り、複数の指示物を含む。したがって、例えば、「ある要素」に対する言及は、「一以上」などの一以上の要素についての他の用語または語句を用いるにも拘わらず、二以上の要素を含む。「または/もしくは」の用語は、別途言及されない限り、非排他的であり、つまり、「および/ならびに」と「または/もしくは」の双方を包含する。条件付けの関係を記述する用語、例えば「Xに応じて、Y」「Xに際して、Y」「もしXであれば、Y」「Xの場合、Y」などは、先行者が必要条件となり、先行者が十分条件となり、または、先行者が結果として生じる寄与的な因果条件となる因果関係を包含し、例えば「状態Xは、条件Yの取得時に生じる」は、「XはYのときのみ生じる」および「XはYおよびZのときに生じる」を包含する。このような条件付けの関係は、いくつかの結果が遅れるかもしれず、先行する取得の直後に続く結果に限られず、条件付きの文では、先行者がその結果と結びつき、例えば先行者がその結果が生じる可能性に関連する。複数の特性または機能が複数の対象物に対応付けされる記載(例えばステップA、B、CおよびDを実行する一以上のプロセッサ)は、別途示されない限り、このような特性または機能の全てがこのような対象物の全てに対応付けされることと、特性または機能の部分集合が特性または機能の部分集合に対応付けされることの双方(例えば、全てのプロセッサがステップA−Dのそれぞれを実行する場合と、プロセッサ1がステップAを実行し、プロセッサ2がステップBとステップCの一部を実行し、プロセッサ3がステップCの一部とステップDを実行する場合との双方)を包含する。さらに、別途明示されない限り、一つの値または動作が別の条件または値に「基づく」という記載は、その条件または値が唯一の要因である場合と、その条件または値が複数の要因のうちの一つの要因である場合との双方を包含する。別途明示されない限り、いくつかの集合のインスタンスの「それぞれ/各々」がいくつかの特性を有するという記載は、より大きな集合の同一または同様の構成要素ではないいくつかがその特性を有しない場合を排除するように読まれるべきではなく、つまり、それぞれが各々かつ全てを意味する必要はない。別途特記されない限り、議論から明らかであろうように、この明細書の議論で一貫する「処理する」「演算する」「計算する」「決定する」などの用語の利用は、特殊用途のコンピュータまたは同様の特殊用途の電子処理/計算装置といった特定の装置の動作またはプロセスを指すことが理解されよう。 As used throughout this application, the words "may" and "may" have an admissible meaning (i.e., possible) rather than a compulsory meaning (i.e., must mean). (Meaning to have sex). The word "comprising" means including, but not limited to. As used throughout this application, the singular includes the plural unless the context clearly indicates otherwise. Thus, for example, reference to "an element" includes two or more elements, despite the use of other terms or phrases for one or more elements, such as "one or more." The term “or/or” is non-exclusive unless stated otherwise, ie it includes both “and/and” and “or/or”. Terms such as “Y depending on X”, “Y when X, “Y” if “X”, “Y in case of X, Y”, etc. are necessary conditions for the predecessor. It includes a causal relationship that becomes a sufficient condition or a contributory causal condition that the antecedent results in. For example, "state X occurs when condition Y is acquired" means "X occurs only when Y" and " X occurs when Y and Z. Such conditional relationships are not limited to results that immediately follow the preceding acquisition, as some results may be delayed, and conditional statements can be associated with the result by the antecedent, for example by the antecedent. Related to sex. Descriptions in which multiple features or functions are associated with multiple objects (eg, one or more processors that perform steps A, B, C, and D) refer to all such features or functions unless otherwise indicated. Both being associated with all such objects and having a subset of properties or functions associated with a subset of properties or functions (eg, all processors perform steps A-D respectively). Both when executing, when processor 1 executes step A, when processor 2 executes part of step B and step C, and when processor 3 executes part of step C and step D). To do. Further, unless stated otherwise, the description that one value or action is "based on" another condition or value means that the condition or value is the only factor and that the condition or value is multiple factors. Both cases where it is one factor are included. Unless stated otherwise, the statement that each "individual/each" of an instance of some set has some property means that some of the larger set, which are not the same or similar components, do not have that property. It should not be read as excluding, that is, each does not have to mean each and every. Unless otherwise stated, as will be apparent from the discussion, the use of terms such as "process," "compute," "compute," "determine," etc., consistent with the discussion in this specification refers to special-purpose computers or It will be appreciated that it refers to the operation or process of a particular device, such as a similar special purpose electronic processing/computing device.

この特許において、特定のUS特許、US特許出願または他の資料(例えば論文)は参照により組み込まれる。しかしながら、このようなUS特許、US特許出願および他の資料の文章は、このような資料と本書に示される記載および図面との間にコンフリクトが存在しない程度にまで参照により組み込まれる。このようなコンフリクトが発生する場合、このような参照により組み込まれるUS特許、US特許出願および他の材料におけるこのようなコンフリクトする文章のいかなる部分も、この特許には明確に参照により組み込まれない。 In this patent, certain US patents, US patent applications or other sources (eg, articles) are incorporated by reference. However, the text of such US patents, US patent applications, and other sources is incorporated by reference to the extent there is no conflict between such sources and the description and drawings shown herein. When such conflicts arise, no part of such conflicting text in US patents, US patent applications, and other materials incorporated by such reference is expressly incorporated by reference in this patent.

本技術は、以下に列挙された項を参照してより良く理解されるであろう。
(項1)モデルを較正する方法であって、この方法は、複数の構造からの散乱放射情報であって、各構造のパターニングプロセスの特性である別個のプロセス条件に前記散乱放射情報の各部分が関連する散乱放射情報を含むトレーニングデータを取得することと;一以上のプロセッサを用いて、プロセス特性の一つにおける変化を散乱放射情報の対応する変化に関連付ける第1の比率を決定することにより、モデルを前記トレーニングデータを用いて較正することと、を備える。
(項2)項1の方法であって、前記較正することは、前記プロセス特性の別の一つにおける変化を散乱放射情報の変化に関連付ける第2の比率を決定することを備える。
(項3)項2の方法であって、前記第1の比率は、散乱放射情報に対するフォーカスの偏微分または逆数であり、前記第2の比率は、散乱放射情報に対するドーズの偏微分または逆数である。
(項4)項1−3のいずれかの方法であって、前記モデルは、少なくとも3項のテーラー級数展開に基づく。
(項5)項1−4のいずれかの方法であって、前記モデルは、散乱放射情報に対する前記プロセス特性の一つの2階微分または前記2階微分の逆数を含む。
(項6)項1−5のいずれの方法であって、前記モデルを較正することは、マルコフ連鎖モンテカルロアルゴリズムを用いてモデルパラメータを決定することを備える。
(項7)項1−6のいずれかの方法であって、前記モデルを較正することは、メトロポリス・ヘイスティングスアルゴリズムを用いてモデルパラメータを決定することを備える。
(項8)項1−7のいずれかの方法であって、前記モデルを較正することは、モデルパラメータを繰り返し調整することにより、前記モデルの各繰り返しと前記トレーニングデータの少なくとも一部との間の一致性の集約値に基づいて前記モデルパラメータを決定することを備える。
(項9)項1−8のいずれかの方法であって、前記散乱放射情報は、フォーカス−露光量行列にしたがって複数のテスト構造を一以上の基板上にパターニングするために用いられるフォトリソグラフィプロセスのプロセス特性を示す複数のテスト条件であって、異なるテスト条件下で異なるパターンのテスト構造がパターニングされる複数のテスト条件と、複数のパターンテスト構造の散乱計測による瞳強度測定値と、いずれの測定値がいずれのテスト条件に対応するかを示すデータと、を備え、前記モデルは、フォトリソグラフィプロセスでパターニングされたテスト構造の光学測定値からフォトリソグラフィプロセスの特性を推測する。
(項10)項1−9のいずれかの方法であって、前記トレーニングデータは、フォトリソグラフィプロセスのモデルと散乱放射情報のモデルとに基づいて取得されるシミュレーションされたトレーニングデータを備える。
(項11)項1−10のいずれかの方法であって、メインフィーチャと、レチクル上の前記メインフィーチャに近接し、前記メインフィーチャに実質的に平行な一以上のサブ分解能フィーチャとを有する前記テスト構造を少なくとも部分的に定義するレチクルを取得することと;前記レチクルで一以上の基板をフォトリソグラフィによりパターニングすることと、を備える。
(項12)項11の方法であって、前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に影響を及ぼすように寸法が決められる第1距離だけ前記メインフィーチャの第1側で前記メインフィーチャから離間した第1サブ分解能フィーチャを含む。
(項13)項12の方法であって、前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に前記第1サブ分解能フィーチャとは異なって影響を及ぼすように寸法が決められる前記第1距離とは異なる第2距離だけ前記メインフィーチャの前記第1側とは異なる第2側で前記メインフィーチャから離間した第2サブ分解能フィーチャを含む。
(項14)項11−13のいずれかの方法であって、前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に影響を及ぼすように各寸法が決められる複数の異なる別個の距離だけ前記メインフィーチャの同じ側で前記メインフィーチャから離間した複数のサブ分解能フィーチャを含む。
(項15)項11−14のいずれかの方法であって、前記一以上のサブ分解能フィーチャは、前記メインフィーチャのある側から第1距離だけ離間し、前記メインフィーチャの反対側から前記第1距離とは異なる第2距離だけ離間するように前記メインフィーチャ内に配置されるサブ分解能反転フィーチャを含む。
(項16)項11−15のいずれかの方法であって、前記メインフィーチャは、ほぼ直線のバー形状構造を含み;前記一以上のサブ分解能フィーチャは、前記メインフィーチャの対向する両側に前記メインフィーチャから異なる距離で前記メインフィーチャに沿って延在する小さいバー形状構造のペアを備え、前記小さいバーの幅は、フォトリソグラフィによるパターニングプロセスの分解能限界より小さく、前記メインフィーチャの幅は、前記分解能限界以上であり;前記レチクルは、互いに間隔を空けて配置されるテスト構造の複数のインスタンスを含むテストグレーティングを備え;前記パターンテスト構造は、前記バー形状構造の両側で異なる傾斜の側壁を有し、傾斜間の差の量がフォーカスまたは露光量の変化に応じて変化する。
(項17)項11−16のいずれかの方法であって、製造工程の基板をレチクルでフォトリソグラフィによりパターニングし、製造デバイスの少なくとも一部のパターンに沿って前記製造工程の基板上にパターンテスト構造を製造することと;前記製造工程のパターニングの完了前の前記製造工程中に前記パターンテスト構造の少なくとも一部を光学的に測定することと;対応する光学測定および相関するモデルに基づいて、前記製造工程のフォトリソグラフィ・パターニングのプロセス特性を推測することと;目標とするプロセス特性が推測されたプロセス特性とは異なることを決定することと、を備える。
(項18)パターニングプロセスのパラメータを推測する方法であって、この方法は、基板上のパターン構造の散乱放射測定値を取得することと;一以上のプロセッサを用いて、フォトリソグラフィ・パターニングのプロセス特性を較正されたモデルを用いて光学測定値に基づいて推測することと、を備え、前記モデルは、前記プロセス特性の一つにおける変化を散乱放射測定値の変化に関連付ける第1の比率を備える。
(項19)項18の方法であって、前記第1の比率は、散乱放射測定値に対するフォーカスの偏微分または逆数であり、モデルは、散乱放射測定値に対するドーズの偏微分または逆数である第2の比率を備える。
(項20)項18−19のいずれかの方法であって、トレーニングデータを取得するステップと;一以上のプロセッサを用いて、前記第1の比率を決定することにより前記トレーニングデータを用いて前記モデルを較正するステップと、を実行することにより前記モデルを較正することを備え、前記トレーニングデータは、一以上の基板上に複数のテスト構造をパターニングするために用いるフォトリソグラフィプロセスのプロセス特性を示す複数のテスト条件であって、異なるテスト条件下で異なるパターンのテスト構造がパターニングされる複数のテスト条件と、複数のパターンテスト構造の散乱計測による瞳強度測定値と、いずれの測定値がいずれのテスト条件に対応するかを示すデータと、を含む。
(項21)項18−20のいずれかの方法であって、前記構造は、テスト構造であり、前記方法は、メインフィーチャと、レチクル上の前記メインフィーチャに近接し、前記メインフィーチャに実質的に平行な一以上のサブ分解能フィーチャとを有する前記テスト構造を少なくとも部分的に定義するレチクルを取得すること;前記レチクルで一以上の基板をフォトリソグラフィによりパターニングして前記基板上にパターンテスト構造を製造することと、を備える。
(項22)項21の方法であって、前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に影響を及ぼすように寸法が決められる第1距離だけ前記メインフィーチャの第1側で前記メインフィーチャから離間した第1サブ分解能フィーチャを含み、前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に前記第1サブ分解能フィーチャとは異なって影響を及ぼすように寸法が決められる前記第1距離とは異なる第2距離だけ前記メインフィーチャの前記第1側とは異なる第2側で前記メインフィーチャから離間した第2サブ分解能フィーチャを含む。
(項23)項21の方法であって、前記一以上のサブ分解能フィーチャは、前記メインフィーチャのある側から第1距離だけ離間し、前記メインフィーチャの反対側から前記第1距離とは異なる第2距離だけ離間するように前記メインフィーチャ内に配置されるサブ分解能反転フィーチャを含む。
(項24)項18−23のいずれかの方法であって、推測したプロセス特性が目標値とは異なることを決定することと;前記決定に応じて、差が低減するようにフォトリソグラフィ・パターニングプロセスの設定値を調整することと、を備える。
(項25)項18−24のいずれかの方法であって、基板上に複数の電子デバイスまたは光学デバイスを製造することを備える。
(項26)一以上のプロセッサと;前記プロセッサの少なくとも一部により実行されたときに以下の工程を機能させる指令を記憶するメモリとを備えるシステムであって、前記工程は、複数の構造からの散乱放射情報であって、各構造のパターニングプロセスの特性である別個のプロセス条件に前記散乱放射情報の各部分が関連する散乱放射情報を含むトレーニングデータを取得することと;一以上のプロセッサを用いて、プロセス特性の一つにおける変化を散乱放射情報の対応する変化に関連付ける第1の比率を決定することにより、モデルを前記トレーニングデータを用いて較正することと、を備える。
(項27)一以上のプロセッサにより実行されたときに項1−25のいずれかの工程を機能させる指令を記憶する有形で機械可読な非一時的媒体。
(項28)一以上のプロセッサと;少なくともいくつかのプロセッサにより実行されたときに項1−25のいずれかの工程を機能させる指令を記憶するメモリを備えるシステム。
The technology will be better understood with reference to the sections listed below.
(Claim 1) A method of calibrating a model, the method comprising: scattered radiation information from a plurality of structures, each portion of the scattered radiation information in a separate process condition that is characteristic of the patterning process of each structure. By obtaining training data containing relevant scattered radiation information; by using one or more processors to determine a first ratio that relates a change in one of the process characteristics to a corresponding change in the scattered radiation information. Calibrating the model with the training data.
(Item 2) The method of item 1, wherein the calibrating comprises determining a second ratio that relates a change in another one of the process characteristics to a change in scattered radiation information.
(Claim 3) The method according to Item 2, wherein the first ratio is a partial derivative or reciprocal of focus with respect to scattered radiation information, and the second ratio is a partial derivative or reciprocal of dose with respect to scattered radiation information. is there.
(Item 4) The method according to any one of items 1-3, wherein the model is based on at least three Taylor series expansions.
(Item 5) The method according to any one of items 1-4, wherein the model includes a second derivative of the process characteristic with respect to scattered radiation information or an inverse of the second derivative.
(Claim 6) In any one of paragraphs 1-5, calibrating the model comprises determining model parameters using a Markov chain Monte Carlo algorithm.
Clause 7: The method of any of clauses 1-6, wherein calibrating the model comprises determining model parameters using a Metropolis Hastings algorithm.
(Claim 8) The method of any of clauses 1-7, wherein calibrating the model comprises adjusting the model parameters iteratively between each iteration of the model and at least a portion of the training data. Determining the model parameter based on the aggregated value of the conformances.
(Item 9) The method according to any one of items 1-8, wherein the scattered radiation information is used to pattern a plurality of test structures on one or more substrates according to a focus-exposure dose matrix. Of the plurality of test conditions showing the process characteristics of the test pattern, in which the test structures having different patterns are patterned under different test conditions, and the pupil intensity measurement value by scatter measurement of the plurality of pattern test structures. Data indicating which test conditions the measurements correspond to, the model infers characteristics of the photolithography process from optical measurements of test structures patterned in the photolithography process.
(Item 10) The method according to any one of items 1-9, wherein the training data comprises simulated training data acquired based on a model of a photolithography process and a model of scattered radiation information.
(Item 11) The method according to any one of items 1-10, wherein the main feature and one or more sub-resolution features adjacent to the main feature on the reticle and substantially parallel to the main feature are included. Obtaining a reticle that at least partially defines a test structure; photolithographically patterning one or more substrates with the reticle.
Clause 12: The method of clause 11, wherein the one or more sub-resolution features are on the first side of the main feature a first distance dimensioned to affect a corresponding pattern test structure. Includes a first sub-resolution feature spaced from the feature.
Clause 13: The method of clause 12, wherein the one or more sub-resolution features are dimensioned to affect a corresponding pattern test structure differently than the first sub-resolution features. A second sub-resolution feature separated from the main feature by a second side different from the first side of the main feature by a second distance different from.
Clause 14: The method of any of clauses 11-13, wherein the one or more sub-resolution features are separated by a plurality of different discrete distances each dimensioned to affect a corresponding pattern test structure. Includes a plurality of sub-resolution features spaced from the main feature on the same side of the main feature.
Clause 15: The method of any of clauses 11-14, wherein the one or more sub-resolution features are separated from the side of the main feature by a first distance and from the opposite side of the main feature to the first side. A sub-resolution inversion feature disposed within the main feature to be separated by a second distance different from the distance.
Clause 16: The method of any of clauses 11-15, wherein the main feature comprises a substantially straight bar-shaped structure; the one or more sub-resolution features are on opposite sides of the main feature. Comprising a pair of small bar-shaped structures extending along the main feature at different distances from the feature, the width of the small bar being less than the resolution limit of the photolithographic patterning process, and the width of the main feature being the resolution. Greater than or equal to the limit; the reticle comprises a test grating including multiple instances of the test structure spaced apart from each other; the pattern test structure having different sloped sidewalls on opposite sides of the bar-shaped structure , The amount of difference between the tilts changes according to the change in focus or exposure amount.
(Item 17) The method according to any one of Items 11 to 16, wherein the substrate in the manufacturing process is patterned by photolithography with a reticle, and a pattern test is performed on the substrate in the manufacturing process along the pattern of at least a part of the manufacturing device. Manufacturing a structure; optically measuring at least a portion of the pattern test structure during the manufacturing process prior to completion of patterning of the manufacturing process; based on corresponding optical measurements and correlated models, Estimating the process characteristics of the photolithographic patterning of the manufacturing step; determining that the target process characteristics are different from the estimated process characteristics.
(Claim 18) A method of inferring a parameter of a patterning process, the method comprising: obtaining scattered radiation measurements of a patterned structure on a substrate; using one or more processors, a process of photolithographic patterning. Estimating a property based on an optical measurement using a calibrated model, the model comprising a first ratio relating a change in one of the process characteristics to a change in scattered radiation measurement. ..
(Item 19) The method according to item 18, wherein the first ratio is a partial derivative or reciprocal of the focus with respect to the scattered radiation measurement value, and the model is a partial derivative or reciprocal of the dose with respect to the scattered radiation measurement value. With a ratio of 2.
(Item 20) The method according to any one of items 18-19, comprising: acquiring training data; using the training data by determining the first ratio using one or more processors. Calibrating the model, comprising: calibrating the model by performing the model, wherein the training data is indicative of process characteristics of a photolithography process used to pattern a plurality of test structures on one or more substrates. A plurality of test conditions, in which test structures having different patterns are patterned under different test conditions, a pupil intensity measurement value by scatter measurement of the plurality of pattern test structures, and which measurement value is Data indicating whether or not the test condition is met.
Clause 21: The method of any of clauses 18-20, wherein the structure is a test structure, the method comprising: proximate the main feature and the main feature on a reticle, the method substantially comprising the main feature. Obtaining a reticle that at least partially defines the test structure having one or more sub-resolution features parallel to the reticle; photolithographically patterning one or more substrates with the reticle to form a patterned test structure on the substrate. Manufacturing.
22. The method of claim 21, wherein the one or more sub-resolution features are on the first side of the main feature a first distance dimensioned to affect a corresponding pattern test structure. A first sub-resolution feature spaced from the feature, the one or more sub-resolution features being dimensioned to affect a corresponding pattern test structure differently than the first sub-resolution feature. A second sub-resolution feature separated from the main feature by a second side different from the first side of the main feature by a second distance different from.
23. The method of claim 21, wherein the one or more sub-resolution features are separated from the side of the main feature by a first distance and are different from the opposite side of the main feature by the first distance. Includes sub-resolution inversion features located within the main feature so as to be separated by two distances.
(Item 24) The method according to any one of items 18-23, wherein the estimated process characteristic is different from the target value; and the photolithography patterning is performed so that the difference is reduced according to the determination. Adjusting process settings.
(Item 25) The method according to any one of items 18-24, which comprises manufacturing a plurality of electronic devices or optical devices on a substrate.
(Claim 26) A system comprising: one or more processors; and a memory that stores instructions that, when executed by at least a portion of the processors, cause the following steps to function: Obtaining training data comprising scattered radiation information, wherein the scattered radiation information is associated with each portion of the scattered radiation information at separate process conditions characteristic of the patterning process of each structure; using one or more processors And calibrating the model with the training data by determining a first ratio that relates a change in one of the process characteristics to a corresponding change in the scattered radiation information.
(Item 27) A tangible, machine-readable, non-transitory medium that stores instructions that, when executed by one or more processors, cause any of the steps of items 1-25 to function.
(Item 28) A system comprising: one or more processors; and a memory that stores instructions for executing the steps of any of items 1-25 when executed by at least some processors.

Claims (15)

フォトリソグラフィプロセスでパターニングされた構造の散乱計測による瞳強度測定値から前記フォトリソグラフィプロセスのプロセス特性を推測するためのモデルを構築する方法であって、
複数の構造のそれぞれの散乱計測による複数の瞳強度測定値と、前記複数の構造のそれぞれのパターニングプロセスのプロセス条件を示す複数のプロセス特性と、を含むトレーニングデータを取得することと、
一以上のプロセッサを用いて、少なくとも一つのプロセス特性の変化と、前記複数の瞳強度測定値における対応する変化とを関連付ける係数を決定することと、を備えることを特徴とする方法。
A method of constructing a model for inferring process characteristics of the photolithography process from pupil intensity measurements by scatterometry of structures patterned in the photolithography process, comprising:
Acquiring training data including a plurality of pupil intensity measurements by scatterometry of each of the plurality of structures, and a plurality of process characteristics indicative of a process condition of a respective patterning process of the plurality of structures;
Determining using one or more processors a coefficient that correlates a change in at least one process characteristic with a corresponding change in the plurality of pupil intensity measurements.
第1のプロセス特性の変化と、前記複数の瞳強度測定値における対応する変化とを関連付ける第1係数を決定することと、
第2のプロセス特性の変化と、前記複数の瞳強度測定値における対応する変化とを関連付ける第2係数を決定することと、を備え、
前記第1係数は、前記複数の瞳強度測定値のフォーカスに対する偏微分またはその偏微分の逆数であり、
前記第2係数は、前記複数の瞳強度測定値のドーズに対する偏微分またはその偏微分の逆数であることを特徴とする請求項1に記載の方法。
Determining a first coefficient that correlates a change in the first process characteristic with a corresponding change in the plurality of pupil intensity measurements;
Determining a second coefficient that associates a change in a second process characteristic with a corresponding change in the plurality of pupil intensity measurements,
The first coefficient is a partial differential with respect to the focus of the plurality of pupil intensity measurement values or a reciprocal of the partial differential ,
The method according to claim 1, wherein the second coefficient is a partial derivative with respect to a dose of the plurality of pupil intensity measurement values or an inverse number of the partial derivative .
前記モデルは、少なくとも3項のテーラー級数展開に基づくことを特徴とする請求項1に記載の方法。 The method of claim 1, wherein the model is based on at least three Taylor series expansions. 前記モデルは、前記複数の瞳強度測定値の前記プロセス特性の一つに対する2階微分または前記2階微分の逆数を含むことを特徴とする請求項1に記載の方法。 The model A method according to claim 1, characterized in that it comprises the inverse of the second-order partial differential or the second order partial derivative with respect to one of the process characteristics of the plurality of pupil intensity measurements. 前記モデルを構築することは、マルコフ連鎖モンテカルロアルゴリズムを用いてモデルパラメータを決定することを備えることを特徴とする請求項1に記載の方法。 The method of claim 1, wherein building the model comprises determining model parameters using a Markov chain Monte Carlo algorithm. 前記モデルを構築することは、メトロポリス・ヘイスティングスアルゴリズムを用いてモデルパラメータを決定することを備えることを特徴とする請求項1に記載の方法。 The method of claim 1, wherein building the model comprises determining model parameters using a Metropolis Hastings algorithm. 前記モデルを構築することは、モデルパラメータを繰り返し調整することにより、前記モデルの各繰り返しと前記トレーニングデータの少なくとも一部との間の一致性の集約値に基づいて前記モデルパラメータを決定することを備えることを特徴とする請求項1に記載の方法。 Building the model comprises iteratively adjusting the model parameters to determine the model parameters based on an aggregate value of the agreement between each iteration of the model and at least a portion of the training data. The method of claim 1, comprising: 前記複数の構造は、テスト構造を少なくとも部分的に定義するレチクルを用いて、フォーカス−露光量行列にしたがって一以上の基板上にパターニングされ、異なるプロセス条件下で異なるパターンテスト構造がパターニングされることを特徴とする請求項1に記載の方法。 Wherein the plurality of structures, using the reticle which at least partially defines a test structure, the focus - is patterned on one or more substrates according to the exposure amount matrix, different putter integrators strike structure different process conditions is patterned The method according to claim 1, wherein: 前記トレーニングデータは、フォトリソグラフィプロセスのモデルと散乱計測による瞳強度測定値のモデルとに基づいて取得されるシミュレーションされたトレーニングデータを備えることを特徴とする請求項1に記載の方法。 The method of claim 1, wherein the training data comprises simulated training data obtained based on a model of a photolithography process and a model of scatterometry pupil intensity measurements. メインフィーチャと、レチクル上の前記メインフィーチャに近接し、前記メインフィーチャに実質的に平行な一以上のサブ分解能フィーチャとを有するテスト構造を少なくとも部分的に定義するレチクルを取得することと、
前記レチクルで一以上の基板をフォトリソグラフィによりパターニングすることと、を備えることを特徴とする請求項1に記載の方法。
Obtaining a reticle at least partially defining a test structure having a main feature and one or more sub-resolution features proximate the main feature on the reticle and substantially parallel to the main feature;
Patterning one or more substrates with the reticle by photolithography.
前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に影響を及ぼすように寸法が決められる第1距離だけ前記メインフィーチャの第1側で前記メインフィーチャから離間した第1サブ分解能フィーチャを含み、
前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に前記第1サブ分解能フィーチャとは異なって影響を及ぼすように寸法が決められる前記第1距離とは異なる第2距離だけ前記メインフィーチャの前記第1側とは異なる第2側で前記メインフィーチャから離間した第2サブ分解能フィーチャを含むことを特徴とする請求項10に記載の方法。
The one or more sub-resolution features include a first sub-resolution feature spaced from the main feature on a first side of the main feature by a first distance dimensioned to affect a corresponding pattern test structure;
The one or more sub-resolution features are dimensioned to affect the corresponding pattern test structure differently than the first sub-resolution features by a second distance different from the first distance. The method of claim 10 including a second sub-resolution feature spaced from the main feature on a second side different from the first side.
前記一以上のサブ分解能フィーチャは、対応するパターンテスト構造に影響を及ぼすように各寸法が決められる複数の異なる別個の距離だけ前記メインフィーチャの同じ側で前記メインフィーチャから離間した複数のサブ分解能フィーチャを含むことを特徴とする請求項10に記載の方法。 The one or more sub-resolution features are spaced from the main feature on the same side of the main feature by a plurality of different distinct distances each dimensioned to affect a corresponding pattern test structure. 11. The method of claim 10, comprising: 前記一以上のサブ分解能フィーチャは、前記メインフィーチャのある側から第1距離だけ離間し、前記メインフィーチャの反対側から前記第1距離とは異なる第2距離だけ離間するように前記メインフィーチャ内に配置されるサブ分解能反転フィーチャを含むことを特徴とする請求項10に記載の方法。 The one or more sub-resolution features are within the main feature to be spaced a first distance from one side of the main feature and a second distance different from the first distance from the opposite side of the main feature. 11. The method of claim 10 including sub-resolution inversion features located. 前記メインフィーチャは、ほぼ直線のバー形状構造を含み、
前記一以上のサブ分解能フィーチャは、前記メインフィーチャの対向する両側に前記メインフィーチャから異なる距離で前記メインフィーチャに沿って延在する小さいバー形状構造のペアを備え、前記小さいバーの幅は、フォトリソグラフィによるパターニングプロセスの分解能限界より小さく、前記メインフィーチャの幅は、前記分解能限界以上であり、
前記レチクルは、互いに間隔を空けて配置される複数の前記テスト構造を含むテストグレーティングを備え、
パターンテスト構造は、前記バー形状構造の両側で異なる傾斜の側壁を有し、傾斜間の差の量がフォーカスまたは露光量の変化に応じて変化することを特徴とする請求項10に記載の方法。
The main feature comprises a substantially straight bar-shaped structure,
The one or more sub-resolution features comprise a pair of small bar-shaped structures extending along the main feature at different distances from the main feature on opposite sides of the main feature, the small bar width being Less than the resolution limit of the lithographic patterning process, the width of the main feature is greater than or equal to the resolution limit,
The reticle comprises a test grating including a plurality of the test structures spaced apart from each other,
11. The method of claim 10, wherein the pattern test structure has sidewalls of different slopes on both sides of the bar-shaped structure, and the amount of difference between the slopes changes in response to focus or exposure changes. ..
一以上のプロセッサと、前記プロセッサの少なくとも一部により実行されたときに以下の工程を機能させる指令を記憶するメモリとを備え、前記工程は、
複数の構造のそれぞれの散乱計測による複数の瞳強度測定値と、前記複数の構造のそれぞれのパターニングプロセスのプロセス条件を示す複数のプロセス特性と、を含むトレーニングデータを取得することと、
一以上のプロセッサを用いて、少なくとも一つのプロセス特性の変化と、前記複数の瞳強度測定値における対応する変化とを関連付ける係数を決定することにより、モデルを前記トレーニングデータを用いて構築することと、を備え、
前記モデルは、フォトリソグラフィプロセスでパターニングされた構造の散乱計測による瞳強度測定値から前記フォトリソグラフィプロセスのプロセス特性を推測することを特徴とするシステム。
One or more processors, and a memory that stores instructions that cause the following steps to function when executed by at least a portion of the processor, the steps comprising:
Acquiring training data including a plurality of pupil intensity measurements by scatterometry of each of the plurality of structures, and a plurality of process characteristics indicative of a process condition of a respective patterning process of the plurality of structures;
Constructing a model with the training data by determining a coefficient that correlates at least one process characteristic change with a corresponding change in the plurality of pupil intensity measurements using one or more processors; ,,
The system is characterized in that the model infers process characteristics of the photolithography process from pupil intensity measurements by scatterometry of structures patterned in the photolithography process .
JP2018532067A 2015-12-17 2016-11-29 Optical metrology in lithographic processes using asymmetric sub-resolution features to enhance measurements Active JP6738423B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201562268976P 2015-12-17 2015-12-17
US62/268,976 2015-12-17
PCT/EP2016/079063 WO2017102299A1 (en) 2015-12-17 2016-11-29 Optical metrology of lithographic processes using asymmetric sub-resolution features to enhance measurement

Publications (2)

Publication Number Publication Date
JP2019502950A JP2019502950A (en) 2019-01-31
JP6738423B2 true JP6738423B2 (en) 2020-08-12

Family

ID=57442676

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018532067A Active JP6738423B2 (en) 2015-12-17 2016-11-29 Optical metrology in lithographic processes using asymmetric sub-resolution features to enhance measurements

Country Status (10)

Country Link
US (1) US10417359B2 (en)
EP (1) EP3391150B1 (en)
JP (1) JP6738423B2 (en)
KR (1) KR102146434B1 (en)
CN (1) CN108369387B (en)
IL (1) IL259268B (en)
NL (1) NL2017882A (en)
SG (1) SG11201803933PA (en)
TW (1) TWI621845B (en)
WO (1) WO2017102299A1 (en)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI648515B (en) * 2013-11-15 2019-01-21 美商克萊譚克公司 Measurement targets and their measurement, target design files, measurement methods and computer-based equipment
JP6723269B2 (en) * 2015-05-15 2020-07-15 ケーエルエー コーポレイション System and method for focus determination using a focus sensitive overlay target
US20190302010A1 (en) * 2016-05-19 2019-10-03 The Regents Of The University Of Colorado, A Body Corporate Complex Spatially-Resolved Reflectometry/Refractometry
EP3399371A1 (en) * 2017-05-05 2018-11-07 ASML Netherlands B.V. Method of measuring a parameter of interest, device manufacturing method, metrology apparatus, and lithographic system
WO2019035854A1 (en) * 2017-08-16 2019-02-21 Kla-Tencor Corporation Machine learning in metrology measurements
US10732515B2 (en) * 2017-09-27 2020-08-04 Kla-Tencor Corporation Detection and measurement of dimensions of asymmetric structures
US10692203B2 (en) * 2018-02-19 2020-06-23 International Business Machines Corporation Measuring defectivity by equipping model-less scatterometry with cognitive machine learning
JP6847885B2 (en) * 2018-03-20 2021-03-24 株式会社東芝 Information processing equipment, information processing methods and programs
KR20210010897A (en) * 2018-06-15 2021-01-28 에이에스엠엘 네델란즈 비.브이. Machine Learning Based Backlight Proximity Correction and Process Model Calibration
EP3629088A1 (en) * 2018-09-28 2020-04-01 ASML Netherlands B.V. Providing a trained neural network and determining a characteristic of a physical system
CN109491216B (en) * 2018-12-20 2020-11-27 上海集成电路研发中心有限公司 Method for optimizing photoetching process parameters
WO2021001114A1 (en) * 2019-07-04 2021-01-07 Asml Netherlands B.V. Method and apparatus for determining feature contribution to performance
CN110727178B (en) * 2019-10-18 2021-06-22 南京诚芯集成电路技术研究院有限公司 Method for determining position of focal plane of lithography system based on machine learning
WO2022135890A1 (en) * 2020-12-21 2022-06-30 Asml Netherlands B.V. A method of monitoring a lithographic process
US11713959B2 (en) * 2021-03-17 2023-08-01 Kla Corporation Overlay metrology using spectroscopic phase
CN113297789B (en) * 2021-05-17 2024-03-19 南京大学 Sound vortex beam splitter design method based on machine learning
EP4113210A1 (en) * 2021-07-01 2023-01-04 ASML Netherlands B.V. A method of monitoring a measurement recipe and associated metrology methods and apparatuses
CN113837947B (en) * 2021-11-29 2022-05-20 南开大学 Processing method for obtaining optical coherence tomography large focal depth image
US20240094639A1 (en) * 2022-09-19 2024-03-21 Kla Corporation High-resolution evaluation of optical metrology targets for process control
CN116841135B (en) * 2023-08-31 2023-10-31 光科芯图(北京)科技有限公司 Mask pattern optimization method, mask pattern optimization device, exposure equipment and storage medium

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7080330B1 (en) * 2003-03-05 2006-07-18 Advanced Micro Devices, Inc. Concurrent measurement of critical dimension and overlay in semiconductor manufacturing
US7042551B2 (en) 2004-02-03 2006-05-09 International Business Machines Corporation Method of patterning process metrology based on the intrinsic focus offset
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7642019B2 (en) 2005-04-15 2010-01-05 Samsung Electronics Co., Ltd. Methods for monitoring and adjusting focus variation in a photolithographic process using test features printed from photomask test pattern images; and machine readable program storage device having instructions therefore
US7916284B2 (en) * 2006-07-18 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8149384B2 (en) * 2007-12-17 2012-04-03 Advanced Micro Devices, Inc. Method and apparatus for extracting dose and focus from critical dimension data
US7925369B2 (en) * 2007-12-18 2011-04-12 Globalfoundries Inc. Method and apparatus for optimizing models for extracting dose and focus from critical dimension
CN102057329B (en) 2008-06-03 2013-08-21 Asml荷兰有限公司 Methods for model-based process simulation
US9182682B2 (en) 2008-12-30 2015-11-10 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
NL2004656A (en) 2009-05-12 2010-11-15 Asml Netherlands Bv Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method.
CN102129166B (en) * 2010-01-12 2012-08-22 中芯国际集成电路制造(上海)有限公司 Method for setting sub-resolution assistance feature and method for producing photoetching mask plate
US8250498B2 (en) 2010-01-28 2012-08-21 Synopsys, Inc. Method and apparatus for calibrating a photolithography process model by using a process window parameter
NL2006322A (en) * 2010-03-18 2011-09-20 Asml Netherlands Bv Inspection apparatus and associated method and monitoring and control system.
NL2006700A (en) * 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
WO2012010458A1 (en) * 2010-07-19 2012-01-26 Asml Netherlands B.V. Method and apparatus for determining an overlay error
NL2009336A (en) * 2011-09-21 2013-03-25 Asml Netherlands Bv Method for calibrating a manufacturing process model.
WO2013079270A1 (en) 2011-11-30 2013-06-06 Asml Netherlands B.V. Inspection method and apparatus, and corresponding lithographic apparatus
US8806388B2 (en) 2012-03-23 2014-08-12 Texas Instruments Incorporated Extraction of imaging parameters for computational lithography using a data weighting algorithm
NL2010905A (en) 2012-06-22 2013-12-24 Asml Netherlands Bv Method of determining focus, inspection apparatus, patterning device, substrate and device manufacturing method.
NL2011816A (en) 2012-11-30 2014-06-04 Asml Netherlands Bv Method of determining dose and focus, inspection apparatus, patterning device, substrate and device manufacturing method.
EP2811300A1 (en) * 2013-06-07 2014-12-10 Roche Diagniostics GmbH Calibration for multi-component assays
WO2015090839A1 (en) 2013-12-17 2015-06-25 Asml Netherlands B.V. Inspection method, lithographic apparatus, mask and substrate
WO2015090774A1 (en) * 2013-12-17 2015-06-25 Asml Netherlands B.V. Yield estimation and control
US9223911B2 (en) 2014-01-30 2015-12-29 Globalfoundries Inc. Optical model employing phase transmission values for sub-resolution assist features

Also Published As

Publication number Publication date
EP3391150B1 (en) 2023-05-10
CN108369387A (en) 2018-08-03
CN108369387B (en) 2020-11-03
NL2017882A (en) 2017-06-26
SG11201803933PA (en) 2018-06-28
WO2017102299A1 (en) 2017-06-22
TWI621845B (en) 2018-04-21
IL259268B (en) 2021-09-30
JP2019502950A (en) 2019-01-31
IL259268A (en) 2018-07-31
KR20180095600A (en) 2018-08-27
US10417359B2 (en) 2019-09-17
KR102146434B1 (en) 2020-08-21
TW201732264A (en) 2017-09-16
US20170177760A1 (en) 2017-06-22
EP3391150A1 (en) 2018-10-24

Similar Documents

Publication Publication Date Title
JP6738423B2 (en) Optical metrology in lithographic processes using asymmetric sub-resolution features to enhance measurements
US10996176B2 (en) Methods and apparatus for measuring a property of a substrate
CN112236724B (en) Metrology apparatus and method for determining characteristics of one or more structures on a substrate
TWI700555B (en) Metrology method
JP6567523B2 (en) Method and apparatus for the design of metrology targets
JP2021073510A (en) Method and system to monitor process apparatus
TW201821907A (en) Metrology recipe selection
TWI457721B (en) Method of controlling a lithographic apparatus, device manufacturing method, lithographic apparatus, computer program product and method of improving a mathematical model of a lithographic process
TW201535066A (en) Measuring a process parameter for a manufacturing process involving lithography
TW201807515A (en) Metrology method and apparatus, computer program and lithographic system
US9163935B2 (en) Device manufacturing method and associated lithographic apparatus, inspection apparatus, and lithographic processing cell
US20190214318A1 (en) Method and apparatus to monitor a process apparatus
US10379446B2 (en) Lithography system, method and computer program product for hierarchical representation of two-dimensional or three-dimensional shapes
US10831107B2 (en) Method for of measuring a parameter relating to a structure formed using a lithographic process
EP3299890A1 (en) Metrology recipe selection
TWI724573B (en) Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
NL2021640A (en) Method for of measuring a parameter relating to a structure formed using a lithographic process

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180814

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190618

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190913

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20200114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200512

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20200603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200630

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200717

R150 Certificate of patent or registration of utility model

Ref document number: 6738423

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250