JP6639724B1 - Positive photosensitive polysiloxane composition - Google Patents

Positive photosensitive polysiloxane composition Download PDF

Info

Publication number
JP6639724B1
JP6639724B1 JP2019127509A JP2019127509A JP6639724B1 JP 6639724 B1 JP6639724 B1 JP 6639724B1 JP 2019127509 A JP2019127509 A JP 2019127509A JP 2019127509 A JP2019127509 A JP 2019127509A JP 6639724 B1 JP6639724 B1 JP 6639724B1
Authority
JP
Japan
Prior art keywords
polysiloxane
acid
composition
formula
hydrocarbon group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019127509A
Other languages
Japanese (ja)
Other versions
JP2020154276A (en
Inventor
崇司 福家
崇司 福家
吉田 尚史
尚史 吉田
敦子 能谷
敦子 能谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Merck Patent GmbH
Original Assignee
Merck Patent GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Merck Patent GmbH filed Critical Merck Patent GmbH
Application granted granted Critical
Publication of JP6639724B1 publication Critical patent/JP6639724B1/en
Priority to CN202080021204.7A priority Critical patent/CN113631672B/en
Priority to US17/439,692 priority patent/US11467494B2/en
Priority to PCT/EP2020/056648 priority patent/WO2020187685A1/en
Priority to KR1020217033287A priority patent/KR102590065B1/en
Priority to SG11202106225UA priority patent/SG11202106225UA/en
Priority to TW109108302A priority patent/TW202039640A/en
Publication of JP2020154276A publication Critical patent/JP2020154276A/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • C09D183/06Polysiloxanes containing silicon bound to oxygen-containing groups
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • G03F7/0226Quinonediazides characterised by the non-macromolecular additives
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/022Quinonediazides
    • G03F7/023Macromolecular quinonediazides; Macromolecular additives, e.g. binders
    • G03F7/0233Macromolecular quinonediazides; Macromolecular additives, e.g. binders characterised by the polymeric binders or the macromolecular additives other than the macromolecular quinonediazides
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • G03F7/327Non-aqueous alkaline compositions, e.g. anhydrous quaternary ammonium salts
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Abstract

【課題】硬化助剤の添加や全面露光を行うことがなくても、しわの発生が抑制された、表面の平滑性の高い硬化膜を製造することができる、ポジ型感光性ポリシロキサン組成物およびそれを用いた製造方法の提供。【解決手段】(I)ポリシロキサン、(II)組成物の総質量を基準として200〜50,000ppmの、モノカルボン酸またはジカルボン酸であるカルボン酸化合物、(III)ジアゾナフトキノン誘導体、および(IV)溶剤を含んでなる、ポジ型感光性ポリシロキサン組成物、およびその組成物を用いた硬化膜の製造方法。【選択図】図1A positive photosensitive polysiloxane composition capable of producing a cured film with suppressed surface wrinkles and high surface smoothness without adding a curing aid or performing overall exposure. And a production method using the same. The present invention relates to (I) a polysiloxane, (II) 200 to 50,000 ppm, based on the total mass of the composition, of a carboxylic acid compound which is a monocarboxylic acid or a dicarboxylic acid, (III) a diazonaphthoquinone derivative, and (IV) A) A positive photosensitive polysiloxane composition comprising a solvent, and a method for producing a cured film using the composition. [Selection diagram] Fig. 1

Description

本発明は、ポジ型感光性ポリシロキサン組成物に関するものである。また、本発明はそれを用いた硬化膜の製造方法、その硬化膜を含んでなる電子素子に関するものである。   The present invention relates to a positive photosensitive polysiloxane composition. Further, the present invention relates to a method for producing a cured film using the same, and an electronic device including the cured film.

近年、ディスプレイ・発光ダイオード・太陽電池などの光学素子において、さらなる光利用効率の向上や省エネルギーのためのさまざまな提案がなされている。例えば、液晶ディスプレイにおいて、透明な平坦化膜をTFT素子上に被覆形成し、この平坦化膜上に画素電極を形成させることにより、表示装置の開口率を上げる方法が知られている。
このようなTFT基板用平坦化膜の材料としては、アクリル系樹脂とキノンジアジド化合物を組み合わせた材料が知られている。これらの材料は平坦化特性と感光性を備えている為、コンタクトホールやその他のパターンをつくることができる。しかしながら、解像度やframe frequencyが向上するにつれて配線がより複雑になる為、平坦化が厳しくなりこれらの材料では対応が困難になっている。
2. Description of the Related Art In recent years, various proposals have been made for optical elements such as displays, light emitting diodes, and solar cells to further improve light use efficiency and save energy. For example, in a liquid crystal display, a method of increasing the aperture ratio of a display device by forming a transparent flattening film on a TFT element and forming a pixel electrode on the flattening film is known.
As a material for such a flattening film for a TFT substrate, a material combining an acrylic resin and a quinonediazide compound is known. Since these materials have planarization characteristics and photosensitivity, contact holes and other patterns can be formed. However, as the resolution and the frame frequency are improved, the wiring becomes more complicated, so that the flattening becomes severe and it is difficult to cope with these materials.

高耐熱性、高透明性の材料としてポリシロキサン、特にシルセスキオキサンが知られている。シルセスキオキサンは、3官能性のシロキサン構造単位RSi(O1.5)からなるポリマーで、化学構造的には無機シリカ(SiO)と有機シリコーン(RSiO)の中間的存在であるが、有機溶剤に可溶ながら硬化物は無機シリカに特徴的な高い耐熱性を示す特異的な化合物である。 Polysiloxane, particularly silsesquioxane, is known as a material having high heat resistance and high transparency. Silsesquioxane is a polymer composed of a trifunctional siloxane structural unit RSi (O 1.5 ), and is chemically intermediate between inorganic silica (SiO 2 ) and organic silicone (R 2 SiO). However, while cured in an organic solvent, the cured product is a specific compound exhibiting high heat resistance characteristic of inorganic silica.

このようなポリシロキサンと感光剤とを含むポジ型感光性組成物を用いて、露光・現像によりパターンを形成させ、加熱することにより、硬化膜を形成することができる。このように形成された硬化膜に、膜表面が平坦にならず、しわが発生することがある。そして、しわの発生を抑制するために、硬化助剤を添加したり、露光・現像後に、全面露光を行う場合がある。   A cured film can be formed by using a positive photosensitive composition containing such a polysiloxane and a photosensitive agent to form a pattern by exposure and development, and then heating the pattern. In the cured film thus formed, the film surface may not be flat and wrinkles may occur. In order to suppress the generation of wrinkles, a curing assistant may be added, or the entire surface may be exposed after exposure and development.

特開2011−2517号公報JP 2011-2517 A

本発明は、上述のような事情に基づいてなされたものであり、硬化助剤の添加や全面露光を行うことがなくても、しわの発生が抑制された、表面の平滑性の高い硬化膜を製造することができる、ポジ型感光性ポリシロキサン組成物を提供することを目的とするものである。また、それを用いた硬化膜の製造方法を提供することを目的とするものである。   The present invention has been made based on the above-described circumstances, and without the addition of a curing aid and without performing overall exposure, the occurrence of wrinkles is suppressed, and a cured film having a high surface smoothness. It is an object of the present invention to provide a positive photosensitive polysiloxane composition which can be used for the production of It is another object of the present invention to provide a method for producing a cured film using the same.

本発明によるポジ型感光性ポリシロキサン組成物は、
(I)ポリシロキサン、
(II)組成物の総質量を基準として200〜50,000ppmの、モノカルボン酸またはジカルボン酸であるカルボン酸化合物、
(III)ジアゾナフトキノン誘導体、および
(IV)溶剤
を含んでなるものである。
The positive photosensitive polysiloxane composition according to the present invention comprises:
(I) polysiloxane,
(II) 200 to 50,000 ppm of a carboxylic acid compound which is a monocarboxylic acid or a dicarboxylic acid, based on the total mass of the composition,
(III) a diazonaphthoquinone derivative and (IV) a solvent.

また、本発明による硬化膜の製造方法は、以下の工程:
(1)本発明によるポジ型感光性ポリシロキサン組成物を基板に塗布して組成物層を形成させること、
(2)前記組成物層を露光すること、
(3)アルカリ現像液で現像し、パターンを形成させること、および
(4)得られたパターンを加熱すること
を含んでなるものである。
The method for producing a cured film according to the present invention comprises the following steps:
(1) applying a positive photosensitive polysiloxane composition according to the present invention to a substrate to form a composition layer;
(2) exposing the composition layer,
(3) developing with an alkali developing solution to form a pattern; and (4) heating the obtained pattern.

また、本発明による電子素子は、上記の方法で製造された硬化膜を含んでなるものである。   Further, an electronic device according to the present invention comprises a cured film produced by the above method.

本発明によるポジ型感光性ポリシロキサン組成物を用いると、硬化助剤の添加や全面露光を行うことがなくても、しわの発生が抑制された、表面の平滑性が高い硬化膜を製造することができる。得られた膜は高感度であり、製造プロセスのハイスループット化に貢献できる。また、硬化膜のパターン形状は、その後の工程に望ましい形状である、開口部がなだらかな形状とすることもできる。そして、得られた硬化膜は平坦性、電気的絶縁特性も優れていることから、液晶表示素子や有機EL表示素子などのディスプレイのバックプレーンに使用される薄膜トランジスタ(TFT)基板用平坦化膜や半導体素子の層間絶縁膜をはじめ、固体撮像素子、反射防止フィルム、反射防止板、光学フィルター、高輝度発光ダイオード、タッチパネル、太陽電池などにおける絶縁膜や透明保護膜などの各種膜形成材料、さらには光導波路などの光学素子として好適に使用することができる。   By using the positive photosensitive polysiloxane composition according to the present invention, it is possible to produce a cured film in which wrinkling is suppressed and surface smoothness is high, without adding a curing aid or performing overall exposure. be able to. The obtained film has high sensitivity and can contribute to high throughput of the manufacturing process. Further, the pattern shape of the cured film may be a shape having a gentle opening, which is a desirable shape for the subsequent steps. Since the obtained cured film has excellent flatness and electrical insulation properties, a flattening film for a thin film transistor (TFT) substrate used for a backplane of a display such as a liquid crystal display device or an organic EL display device, or the like. Various film-forming materials such as insulating films for solid-state imaging devices, anti-reflection films, anti-reflection plates, optical filters, high-brightness light-emitting diodes, touch panels, solar cells, etc. It can be suitably used as an optical element such as an optical waveguide.

パターン表面に形成される「しわ」を説明するための電子顕微鏡写真。An electron micrograph for explaining "wrinkles" formed on a pattern surface. 実施例におけるパターンの形状を説明するための電子顕微鏡写真。An electron microscope photograph for explaining the shape of a pattern in an example.

以下、本発明の実施の形態について、詳細に説明する。
本明細書において、特に限定されない限り、記号、単位、略号、用語は以下の意味を有するものとする。
本明細書において、特に限定されて言及されない限り、単数形は複数形を含み、「1つの」や「その」は「少なくとも1つ」を意味する。本明細書において、特に言及されない限り、ある概念の要素は複数種によって発現されることが可能であり、その量(例えば質量%やモル%)が記載された場合、その量はそれら複数種の和を意味する。「および/または」は、要素の全ての組み合わせを含み、また単体での使用も含む。
Hereinafter, embodiments of the present invention will be described in detail.
In this specification, unless otherwise specified, symbols, units, abbreviations, and terms have the following meanings.
In this specification, the singular forms include the plural forms, and "a" or "the" means "at least one", unless otherwise specified. In the present specification, unless otherwise specified, an element of a concept can be expressed by a plurality of species, and when the amount (for example, mass% or mol%) is described, the amount is expressed by the plurality of species. Means sum. "And / or" includes all combinations of the elements, and also includes the use alone.

本明細書において、〜または−を用いて数値範囲を示した場合、これらは両方の端点を含み、単位は共通する。例えば、5〜25モル%は、5モル%以上25モル%以下を意味する。   In the present specification, when numerical ranges are indicated by using-or-, they include both end points and have a common unit. For example, 5 to 25 mol% means 5 to 25 mol%.

本明細書において、炭化水素は、炭素および水素を含み、必要に応じて、酸素または窒素を含むものを意味する。炭化水素基は、1価または2価以上の、炭化水素を意味する。 本明細書において、脂肪族炭化水素は、直鎖状、分岐鎖状または環状の脂肪族炭化水素を意味し、脂肪族炭化水素基は、1価または2価以上の、脂肪族炭化水素を意味する。芳香族炭化水素は、必要に応じて脂肪族炭化水素基を置換基として有することも、脂環と縮合していていることもできる、芳香環を含む炭化水素を意味する。芳香族炭化水素基は、1価または2価以上の、芳香族炭化水素を意味する。また、芳香環とは、共役不飽和環構造を有する炭化水素を意味し、脂環とは、環構造を有するが共役不飽和環構造を含まない炭化水素を意味する。   As used herein, hydrocarbon means those containing carbon and hydrogen and, if necessary, oxygen or nitrogen. The hydrocarbon group means a monovalent or divalent or higher valent hydrocarbon. In the present specification, the aliphatic hydrocarbon means a linear, branched or cyclic aliphatic hydrocarbon, and the aliphatic hydrocarbon group means a monovalent or divalent or higher aliphatic hydrocarbon. I do. Aromatic hydrocarbon means a hydrocarbon containing an aromatic ring, which can have an aliphatic hydrocarbon group as a substituent or can be condensed with an alicyclic ring, if necessary. The aromatic hydrocarbon group means a monovalent or divalent or higher valent aromatic hydrocarbon. In addition, the aromatic ring means a hydrocarbon having a conjugated unsaturated ring structure, and the alicyclic means a hydrocarbon having a ring structure but not containing a conjugated unsaturated ring structure.

本明細書において、アルキルとは直鎖状または分岐鎖状飽和炭化水素から任意の水素をひとつ除去した基を意味し、直鎖状アルキルおよび分岐鎖状アルキルを包含し、シクロアルキルとは環状構造を含む飽和炭化水素から水素をひとつ除外した基を意味し、必要に応じて環状構造に直鎖状または分岐鎖状アルキルを側鎖として含む。   In the present specification, alkyl means a group obtained by removing one arbitrary hydrogen from a linear or branched saturated hydrocarbon, includes linear alkyl and branched alkyl, and cycloalkyl has a cyclic structure. Means a group in which one hydrogen has been excluded from a saturated hydrocarbon containing, and optionally includes a linear or branched alkyl as a side chain in a cyclic structure.

本明細書においてアリールとは、芳香族炭化水素から任意の水素をひとつ除去した基を意味する。アルキレンとは、直鎖状または分岐鎖状飽和炭化水素から任意の水素を二つ除去した基を意味する。アリーレンとは、芳香族炭化水素から任意の水素を二つ除去した炭化水素基を意味する。   In the present specification, aryl means a group obtained by removing one arbitrary hydrogen from an aromatic hydrocarbon. Alkylene means a group in which two arbitrary hydrogens have been removed from a linear or branched saturated hydrocarbon. Arylene means a hydrocarbon group obtained by removing two arbitrary hydrogens from an aromatic hydrocarbon.

本明細書において、「Cx〜y」、「C〜C」および「C」などの記載は、分子または置換基中の炭素の数を意味する。例えば、C1〜6アルキルは、1以上6以下の炭素を有するアルキル(メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル等)を意味する。また、本明細書でいうフルオロアルキルとは、アルキル中の1つ以上の水素がフッ素に置き換えられたものをいい、フルオロアリールとは、アリール中の1つ以上の水素がフッ素に置き換えられたものをいう。 In the present specification, the term such as "C x to y", "C x -C y" and "C x" means the number of carbons in the molecule or substituent. For example, C 1-6 alkyl means an alkyl having 1 or more and 6 or less carbons (eg, methyl, ethyl, propyl, butyl, pentyl, hexyl, etc.). The term “fluoroalkyl” used in the present specification refers to one in which one or more hydrogens in an alkyl are replaced with fluorine, and the term “fluoroaryl” refers to one in which one or more hydrogens in an aryl are replaced with fluorine. Say.

本明細書において、ポリマーが複数種類の繰り返し単位を有する場合、これらの繰り返し単位は共重合する。これら共重合は、交互共重合、ランダム共重合、ブロック共重合、グラフト共重合、またはこれらの混在のいずれかである。
本明細書において、%は質量%、比は質量比を表す。
In the present specification, when the polymer has a plurality of types of repeating units, these repeating units copolymerize. These copolymerizations are any of alternating copolymerization, random copolymerization, block copolymerization, graft copolymerization, or a mixture thereof.
In the present specification,% indicates mass%, and ratio indicates mass ratio.

本明細書において、温度の単位は摂氏(Celsius)を使用する。例えば、20度とは摂氏20度を意味する。   In this specification, the unit of temperature uses Celsius (Celsius). For example, 20 degrees means 20 degrees Celsius.

<ポジ型感光性ポリシロキサン組成物>
本発明によるポジ型感光性ポリシロキサン組成物(以下、単に、組成物ということがある)は、(I)ポリシロキサン、(II)カルボン酸化合物、(III)ジアゾナフトキノン誘導体、および(IV)溶剤を含んでなるものである。
以下、本発明による組成物に含まれる各成分について、詳細に説明する。
<Positive photosensitive polysiloxane composition>
The positive photosensitive polysiloxane composition according to the present invention (hereinafter, sometimes simply referred to as the composition) comprises (I) a polysiloxane, (II) a carboxylic acid compound, (III) a diazonaphthoquinone derivative, and (IV) a solvent. .
Hereinafter, each component contained in the composition according to the present invention will be described in detail.

(I)ポリシロキサン
本発明において用いられるポリシロキサンは、その構造は特に制限されず、目的に応じて任意のものから選択することができる。ポリシロキサンの骨格構造は、ケイ素原子に結合している酸素数に応じて、シリコーン骨格(ケイ素原子に結合する酸素原子数が2)、シルセスキオキサン骨格(ケイ素原子に結合する酸素原子数が3)、およびシリカ骨格(ケイ素原子に結合する酸素原子数が4)に分類できる。本発明においては、これらのいずれであってもよい。ポリシロキサン分子が、これらの骨格構造の複数の組み合わせを含んだものであってもよい。
(I) Polysiloxane The structure of the polysiloxane used in the present invention is not particularly limited, and can be selected from arbitrary ones according to the purpose. The skeleton structure of the polysiloxane has a silicone skeleton (the number of oxygen atoms bonded to the silicon atom is 2) and a silsesquioxane skeleton (the number of oxygen atoms bonded to the silicon atom is changed depending on the number of oxygen bonded to the silicon atom). 3) and a silica skeleton (the number of oxygen atoms bonded to a silicon atom is 4). In the present invention, any of these may be used. The polysiloxane molecule may include a plurality of combinations of these skeletal structures.

好ましくは、本発明に用いられるポリシロキサンは、以下の式(Ia):
(式中、
Iaは、水素、C1〜30の、直鎖状、分岐状もしくは環状の、飽和または不飽和の、脂肪族炭化水素基、または芳香族炭化水素基を表し、
前記脂肪族炭化水素基および前記芳香族炭化水素基は、それぞれ、非置換であるか、またはフッ素、ヒドロキシもしくはアルコキシで置換されており、かつ
前記脂肪族炭化水素基および前記芳香族炭化水素基において、メチレンが、置きかえられていないか、または1以上のメチレンがオキシ、アミノ、イミノもしくはカルボニルで置きかえられており、ただし、RIaはヒドロキシ、アルコキシではない)で示される繰り返し単位を含んでなる。
なお、ここで、上記したメチレンは、末端のメチルも含むものとする。
また、上記の「フッ素、ヒドロキシもしくはアルコキシで置換されており」とは、脂肪族炭化水素基および芳香族炭化水素基中の炭素原子に直結する水素原子が、フッ素、ヒドロキシもしくはアルコキシで置き換えられていることを意味する。本明細書において、他の同様の記載においても同じである。
Preferably, the polysiloxane used in the present invention has the following formula (Ia):
(Where
R Ia represents hydrogen, C 1-30 , linear, branched or cyclic, saturated or unsaturated, aliphatic or aromatic hydrocarbon group;
The aliphatic hydrocarbon group and the aromatic hydrocarbon group are each unsubstituted or substituted with fluorine, hydroxy or alkoxy, and in the aliphatic hydrocarbon group and the aromatic hydrocarbon group, , Methylene is not replaced, or one or more methylene is replaced by oxy, amino, imino or carbonyl, provided that R Ia is not hydroxy, alkoxy).
Here, the above-mentioned methylene includes terminal methyl.
Further, the above `` substituted by fluorine, hydroxy or alkoxy '' means that a hydrogen atom directly bonded to a carbon atom in an aliphatic hydrocarbon group and an aromatic hydrocarbon group is replaced by fluorine, hydroxy or alkoxy. Means that In this specification, the same applies to other similar descriptions.

式(Ia)で示される繰り返し単位において、
Iaとしては、例えば、(i)メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、ヘプチル、オクチル、およびデシルなどのアルキル、(ii)フェニル、トリル、およびベンジルなどのアリール、(iii)トリフルオロメチル、2,2,2−トリフルオロエチル、3,3,3−トリフルオロプロピルなどのフルオロアルキル、(iv)フルオロアリール、(v)シクロヘキシルなどのシクロアルキル、(vi)イソシアネート、およびアミノ等のアミノまたはイミド構造を有する窒素含有基、(vii)グリシジルなどのエポキシ構造、またはアクリロイル構造もしくはメタクリロイル構造を有する、酸素含有基が挙げられる。好ましくは、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、フェニル、トリル、グリシジル、イソシアネートである。フルオロアルキルとしては、ペルフルオロアルキル、特にトリフルオロメチルやペンタフルオロエチルが好ましい。RIaがメチルである場合は、原料が入手し易く、硬化後の膜硬度が高く、高い薬品耐性を有するため好ましい。また、RIaがフェニルである場合は、当該ポリシロキサンの溶媒への溶解度を高め、硬化膜がひび割れにくくなるため、好ましい。また、RIaがヒドロキシ、グリシジル、イソシアネート、またはアミノを有していると、基板との密着性が向上するため、好ましい。
In the repeating unit represented by the formula (Ia),
Examples of R Ia include (i) alkyl such as methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, and decyl; (ii) aryl such as phenyl, tolyl, and benzyl; and (iii) trifluoro. Methyl, 2,2,2-trifluoroethyl, fluoroalkyl such as 3,3,3-trifluoropropyl, (iv) fluoroaryl, (v) cycloalkyl such as cyclohexyl, (vi) isocyanate, and amino Examples include a nitrogen-containing group having an amino or imide structure, (vii) an epoxy structure such as glycidyl, or an oxygen-containing group having an acryloyl structure or a methacryloyl structure. Preferred are methyl, ethyl, propyl, butyl, pentyl, hexyl, phenyl, tolyl, glycidyl and isocyanate. As the fluoroalkyl, a perfluoroalkyl, particularly trifluoromethyl or pentafluoroethyl, is preferred. When R Ia is methyl, it is preferable because the raw material is easily available, the film hardness after curing is high, and the chemical resistance is high. In addition, it is preferable that R Ia be phenyl because the solubility of the polysiloxane in the solvent is increased and the cured film is less likely to crack. In addition, it is preferable that R Ia has hydroxy, glycidyl, isocyanate, or amino, because the adhesion to the substrate is improved.

本発明に用いられるポリシロキサンは、以下の式(Ib):
(式中、
Ibは、アミノ基、イミノ基、および/またはカルボニル基を含む、窒素および/または酸素含有環状脂肪族炭化水素化合物から複数の水素を除去した基である)
で示される繰り返し単位をさらに含んでいてもよい。
The polysiloxane used in the present invention has the following formula (Ib):
(Where
R Ib is a group obtained by removing a plurality of hydrogens from a nitrogen and / or oxygen-containing cycloaliphatic hydrocarbon compound containing an amino group, an imino group, and / or a carbonyl group.
May be further included.

式(Ib)における、RIbとしては、好ましくは、イミノ基および/またはカルボニル基を含む、窒素含有脂肪族炭化水素環、より好ましくは構成員に窒素を含む5員環または6員環、から複数、好ましくは2つまたは3つの水素を除去した基である。例えばピペリジン、ピロリジン、およびイソシアヌレートから2つまたは3つの水素を除去した基が挙げられる。RIbは、複数の繰り返し単位に含まれるSi同士を連結する。 In Formula (Ib), R Ib is preferably a nitrogen-containing aliphatic hydrocarbon ring containing an imino group and / or a carbonyl group, more preferably a 5- or 6-membered ring containing nitrogen as a member. It is a group from which a plurality, preferably two or three hydrogens have been removed. Examples include groups in which two or three hydrogens have been removed from piperidine, pyrrolidine, and isocyanurate. RIb connects Si contained in a plurality of repeating units.

本発明に用いられるポリシロキサンは、以下の式(Ic):
で示される繰り返し単位をさらに含んでいてもよい。
The polysiloxane used in the present invention has the following formula (Ic):
May be further included.

式(Ib)および式(Ic)で示される繰り返し単位は、配合比が高いと、組成物の感度低下や、溶媒や添加剤との相溶性の低下、膜応力が上昇するためクラックが発生しやすくなることがあるため、ポリシロキサンの繰り返し単位の総数に対して40モル%以下であることが好ましく、20モル%以下であることがより好ましい。   When the blending ratio of the repeating units represented by the formulas (Ib) and (Ic) is high, cracks occur because the sensitivity of the composition decreases, the compatibility with solvents and additives decreases, and the film stress increases. In some cases, the amount is preferably 40 mol% or less, more preferably 20 mol% or less, based on the total number of polysiloxane repeating units.

本発明に用いられるポリシロキサンは、以下の式(Id):
(式中、
Idは、それぞれ独立に、水素、C1〜30の、直鎖状、分岐状もしくは環状の、飽和または不飽和の、脂肪族炭化水素基、または芳香族炭化水素基を表し、
前記脂肪族炭化水素基および前記芳香族炭化水素基において、メチレンが、置きかえられていないか、またはオキシ、イミドもしくはカルボニルで置きかえられており、かつ炭素原子が非置換であるか、またはフッ素、ヒドロキシもしくはアルコキシで置換されている)
で示される繰り返し単位をさらに含んでいてもよい。
The polysiloxane used in the present invention has the following formula (Id):
(Where
R Id independently represents hydrogen, C 1-30 , linear, branched or cyclic, saturated or unsaturated, aliphatic hydrocarbon group or aromatic hydrocarbon group;
In the aliphatic hydrocarbon group and the aromatic hydrocarbon group, methylene is not replaced, or is replaced with oxy, imide or carbonyl, and the carbon atom is unsubstituted, or fluorine, hydroxy, Or substituted with alkoxy)
May be further included.

式(Id)で示される繰り返し単位において、
Idとしては、例えば、(i)メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、ヘプチル、オクチル、およびデシルなどのアルキル、(ii)フェニル、トリル、およびベンジルなどのアリール、(iii)トリフルオロメチル、2,2,2−トリフルオロエチル、3,3,3−トリフルオロプロピルなどのフルオロアルキル、(iv)フルオロアリール、(v)シクロヘキシルなどのシクロアルキル、(vi)イソシアネート、およびアミノ等のアミノまたはイミド構造を有する窒素含有基、(vii)グリシジルなどのエポキシ構造、またはアクリロイル構造もしくはメタクリロイル構造を有する、酸素含有基が挙げられる。好ましくは、メチル、エチル、プロピル、ブチル、ペンチル、ヘキシル、フェニル、トリル、グリシジル、イソシアネートである。フルオロアルキルとしては、ペルフルオロアルキル、特にトリフルオロメチルやペンタフルオロエチルが好ましい。RIdがメチルである場合は、原料が入手し易く、硬化後の膜硬度が高く、高い薬品耐性を有するため好ましい。また、RIdがフェニルである場合は、当該ポリシロキサンの溶媒への溶解度を高め、硬化膜がひび割れにくくなるため、好ましい。また、Rがヒドロキシ、グリシジル、イソシアネート、またはアミノを有していると、基板との密着性が向上するため、好ましい。
In the repeating unit represented by the formula (Id),
Examples of R Id include (i) alkyl such as methyl, ethyl, propyl, butyl, pentyl, hexyl, heptyl, octyl, and decyl; (ii) aryl such as phenyl, tolyl, and benzyl; and (iii) trifluoro. Methyl, 2,2,2-trifluoroethyl, fluoroalkyl such as 3,3,3-trifluoropropyl, (iv) fluoroaryl, (v) cycloalkyl such as cyclohexyl, (vi) isocyanate, and amino Examples include a nitrogen-containing group having an amino or imide structure, (vii) an epoxy structure such as glycidyl, or an oxygen-containing group having an acryloyl structure or a methacryloyl structure. Preferred are methyl, ethyl, propyl, butyl, pentyl, hexyl, phenyl, tolyl, glycidyl and isocyanate. As the fluoroalkyl, a perfluoroalkyl, particularly trifluoromethyl or pentafluoroethyl, is preferred. The case where R Id is methyl is preferable because the raw material is easily available, the film hardness after curing is high, and the chemical resistance is high. When R Id is phenyl, it is preferable because the solubility of the polysiloxane in the solvent is increased and the cured film is less likely to crack. Further, it is preferable that Re has hydroxy, glycidyl, isocyanate, or amino, because the adhesion to the substrate is improved.

上記式(Id)の繰り返し単位を有することによって、本発明によるポリシロキサンは、部分的に直鎖構造とすることができる。ただし、耐熱性が下がるため、直鎖構造部分は少ないことが好ましい。具体的には、式(Id)の繰り返し単位は、ポリシロキサンの繰り返し単位の総数に対して30モル%以下であることが好ましい。   By having the repeating unit of the above formula (Id), the polysiloxane according to the present invention can have a partially linear structure. However, since the heat resistance is reduced, it is preferable that the linear structure portion is small. Specifically, the content of the repeating unit of the formula (Id) is preferably 30 mol% or less based on the total number of the repeating units of the polysiloxane.

また、ポリシロキサンは以下の式(Ie):
(式中、
Ieは、−(CRIe −または
であり、
ここで、nは1〜3の整数であり、
Ieはそれぞれ独立に水素、メチル、またはエチルを表す)
で示される繰り返し単位を含んでいてもよい。
The polysiloxane has the following formula (Ie):
(Where
L Ie is- (CR Ie 2 ) n -or
And
Here, n is an integer of 1 to 3,
R Ie each independently represents hydrogen, methyl, or ethyl)
May be included.

式(Ie)において、LIeは、−(CRIe −であることが好ましく、またRIeは、ひとつの繰り返し単位中で、あるいはポリシロキサン分子中で、同一であるか、または異なっているが、1つの分子中のRIeがすべて同一であることが好ましく、またすべてが水素であることが好ましい。 In the formula (Ie), L Ie is preferably — (CR Ie 2 ) n —, and R Ie is the same or different in one repeating unit or in a polysiloxane molecule. However, it is preferable that all R Ie in one molecule are the same and that all are hydrogen.

本発明に用いられるポリシロキサンは、2種以上の繰り返し単位を含んでいてもよい。例えば、RIaがメチル、フェニルである式(Ia)で示される繰り返し単位、式(Ic)で示される繰り返し単位を有する、3種類の繰り返し単位を含むものであってもよい。 The polysiloxane used in the present invention may contain two or more types of repeating units. For example, it may include three types of repeating units having a repeating unit represented by the formula (Ia) in which R Ia is methyl or phenyl and a repeating unit represented by the formula (Ic).

本発明による組成物は、ポリシロキサンを2種以上含むことができる。1種目を例えば、上記した式(Ia)〜(Id)いずれかの繰り返し単位を含むポリシロキサンを用い、2種目を、式(Ie)の繰り返し単位と、式(Ie)以外の繰り返し単位(好ましくは式(Ia)、(Ib)、および/または(Id)の繰り返し単位)を含むポリシロキサンを用いることができる。
好ましくは1種または2種以上のポリシロキサンが式(Ia)のRIa、式(Ib)のRIbおよび/または式(Id)のRIdのうちの少なくとも1つに嵩高い基である繰り返し単位を含み、さらには式(Ia)のRIaが嵩高い、C3〜20の、飽和または不飽和の、環状脂肪族炭化水素基、または芳香族炭化水素基(例えば、フェニル、ナフチル、アントラセン)である繰り返し単位)と式(Ie)の繰り返し単位を含んでなるポリシロキサンを用いることが好ましい。嵩高い基を有するとシワの発生が起こりやすい傾向にあるため、本発明によるシワの抑制が効果的に発現し、これに式(Ie)の繰り返し単位を含むことでさらにパターンのテーパー角制御が可能となるから、特に有利である。
ポリシロキサン中に含まれる繰り返し単位の総数に対して、繰り返し単位(Ie)と繰り返し単位(Ia)との総数の割合が、60モル%以上であることが好ましく、70モル%以上であることがより好ましい。また、(Ia)20〜95モル%かつ(Ie)5〜40モル%であることが好ましい。
また、ポリシロキサン中に含まれる繰り返し単位の総数に対して、前述の嵩高い基を含む繰り返し単位(Ia)、(Ib)および(Id)の割合合計が好ましくは10モル%以上であることが好ましい。
The composition according to the invention can comprise more than one polysiloxane. The first type is, for example, a polysiloxane containing a repeating unit of any of the above formulas (Ia) to (Id), and the second type is a repeating unit of the formula (Ie) and a repeating unit other than the formula (Ie) (preferably Can be a polysiloxane containing the formula (Ia), (Ib) and / or (Id).
Preferably one or R Ia of two or more polysiloxane Formula (Ia), repeating at least one bulky group of R Ib and / or R Id of formula (Id) of the formula (Ib) comprises units, more bulky R Ia of formula (Ia), the C 3 to 20, saturated or unsaturated, cyclic aliphatic hydrocarbon group or an aromatic hydrocarbon group, (for example, phenyl, naphthyl, anthracene It is preferable to use a polysiloxane comprising a repeating unit of formula (I)) and a repeating unit of formula (Ie). The presence of a bulky group tends to cause wrinkles, so that the suppression of wrinkles according to the present invention is effectively exhibited, and by including the repeating unit of the formula (Ie), the taper angle of the pattern can be further controlled. This is particularly advantageous because it becomes possible.
The ratio of the total number of the repeating units (Ie) and the repeating units (Ia) to the total number of the repeating units contained in the polysiloxane is preferably 60 mol% or more, and more preferably 70 mol% or more. More preferred. Further, it is preferable that (Ia) is 20 to 95 mol% and (Ie) is 5 to 40 mol%.
In addition, the total proportion of the above-mentioned bulky group-containing repeating units (Ia), (Ib) and (Id) is preferably at least 10 mol% with respect to the total number of repeating units contained in the polysiloxane. preferable.

本発明に用いられるポリシロキサンは、上記したような繰り返し単位が結合した構造を有するが、末端にシラノールを有することが好ましい。このようなシラノール基は、前記した繰り返し単位またはブロックの結合手に、−O0.5Hが結合したものである。 The polysiloxane used in the present invention has a structure in which repeating units are bonded as described above, and preferably has a silanol at a terminal. Such a silanol group is one in which —O 0.5 H is bonded to a bond of the above-described repeating unit or block.

本発明に用いられるポリシロキサンの質量平均分子量は、特に限定されない。ただし、分子量が高い方が塗布性が改良される傾向がある。一方で、分子量が低い方が合成条件の限定が少なく、合成が容易であり、分子量が非常に高いポリシロキサンは合成が困難である。このような理由から、ポリシロキサンの質量平均分子量は、通常500以上25,000以下であり、有機溶媒への溶解性、アルカリ現像液への溶解性の点から1,000以上20,000以下であることが好ましい。ここで質量平均分子量とは、ポリスチレン換算質量平均分子量であり、ポリスチレンを基準としてゲル浸透クロマトグラフィにより測定することができる。   The mass average molecular weight of the polysiloxane used in the present invention is not particularly limited. However, the higher the molecular weight, the more the coating properties tend to be improved. On the other hand, when the molecular weight is low, the synthesis conditions are less limited, and the synthesis is easy, and the synthesis of a polysiloxane having a very high molecular weight is difficult. For these reasons, the weight average molecular weight of the polysiloxane is usually 500 or more and 25,000 or less, and the solubility in an organic solvent and the solubility in an alkali developer are 1,000 or more and 20,000 or less. Preferably, there is. Here, the mass average molecular weight is a mass average molecular weight in terms of polystyrene, and can be measured by gel permeation chromatography based on polystyrene.

また、本発明に用いられるポリシロキサンは、ポジ型感光性を有する組成物に含まれ、この組成物は、基材上に塗布、像様露光、および現像を経て、硬化膜が形成される。このとき、露光された部分と未露光の部分とで溶解性に差異が発生することが必要であり、露光部における塗膜は、現像液に対して一定以上の溶解性を有するべきである。例えば、プリベーク後の塗膜の2.38質量%水酸化テトラメチルアンモニウム(以下、TMAHということがある)水溶液への溶解速度(以下、アルカリ溶解速度またはADRということがある。詳細後述)が50Å/秒以上であれば露光−現像によるパターンの形成が可能であると考えられる。しかし、形成される硬化膜の膜厚や現像条件によって要求される溶解性が異なるので、現像条件に応じたポリシロキサンを適切に選択すべきである。組成物に含まれるジアゾナフトキノン誘導体の種類や添加量により異なるが、例えば、膜厚が0.1〜100μm(1,000〜1,000,000Å)であれば、2.38質量%TMAH水溶液に対する溶解速度は50〜5,000Å/秒が好ましく、さらに200〜3,000Å/秒であることがより好ましい。   Further, the polysiloxane used in the present invention is contained in a composition having a positive photosensitivity, and the composition forms a cured film on a substrate through application, imagewise exposure, and development. At this time, it is necessary that there is a difference in solubility between the exposed part and the unexposed part, and the coating film in the exposed part should have a certain or more solubility in the developing solution. For example, the dissolution rate of the coating film after pre-baking in a 2.38% by mass aqueous solution of tetramethylammonium hydroxide (hereinafter, may be referred to as TMAH) (hereinafter, may be referred to as an alkali dissolution rate or ADR; details will be described later) is 50 °. It is considered that a pattern can be formed by exposure-development at a rate of / sec or more. However, the required solubility differs depending on the thickness of the cured film to be formed and the development conditions. Therefore, the polysiloxane should be appropriately selected according to the development conditions. For example, if the film thickness is 0.1 to 100 μm (1,000 to 1,000,000 Å), it depends on the type of the diazonaphthoquinone derivative and the amount of the diazonaphthoquinone derivative contained in the composition. The dissolution rate is preferably from 50 to 5,000 ° / sec, and more preferably from 200 to 3,000 ° / sec.

本発明に用いられるポリシロキサンは、用途や要求特性に応じ、上記範囲の何れかのADRを有するポリシロキサンを選択すればよい。また、ADRの異なるポリシロキサンを組合せて所望のADRを有する混合物にすることもできる。   As the polysiloxane used in the present invention, a polysiloxane having an ADR in any of the above ranges may be selected according to the application and required characteristics. Also, polysiloxanes having different ADRs can be combined into a mixture having a desired ADR.

アルカリ溶解速度や質量平均分子量の異なるポリシロキサンとしては、触媒、反応温度、反応時間あるいは重合体を変更することで調製することができる。アルカリ溶解速度の異なるポリシロキサンを組合せて用いることで、現像後の残存不溶物の低減、パターンだれの低減、パターン安定性などを改良することができる。   Polysiloxanes having different alkali dissolution rates and mass average molecular weights can be prepared by changing the catalyst, reaction temperature, reaction time, or polymer. By using a combination of polysiloxanes having different alkali dissolution rates, it is possible to reduce residual insoluble matter after development, reduce pattern drooling, and improve pattern stability.

このようなポリシロキサンは、例えば
(M)プリベーク後の膜が、2.38質量%TMAH水溶液に可溶であり、その溶解速度が200〜3,000Å/秒であるポリシロキサンが挙げられる。
Examples of such a polysiloxane include (M) a polysiloxane in which a film after prebaking is soluble in a 2.38% by mass aqueous solution of TMAH and has a dissolution rate of 200 to 3,000 ° / sec.

また、必要に応じ
(L)プリベーク後の膜が、5質量%TMAH水溶液に可溶であり、その溶解速度が1,000Å/秒以下であるポリシロキサン、または
(H)プリベーク後の膜の、2.38質量%TMAH水溶液に対する溶解速度が4,000Å/秒以上であるポリシロキサンと
混合し、所望の溶解速度を有する組成物を得ることができる。
Also, if necessary, (L) the pre-baked film is soluble in a 5% by mass aqueous solution of TMAH, and the dissolution rate is 1,000 Å / sec or less, or (H) the pre-baked film, A composition having a desired dissolution rate can be obtained by mixing with a polysiloxane having a dissolution rate in a 2.38 mass% TMAH aqueous solution of 4,000 ° / sec or more.

[アルカリ溶解速度(ADR)の測定、算出法]
ポリシロキサンまたはその混合物のアルカリ溶解速度は、アルカリ溶液としてTMAH水溶液を用いて、次のようにして測定し、算出する。
[Measurement and calculation method of alkali dissolution rate (ADR)]
The alkali dissolution rate of the polysiloxane or a mixture thereof is measured and calculated as follows using a TMAH aqueous solution as the alkali solution.

ポリシロキサンをPGMEAに35質量%になるように希釈し、室温でスターラーで1時間撹拌させながら溶解する。温度23.0±0.5℃、湿度50±5.0%雰囲気下のクリーンルーム内で、調製したポリシロキサン溶液を4インチ、厚さ525μmのシリコンウェハー上にピペットを用い1ccシリコンウェハーの中央部に滴下し、2±0.1μmの厚さになるようにスピンコーティングし、その後100℃のホットプレート上で90秒間加熱することにより溶媒を除去する。分光エリプソメーター(J.A.Woollam社製)で、塗膜の膜厚測定を行う。   The polysiloxane is diluted to 35% by mass in PGMEA and dissolved while stirring with a stirrer at room temperature for 1 hour. In a clean room at a temperature of 23.0 ± 0.5 ° C. and a humidity of 50 ± 5.0%, the prepared polysiloxane solution was pipetted on a 4-inch, 525 μm-thick silicon wafer using a pipette at the center of a 1 cc silicon wafer. And spin-coated to a thickness of 2 ± 0.1 μm, and then heated on a hot plate at 100 ° C. for 90 seconds to remove the solvent. The thickness of the coating film is measured with a spectroscopic ellipsometer (manufactured by JA Woollam).

次に、この膜を有するシリコンウェハーを、23.0±0.1℃に調整された、所定濃度のTMAH水溶液100mlを入れた直径6インチのガラスシャーレ中に静かに浸漬後、静置して、塗膜が消失するまでの時間を測定した。溶解速度は、ウェハー端部から10mm内側の部分の膜が消失するまでの時間で除して求める。溶解速度が著しく遅い場合は、ウェハーをTMAH水溶液に一定時間浸漬した後、200℃のホットプレート上で5分間加熱することにより溶解速度測定中に膜中に取り込まれた水分を除去した後、膜厚測定を行い、浸漬前後の膜厚変化量を浸漬時間で除することにより溶解速度を算出する。上記測定法を5回行い、得られた値の平均をポリシロキサンの溶解速度とする。   Next, the silicon wafer having this film is gently immersed in a glass dish of 6 inches in diameter containing 100 ml of a predetermined concentration of a TMAH aqueous solution adjusted to 23.0 ± 0.1 ° C., and left standing. The time until the coating disappeared was measured. The dissolution rate is determined by dividing by the time until the film in the portion 10 mm inside from the edge of the wafer disappears. If the dissolution rate is extremely slow, the wafer is immersed in a TMAH aqueous solution for a certain period of time, and then heated on a hot plate at 200 ° C. for 5 minutes to remove water taken in during the dissolution rate measurement. The thickness is measured, and the dissolution rate is calculated by dividing the amount of change in film thickness before and after immersion by the immersion time. The above measurement method is performed five times, and the average of the obtained values is defined as the dissolution rate of the polysiloxane.

<ポリシロキサンの合成方法>
本発明に用いられるポリシロキサンの合成方法は特に限定されないが、例えば、
ia−Si−(ORia’ (ia)
(式中、
iaは、水素、C1〜30の、直鎖状、分岐状もしくは環状の、飽和または不飽和の、脂肪族炭化水素基、または芳香族炭化水素基を表し、
前記脂肪族炭化水素基および前記芳香族炭化水素基において、メチレンが、置きかえられていないか、またはオキシ、イミドもしくはカルボニルで置きかえられており、かつ炭素原子が非置換であるか、またはフッ素、ヒドロキシもしくはアルコキシで置換されており、かつ
ia’は、直鎖または分岐の、C1〜6アルキルである)
で示されるシランモノマー
を必要に応じて、酸性触媒または塩基性触媒の存在下、加水分解し、重合させることによって得ることができる。
<Method of synthesizing polysiloxane>
The method for synthesizing the polysiloxane used in the present invention is not particularly limited.
Ria- Si- ( ORia ' ) 3 (ia)
(Where
R ia represents hydrogen, C 1-30 , linear, branched or cyclic, saturated or unsaturated, aliphatic hydrocarbon group or aromatic hydrocarbon group;
In the aliphatic hydrocarbon group and the aromatic hydrocarbon group, methylene is not replaced, or is replaced with oxy, imide or carbonyl, and the carbon atom is unsubstituted, or fluorine, hydroxy, Or R ia ′ is straight or branched, C 1-6 alkyl)
May be hydrolyzed and polymerized in the presence of an acidic catalyst or a basic catalyst, if necessary.

式(ia)において、好ましいRia’は、メチル、エチル、n−プロピル、イソプロピル、およびn−ブチルなどが挙げられる。式(ia)において、Ria’は複数含まれるが、それぞれのRia’は、同じでも異なっていてもよい。
好ましいRiaは、上記のRIaと同じである。
In the formula (ia), preferred R ia ′ includes methyl, ethyl, n-propyl, isopropyl, and n-butyl. In the formula (ia), a plurality of R ia ′ are included, and each R ia ′ may be the same or different.
Preferred R ia are the same as R Ia described above.

式(ia)で表されるシランモノマーの具体例としては、例えば、メチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリイソプロポキシシラン、メチルトリn−ブトキシシラン、エチルトリメトキシシラン、エチルトリエトキシシラン、エチルトリイソプロポキシシラン、エチルトリn−ブトキシシラン、n−プロピルトリメトキシシラン、n−プロピルトリエトキシシラン、n−ブチルトリメトキシシラン、n−ブチルトリエトキシシラン、n−ヘキシルトリメトキシシラン、n−ヘキシルトリエトキシシラン、デシルトリメトキシシラン、フェニルトリメトキシシラン、フェニルトリエトキシシラン、トリフルオロメチルトリメトキシシラン、トリフルオロメチルトリエトキシシラン、3,3,3−トリフルオロプロピルトリメトキシシランが挙げられる。その中でもメチルトリメトキシシラン、メチルトリエトキシシラン、メチルトリプロポキシシラン、フェニルトリメトキシシランが好ましい。式(ib)で表されるシランモノマーは、2種類以上を組み合わせることが好ましい。   Specific examples of the silane monomer represented by the formula (ia) include, for example, methyltrimethoxysilane, methyltriethoxysilane, methyltriisopropoxysilane, methyltri-n-butoxysilane, ethyltrimethoxysilane, ethyltriethoxysilane, Ethyltriisopropoxysilane, ethyltri-n-butoxysilane, n-propyltrimethoxysilane, n-propyltriethoxysilane, n-butyltrimethoxysilane, n-butyltriethoxysilane, n-hexyltrimethoxysilane, n-hexyl Triethoxysilane, decyltrimethoxysilane, phenyltrimethoxysilane, phenyltriethoxysilane, trifluoromethyltrimethoxysilane, trifluoromethyltriethoxysilane, 3,3,3-trifluoropropyl Trimethoxy silane. Among them, methyltrimethoxysilane, methyltriethoxysilane, methyltripropoxysilane, and phenyltrimethoxysilane are preferable. It is preferable that two or more silane monomers represented by the formula (ib) are combined.

さらに以下の式(ic)で示されるシランモノマーを組み合わせてもよい。式(ic)で表されるシランモノマーを用いると、繰り返し単位(Ic)を含むポリシロキサンを得ることができる。
Si(ORic’ (ic)
式中、Ric’は、直鎖または分岐の、C1〜6アルキルである。 式(ic)において、好ましいRic’は、メチル、エチル、n−プロピル、イソプロピル、およびn−ブチルなどが挙げられる。式(ic)において、Ric’は複数含まれるが、それぞれのRic’は、同じでも異なっていてもよい。
式(ic)で示されるシランモノマーの具体例としては、テトラメトキシシラン、テトラエトキシラン、テトライソプロポキシシラン、テトラn−ブトキシシラン、等が挙げられる。
Further, a silane monomer represented by the following formula (ic) may be combined. When the silane monomer represented by the formula (ic) is used, a polysiloxane containing the repeating unit (Ic) can be obtained.
Si (OR ic ' ) 4 (ic)
Wherein R ic ′ is straight-chain or branched, C 1-6 alkyl. In the formula (ic), preferable R ic ′ includes methyl, ethyl, n-propyl, isopropyl, n-butyl and the like. In the formula (ic), a plurality of R ic ′ are included, and each R ic ′ may be the same or different.
Specific examples of the silane monomer represented by the formula (ic) include tetramethoxysilane, tetraethoxysilane, tetraisopropoxysilane, and tetra-n-butoxysilane.

下記式(ib)で表わされるシランモノマーをさらに組み合わせることもできる。
ib−Si−(ORib’ (ib)式中、
ib’は、直鎖または分岐の、C1〜6アルキルであり、例えば、メチル、エチル、n−プロピル、イソプロピル、およびn−ブチルなどが挙げられる。Rib’は、1つのモノマーに複数含まれるが、それぞれのRib’は、同じでも異なっていてもよく、
ibは、アミノ基、イミノ基、および/またはカルボニル基を含む、窒素および/または酸素含有環状脂肪族炭化水素化合物から複数、好ましくは2つまたは3つの水素を除去した基である。好ましいRibは、上記好ましいRIbと同じである。
A silane monomer represented by the following formula (ib) can be further combined.
R ib —Si— (OR ib ′ ) 3 (ib)
R ib ′ is a linear or branched C 1-6 alkyl, and includes, for example, methyl, ethyl, n-propyl, isopropyl, and n-butyl. R ib 'is included more in one monomer, each R ib' may be the same or different,
R ib is a group obtained by removing a plurality, preferably two or three hydrogens from a nitrogen- and / or oxygen-containing cyclic aliphatic hydrocarbon compound containing an amino group, an imino group, and / or a carbonyl group. Preferred R ib is the same as the preferred R Ib.

式(ib)で示されるシランモノマーの具体例としては、トリス−(3−トリメトキシシリルプロピル)イソシアヌレート、トリス−(3−トリメトキシシリルプロピル)イソシアヌレート、トリス−(3−トリエトキシシリルプロピル)イソシアヌレート、トリス−(3−トリメトキシシリルエチル)イソシアヌレートなどが挙げられる。   Specific examples of the silane monomer represented by the formula (ib) include tris- (3-trimethoxysilylpropyl) isocyanurate, tris- (3-trimethoxysilylpropyl) isocyanurate, and tris- (3-triethoxysilylpropyl). ) Isocyanurate, tris- (3-trimethoxysilylethyl) isocyanurate and the like.

さらに、以下の式(id)で示されるシランモノマーを組み合わせてもよい。式(id)で表されるシランモノマーを用いると、繰り返し単位(Id)を含むポリシロキサンを得ることができる。
(Rid−Si−(ORid’ (id)
式中、
id’は、それぞれ独立に、直鎖または分岐の、C1〜6アルキルであり、例えば、メチル、エチル、n−プロピル、イソプロピル、およびn−ブチルなどが挙げられる。Rid’は、1つのモノマーに複数含まれるが、それぞれのRid’は、同じでも異なっていてもよく、
idは、それぞれ独立に、水素、C1〜30の、直鎖状、分岐状もしくは環状の、飽和または不飽和の、脂肪族炭化水素基、または芳香族炭化水素基を表し、
前記脂肪族炭化水素基および前記芳香族炭化水素基において、メチレンが、置きかえられていないか、またはオキシ、イミドもしくはカルボニルで置きかえられており、かつ炭素原子が非置換であるか、またはフッ素、ヒドロキシもしくはアルコキシで置換されている。好ましいRidは、上記好ましいRIdである。
Further, a silane monomer represented by the following formula (id) may be combined. When the silane monomer represented by the formula (id) is used, a polysiloxane containing the repeating unit (Id) can be obtained.
(R id) 2 -Si- (OR id ') 2 (id)
Where:
R id ′ is each independently a straight-chain or branched C 1-6 alkyl, and examples include methyl, ethyl, n-propyl, isopropyl, and n-butyl. R id 'is included more in one monomer, each R id' may be the same or different,
R id each independently represents hydrogen, C 1-30 , a linear, branched or cyclic, saturated or unsaturated, aliphatic or aromatic hydrocarbon group;
In the aliphatic hydrocarbon group and the aromatic hydrocarbon group, methylene is not replaced, or is replaced with oxy, imide or carbonyl, and the carbon atom is unsubstituted, or fluorine, hydroxy, Or it is substituted by alkoxy. Preferred R id is the preferred R Id.

さらに、以下の式(ie)で示されるシランモノマーを組み合わせてもよい。
(ORie’−Si−Lie−Si−(ORie’
式(ie)式中、
ie’は、それぞれ独立に、直鎖または分岐の、C1〜6アルキルであり、例えば、メチル、エチル、n−プロピル、イソプロピル、およびn−ブチルなどが挙げられる。
ieは、−(CRie −または
であり、好ましくは、−(CRie −である。ここで、
nはそれぞれ独立に1〜3の整数であり、
ieはそれぞれ独立に水素、メチル、またはエチルである。
Further, a silane monomer represented by the following formula (ie) may be combined.
( ORie ' ) 3- Si- Lie- Si- ( ORie' ) 3
In the formula (ie),
R ie ′ is each independently a straight-chain or branched C 1-6 alkyl, and examples include methyl, ethyl, n-propyl, isopropyl, and n-butyl.
L ie is, - (CR ie 2) n - or
And preferably-(CR ie 2 ) n- . here,
n is each independently an integer of 1 to 3,
R ie is each independently hydrogen, methyl, or ethyl.

(II)カルボン酸化合物
本発明に用いられるカルボン酸化合物は、組成物の総質量を基準として200〜50,000ppmの、モノカルボン酸またはジカルボン酸である。
好ましくは、モノカルボン酸の第1酸解離定数pKaが5.0以下である。好ましくは、ジカルボン酸の第1酸解離定数pKaが4.0以下、より好ましくは3.5以下である。
(II) Carboxylic acid compound The carboxylic acid compound used in the present invention is a monocarboxylic acid or a dicarboxylic acid in an amount of 200 to 50,000 ppm based on the total mass of the composition.
Preferably, the first acid dissociation constant pKa 1 of the monocarboxylic acid is 5.0 or less. Preferably, the first acid dissociation constant pKa 1 of the dicarboxylic acid is 4.0 or less, more preferably 3.5 or less.

好ましくは、モノカルボン酸は、式(i)で表される。
−COOH 式(i)
式中、Rは、水素、または炭素数1〜4の、飽和または不飽和の、炭化水素基であり、より好ましくは炭素数1〜3の炭化水素基である。
Preferably, the monocarboxylic acid is represented by formula (i).
R i -COOH Formula (i)
In the formula, Ri is hydrogen or a saturated or unsaturated hydrocarbon group having 1 to 4 carbon atoms, more preferably a hydrocarbon group having 1 to 3 carbon atoms.

本発明に用いられるモノカルボン酸としては、酢酸、ギ酸、アクリル酸が挙げられ、好ましくは酢酸である。   Examples of the monocarboxylic acid used in the present invention include acetic acid, formic acid, and acrylic acid, and acetic acid is preferred.

好ましくは、ジカルボン酸は、式(ii)で表される。
HOOC−L−COOH 式(ii)
式中、Lは、
単結合、
炭素数1〜6の、非置換アルキレン、ヒドロキシ置換アルキレンもしくはアミノ置換アルキレン、
置換もしくは非置換の、炭素数2〜4のアルケニレン、
置換もしくは非置換の、炭素数2〜4のアルキニレン、または
置換もしくは非置換の、炭素数6〜10のアリーレン
である。
ここで、本発明において、アルケニレンとは、1以上の二重結合を有する二価基を意味するものとする。同様に、アルキニレンとは、1以上の三重結合を有する二価基を意味するものとする。
Preferably, the dicarboxylic acid is represented by formula (ii).
HOOC-L-COOH Formula (ii)
Where L is
Single bond,
C1-C6 unsubstituted alkylene, hydroxy-substituted alkylene or amino-substituted alkylene,
A substituted or unsubstituted alkenylene having 2 to 4 carbon atoms,
It is a substituted or unsubstituted alkynylene having 2 to 4 carbon atoms, or a substituted or unsubstituted arylene having 6 to 10 carbon atoms.
Here, in the present invention, alkenylene means a divalent group having one or more double bonds. Similarly, alkynylene shall mean a divalent group having one or more triple bonds.

好ましくは、Lは、
単結合、
炭素数2〜4の、ヒドロキシ置換または非置換アルキレン、
非置換の、C=C結合を1つ有する、炭素数2〜4のアルケニレン、または
非置換の、炭素数6〜10のアリーレン
であり、
より好ましくは、Lは、単結合、炭素数1〜2の非置換アルキレン、ビニレン、ヒドロキシエチレン、フェニレンである。
Preferably, L is
Single bond,
A hydroxy-substituted or unsubstituted alkylene having 2 to 4 carbon atoms,
Unsubstituted alkenylene having one C = C bond and having 2 to 4 carbon atoms, or unsubstituted arylene having 6 to 10 carbon atoms;
More preferably, L is a single bond, an unsubstituted alkylene having 1 to 2 carbon atoms, vinylene, hydroxyethylene, or phenylene.

本発明に用いられるジカルボン酸の具体例としては、シュウ酸、マレイン酸、フマル酸、o−フタル酸、コハク酸、グルタコン酸、アスパラギン酸、グルタミン酸、リンゴ酸、イタコン酸、3−アミノヘキサン二酸、マロン酸が挙げられ、好ましくは、シュウ酸、マレイン酸、フマル酸、o−フタル酸、リンゴ酸、またはマロン酸である。   Specific examples of the dicarboxylic acid used in the present invention include oxalic acid, maleic acid, fumaric acid, o-phthalic acid, succinic acid, glutaconic acid, aspartic acid, glutamic acid, malic acid, itaconic acid, and 3-aminohexanediacid And malonic acid, preferably oxalic acid, maleic acid, fumaric acid, o-phthalic acid, malic acid, or malonic acid.

本発明に用いられるカルボン酸化合物としては、より好ましくはジカルボン酸であり、中でも特に分子内脱水縮合のより、環状構造をとりうるものであることが好ましい。このようなジカルボン酸としては、シュウ酸、マレイン酸、コハク酸、o−フタル酸、グルタコン酸、イタコン酸が挙げられる。なかでも、分子内脱水縮合反応が起きる温度が100℃〜250℃であるジカルボン酸が好ましく、さらにはマレイン酸、コハク酸、シュウ酸が好ましい。   The carboxylic acid compound used in the present invention is more preferably a dicarboxylic acid, and particularly preferably a compound capable of forming a cyclic structure due to intramolecular dehydration condensation. Such dicarboxylic acids include oxalic acid, maleic acid, succinic acid, o-phthalic acid, glutaconic acid, and itaconic acid. Among them, a dicarboxylic acid having a temperature at which an intramolecular dehydration condensation reaction occurs is 100 ° C. to 250 ° C., and more preferably maleic acid, succinic acid, and oxalic acid.

カルボン酸化合物は2種類以上を組み合わせて用いることができる。   Two or more carboxylic acid compounds can be used in combination.

本発明による組成物において、本発明に用いられるカルボン酸化合物の含有量は、組成物の総質量を基準として200〜50,000ppmであり、より好ましくは、300〜30,000ppmであり、さらに好ましくは、500〜30,000ppmである。50,000ppmより多い場合は、感度の低下が起こるので、好ましくない。
現像工程で、有機現像液(例えば、TMAH水溶液)を用いる場合には、(II)の化合物の含有量は、300〜10,000ppmであることが好ましく、より好ましくは、500〜5,000ppmである。
現像工程で、無機現像液(例えば、KOH水溶液)を用いる場合には、(II)の化合物の含有量は、1,000〜30,000ppmであることが好ましく、より好ましくは、3,000〜10,000ppmである。
In the composition according to the present invention, the content of the carboxylic acid compound used in the present invention is 200 to 50,000 ppm, more preferably 300 to 30,000 ppm, further preferably, based on the total mass of the composition. Is 500 to 30,000 ppm. If it is more than 50,000 ppm, the sensitivity is lowered, which is not preferable.
When an organic developer (for example, an aqueous solution of TMAH) is used in the development step, the content of the compound (II) is preferably from 300 to 10,000 ppm, more preferably from 500 to 5,000 ppm. is there.
In the case where an inorganic developer (for example, an aqueous KOH solution) is used in the development step, the content of the compound (II) is preferably from 1,000 to 30,000 ppm, more preferably from 3,000 to 30,000 ppm. It is 10,000 ppm.

本発明による組成物は、特定の量の特定のカルボン酸化合物を含むことで、硬化膜のしわを抑制して、パターン表面の平滑性を改善する効果をもたらすのであるが、理論に拘束されることを望まないが、以下によるものと考えられる。
ポジ型ポリシロキサン組成物は、塗布し、露光し、アルカリ現像液で現像し、リンスし、そして、加熱により硬化される。リンスにより現像液を洗い流すが、膜中、特に膜表面に残存するアルカリ成分が、膜表面の硬化反応を過度に早めてしまう。
リンス後に、全面露光のプロセスを入れると、全面露光により、硬化反応を過度に早めることが起こらなくなる。よって、しわの発生が抑制されるのである。
本発明による組成物は、特定の量の特定のカルボン酸化合物を含むことで、全面露光のプロセスがなくても、アルカリ現像液によるアルカリ成分を中和することによって、硬化反応を過度に早めることがなく、しわの形成を抑制することができる。
特に、カルボン酸化合物が特定の温度で分子内脱水反応により環状構造を形成できる化合物である場合、硬化のための加熱の前までは、ポリシロキサンのシラノール基がカルボン酸基で保護されるが、硬化のための加熱時に、保護されていないシラノール基から硬化し、カルボン酸化合物が無水物化して、膜中から除去され、保護が外れたシラノール基が次に硬化するといった、段階的な硬化が起こることが考えられるため、しわの抑制効果がより高くなるものと考えられる。
アルカリ現像液は、有機系と無機系に分類されるが、無機現像液は、有機現像液に比較して、分子サイズが小さく、現像の際に膜中に入り込みやすいため、中和のために必要とされる酸の量も多くなる。よって、無機系現像液を用いる場合は、カルボン酸化合物の含有量がより多いのである。
The composition according to the present invention, which contains a specific amount of a specific carboxylic acid compound, suppresses wrinkles of the cured film and has an effect of improving the smoothness of the pattern surface, but is bound by theory. We do not want to do that, but we believe that:
The positive working polysiloxane composition is coated, exposed, developed with an alkaline developer, rinsed, and cured by heating. Although the developer is washed away by rinsing, an alkali component remaining in the film, particularly on the film surface, excessively accelerates the curing reaction on the film surface.
If a process of overall exposure is performed after rinsing, excessive exposure of the curing reaction due to the overall exposure does not occur. Therefore, generation of wrinkles is suppressed.
The composition according to the present invention contains a specific amount of a specific carboxylic acid compound, so that the curing reaction is excessively accelerated by neutralizing an alkali component by an alkali developer even without a process of overall exposure. And the formation of wrinkles can be suppressed.
In particular, when the carboxylic acid compound is a compound capable of forming a cyclic structure by an intramolecular dehydration reaction at a specific temperature, the silanol group of the polysiloxane is protected with a carboxylic acid group before heating for curing. During heating for curing, the uncured silanol groups are cured, the carboxylic acid compound is converted to an anhydride, removed from the film, and the unprotected silanol groups are cured next. It is thought that the effect of suppressing wrinkles would be higher because it would occur.
Alkaline developers are classified into organic and inorganic.Inorganic developers are smaller in molecular size than organic developers, and easily enter the film during development. The amount of acid required will also increase. Therefore, when an inorganic developer is used, the content of the carboxylic acid compound is higher.

(III)ジアゾナフトキノン誘導体
本発明による組成物は、ジアゾナフトキノン誘導体を含んでなる。ジアゾナフトキノン誘導体を含んでなる組成物は、露光された部分が、アルカリ現像液に可溶になることにより現像によって除去されるポジ像を形成する。すなわち、本発明による組成物は、一般的にはポジ型フォトレジスト組成物として機能する。本発明のジアゾナフトキノン誘導体は、フェノール性水酸基を有する化合物にナフトキノンジアジドスルホン酸がエステル結合した化合物であり、特に構造について制限されないが、好ましくはフェノール性水酸基を1つ以上有する化合物とのエステル化合物であることが好ましい。ナフトキノンジアジドスルホン酸としては、4−ナフトキノンジアジドスルホン酸、あるいは5−ナフトキノンジアジドスルホン酸を用いることができる。4−ナフトキノンジアジドスルホン酸エステル化合物はi線(波長365nm)領域に吸収を持つため、i線露光に適している。また、5−ナフトキノンジアジドスルホン酸エステル化合物は広範囲の波長領域に吸収が存在するため、広範囲の波長での露光に適している。露光する波長によって4−ナフトキノンジアジドスルホン酸エステル化合物、5−ナフトキノンジアジドスルホン酸エステル化合物を選択することが好ましい。4−ナフトキノンジアジドスルホン酸エステル化合物と5−ナフトキノンジアジドスルホン酸エステル化合物を混合して用いることもできる。
(III) Diazonaphthoquinone derivative The composition according to the present invention comprises a diazonaphthoquinone derivative. The composition comprising the diazonaphthoquinone derivative forms a positive image in which the exposed portions become soluble in an alkaline developer and are removed by development. That is, the composition according to the present invention generally functions as a positive photoresist composition. The diazonaphthoquinone derivative of the present invention is a compound in which naphthoquinonediazidosulfonic acid is ester-bonded to a compound having a phenolic hydroxyl group, and is not particularly limited in structure, but is preferably an ester compound with a compound having one or more phenolic hydroxyl groups. Preferably, there is. As naphthoquinonediazidesulfonic acid, 4-naphthoquinonediazidosulfonic acid or 5-naphthoquinonediazidosulfonic acid can be used. The 4-naphthoquinonediazidosulfonic acid ester compound is suitable for i-line exposure because it has absorption in the i-line (wavelength 365 nm) region. Further, the 5-naphthoquinonediazidosulfonic acid ester compound has an absorption in a wide wavelength range, and is therefore suitable for exposure to a wide range of wavelengths. It is preferable to select a 4-naphthoquinonediazidosulfonic acid ester compound or a 5-naphthoquinonediazidosulfonic acid ester compound depending on the wavelength to be exposed. A mixture of a 4-naphthoquinonediazidesulfonic acid ester compound and a 5-naphthoquinonediazidosulfonic acid ester compound can also be used.

フェノール性水酸基を有する化合物としては特に限定されないが、例えば、ビスフェノールA、BisP−AF、BisOTBP−A、Bis26B−A、BisP−PR、BisP−LV、BisP−OP、BisP−NO、BisP−DE、BisP−AP、BisOTBP−AP、TrisP−HAP、BisP−DP、TrisP−PA、BisOTBP−Z、BisP−FL、TekP−4HBP、TekP−4HBPA、TrisP−TC(商品名、本州化学工業株式会社製)が挙げられる。   The compound having a phenolic hydroxyl group is not particularly limited. For example, bisphenol A, BisP-AF, BisOTBP-A, Bis26BA, BisP-PR, BisP-LV, BisP-OP, BisP-NO, BisP-DE, BisP-AP, BisOTBP-AP, TrisP-HAP, BisP-DP, TrisP-PA, BisOTBP-Z, BisP-FL, TekP-4HBP, TekP-4HBPA, TrisP-TC (trade name, manufactured by Honshu Chemical Industry Co., Ltd.) Is mentioned.

ジアゾナフトキノン誘導体の添加量は、ナフトキノンジアジドスルホン酸のエステル化率、あるいは使用されるポリシロキサンの物性、要求される感度・露光部と未露光部との溶解コントラストにより最適量は異なるが、好ましくはポリシロキサンの総質量100質量部に対して、1〜20質量部であり、さらに好ましくは2〜15質量部である。ジアゾナフトキノン誘導体の添加量が1質量部より少ない場合、露光部と未露光部との溶解コントラストが低すぎて、現実的な感光性を有さない。また、さらに良好な溶解コントラストを得るためには2質量部以上が好ましい。一方、ジアゾナフトキノン誘導体の添加量が20質量部より多い場合、ポリシロキサンとキノンジアジド化合物との相溶性が悪くなることによる塗布膜の白化が起こったり、熱硬化時に起こるキノンジアジド化合物の分解による着色が顕著になるため硬化膜の無色透明性が低下することがある。また、ジアゾナフトキノン誘導体の耐熱性は、ポリシロキサンに比較すると劣るため、添加量が多くなると熱分解により硬化膜の電気絶縁性の劣化やガス放出の原因となって、後工程の問題になることがある。また、硬化膜がモノエタノールアミン等を主剤とするようなフォトレジスト剥離液に対する耐性が低下することがある。   The optimum amount of the diazonaphthoquinone derivative added depends on the esterification rate of naphthoquinonediazidosulfonic acid, or the physical properties of the polysiloxane used, and the required sensitivity / dissolution contrast between the exposed part and the unexposed part. The amount is 1 to 20 parts by mass, more preferably 2 to 15 parts by mass, based on 100 parts by mass of the total mass of the polysiloxane. When the addition amount of the diazonaphthoquinone derivative is less than 1 part by mass, the dissolution contrast between the exposed and unexposed portions is too low, and does not have a practical photosensitivity. Further, in order to obtain a better dissolution contrast, the amount is preferably 2 parts by mass or more. On the other hand, when the addition amount of the diazonaphthoquinone derivative is more than 20 parts by mass, whitening of the coating film occurs due to poor compatibility between the polysiloxane and the quinonediazide compound, and coloring due to decomposition of the quinonediazide compound which occurs at the time of heat curing is remarkable. , The colorless transparency of the cured film may decrease. In addition, the heat resistance of the diazonaphthoquinone derivative is inferior to that of polysiloxane, so that when added in a large amount, thermal decomposition may cause deterioration of the electrical insulation of the cured film and release of gas, resulting in a problem in a post-process. There is. Further, the resistance of the cured film to a photoresist stripping solution containing monoethanolamine or the like as a main component may be reduced.

(IV)溶剤
溶剤は、前記した、ポリシロキサンとカルボン酸化合物、および必要に応じて添加される添加剤を均一に溶解または分散させるものであれば特に限定されない。本発明に用いることができる溶剤の例としては、エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテルなどのエチレングリコールモノアルキルエーテル類、ジエチレングリコールジメチルエーテル、ジエチレングリコールジエチルエーテル、ジエチレングリコールジプロピルエーテル、ジエチレングリコールジブチルエーテルなどのジエチレングリコールジアルキルエーテル類、メチルセロソルブアセテート、エチルセロソルブアセテートなどのエチレングリコールアルキルエーテルアセテート類、プロピレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル等のプロピレングリコールモノアルキルエーテル類、プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノエチルエーテルアセテート、プロピレングリコールモノプロピルエーテルアセテートなどのプロピレングリコールアルキルエーテルアセテート類、ベンゼン、トルエン、キシレンなどの芳香族炭化水素類、メチルエチルケトン、アセトン、メチルアミルケトン、メチルイソブチルケトン、シクロヘキサノンなどのケトン類、エタノール、プロパノール、ブタノール、ヘキサノール、シクロヘキサノール、エチレングリコール、グリセリンなどのアルコール類、乳酸エチル、3−エトキシプロピオン酸エチル、3−メトキシプロピオン酸メチルなどのエステル類、γ−ブチロラクトンなどの環状エステル類などが挙げられる。かかる溶剤は、それぞれ単独または2種以上を組み合わせて用いられ、その使用量は塗布方法や塗布後の膜厚の要求によって異なる。
(IV) Solvent The solvent is not particularly limited as long as it can uniformly dissolve or disperse the polysiloxane, the carboxylic acid compound, and the additives to be added as necessary. Examples of the solvent that can be used in the present invention include ethylene glycol monomethyl ether, ethylene glycol monoethyl ether, ethylene glycol monopropyl ether, ethylene glycol monoalkyl ethers such as ethylene glycol monobutyl ether, diethylene glycol dimethyl ether, diethylene glycol diethyl ether, Diethylene glycol dialkyl ethers such as diethylene glycol dipropyl ether and diethylene glycol dibutyl ether; ethylene glycol alkyl ether acetates such as methyl cellosolve acetate and ethyl cellosolve acetate; propylene glycol monoalkyl such as propylene glycol monomethyl ether and propylene glycol monoethyl ether Propylene glycol alkyl ether acetates such as propylene glycol monomethyl ether acetate (PGMEA), propylene glycol monoethyl ether acetate, propylene glycol monopropyl ether acetate, aromatic hydrocarbons such as benzene, toluene, xylene, methyl ethyl ketone, acetone , Methyl amyl ketone, methyl isobutyl ketone, ketones such as cyclohexanone, alcohols such as ethanol, propanol, butanol, hexanol, cyclohexanol, ethylene glycol, glycerin, ethyl lactate, ethyl 3-ethoxypropionate, and 3-methoxypropionic acid Examples include esters such as methyl and cyclic esters such as γ-butyrolactone. These solvents may be used alone or in combination of two or more, and the amount of the solvent varies depending on the application method and the requirement of the film thickness after application.

本発明による組成物の溶剤含有率は、採用する塗布方法を考慮して、用いるポリシロキサンの質量平均分子量、その分布及び構造に応じて適宜選択することができる。本発明による組成物は、組成物の全質量を基準として、一般に40〜90質量%、好ましくは60〜80質量%の溶剤を含む。   The solvent content of the composition according to the present invention can be appropriately selected according to the mass average molecular weight of the polysiloxane to be used, its distribution and structure in consideration of the coating method to be used. The compositions according to the invention generally comprise from 40 to 90% by weight, preferably from 60 to 80% by weight, of solvent, based on the total weight of the composition.

本発明による組成物は、前記した(I)〜(IV)を必須とするものであるが、必要に応じて更なる化合物を組み合わせることができる。これらの組み合わせることができる材料について説明すると以下の通りである。なお、組成物全体にしめる(I)〜(IV)以外の成分は、全体の質量に対して、10質量%以下が好ましく、より好ましくは5質量%以下である。   The composition according to the present invention essentially comprises the above-mentioned (I) to (IV), but may further comprise other compounds as required. The materials that can be combined are described below. The components other than (I) to (IV) in the whole composition are preferably 10% by mass or less, more preferably 5% by mass or less, based on the total mass.

[シラノール縮合触媒]
本発明による組成物は、光酸発生剤、光塩基発生剤、光熱酸発生剤、および光熱塩基発生剤からなる群から選択されるシラノール縮合触媒を含むことができる。これらは、硬化膜製造プロセスにおいて利用する重合反応や架橋反応に応じて、選択されることが好ましい。
なお、本発明において、光酸発生剤には、上記に記載の(III)ジアゾナフトキノン誘導体は、含まれないものとする。
[Silanol condensation catalyst]
The composition according to the present invention can include a silanol condensation catalyst selected from the group consisting of a photoacid generator, a photobase generator, a photothermal acid generator, and a photothermal base generator. These are preferably selected according to a polymerization reaction or a cross-linking reaction used in a cured film production process.
In the present invention, the photoacid generator does not include the above-mentioned diazonaphthoquinone derivative (III).

これらの含有量は、分解して発生する活性物質の種類、発生量、要求される感度・露光部と未露光部との溶解コントラスト、パターン形状により最適量は異なるが、ポリシロキサンの総質量100質量部に対して、好ましくは0.1〜10質量部であり、さらに好ましくは0.5〜5質量部である。添加量が0.1質量部より少ないと、発生する酸または塩基の量が少なすぎて、パターンだれを起こしやすくなる。一方、添加量が10質量部より多い場合、形成される硬化膜にクラックが発生したり、これらの分解による着色が顕著になることがあるため、硬化膜の無色透明性が低下することがある。また、添加量が多くなると熱分解により硬化物の電気絶縁性の劣化やガス放出の原因となって、後工程の問題になることがある。さらに、硬化膜の、モノエタノールアミン等を主剤とするようなフォトレジスト剥離液に対する耐性が低下することがある。   The optimum amount of these contents varies depending on the type and amount of the active substance generated by decomposition, the required sensitivity, the dissolution contrast between the exposed and unexposed parts, and the pattern shape. The amount is preferably from 0.1 to 10 parts by mass, more preferably from 0.5 to 5 parts by mass with respect to parts by mass. When the addition amount is less than 0.1 parts by mass, the amount of the generated acid or base is too small, so that pattern dripping is likely to occur. On the other hand, when the addition amount is more than 10 parts by mass, cracks are generated in the formed cured film, and coloring due to decomposition thereof may be remarkable, so that the colorless transparency of the cured film may be reduced. . In addition, when the added amount is large, thermal decomposition may cause deterioration of the electrical insulation of the cured product and release of gas, which may cause a problem in a subsequent process. Further, the resistance of the cured film to a photoresist stripping solution containing monoethanolamine or the like as a main component may be reduced.

本発明において、光酸発生剤または光塩基発生剤とは、露光によって結合開裂を起こして酸または塩基を発生する化合物のことをいう。発生した酸または塩基は、ポリシロキサンの重合化に寄与すると考えられる。ここで、光としては、可視光、紫外線、赤外線、X線、電子線、α線、またはγ線等を挙げることができる。
光酸発生剤または光塩基発生剤は、パターンを投影するための像様露光(以下、最初の露光という)ではなく、その後に行う全面露光の際に、酸または塩基が発生することが好ましく、最初の露光時の波長には吸収が少ないことが好ましい。例えば、最初の露光をg線(ピーク波長436nm)および/またはh線(ピーク波長405nm)で行い、2回目の露光時の波長をg+h+i線(ピーク波長365nm)にするときは、光酸発生剤または光塩基発生剤は波長436nmおよび/または405nmにおける吸光度よりも、波長365nmにおける吸光度が大きくなる方が好ましい。
具体的には、波長365nmにおける吸光度/波長436nmにおける吸光度、または波長365nmにおける吸光度/波長405nmにおける吸光度が、2以上であることが好ましく、より好ましくは5以上であり、さらに好ましくは10以上、最も好ましくは100以上である。
ここで、紫外可視吸収スペクトルは、溶媒としてジクロロメタンを用いて測定される。測定装置は特に限定されないが、例えばCary 4000 UV−Vis 分光光度計(アジレント・テクノロジー株式会社製)が挙げられる。
In the present invention, a photoacid generator or a photobase generator refers to a compound that generates an acid or a base by causing bond cleavage upon exposure. The generated acid or base is considered to contribute to the polymerization of the polysiloxane. Here, examples of the light include visible light, ultraviolet light, infrared light, X-ray, electron beam, α-ray, and γ-ray.
The photoacid generator or photobase generator is preferably not an imagewise exposure for projecting a pattern (hereinafter, referred to as the first exposure), but generates an acid or a base during the subsequent overall exposure. It is preferable that the absorption at the wavelength at the first exposure is small. For example, when the first exposure is performed with the g-line (peak wavelength 436 nm) and / or the h-line (peak wavelength 405 nm) and the wavelength at the time of the second exposure is set as the g + h + i line (peak wavelength 365 nm), a photoacid generator is used. Alternatively, the photobase generator preferably has a larger absorbance at a wavelength of 365 nm than an absorbance at a wavelength of 436 nm and / or 405 nm.
Specifically, the ratio of absorbance at a wavelength of 365 nm / absorbance at a wavelength of 436 nm or absorbance at a wavelength of 365 nm / absorbance at a wavelength of 405 nm is preferably 2 or more, more preferably 5 or more, still more preferably 10 or more, and most preferably Preferably it is 100 or more.
Here, the ultraviolet-visible absorption spectrum is measured using dichloromethane as a solvent. The measuring device is not particularly limited, and examples thereof include a Cary 4000 UV-Vis spectrophotometer (manufactured by Agilent Technologies).

光酸発生剤は、一般的に使用されているものから任意に選択できるが、例えば、ジアゾメタン化合物、トリアジン化合物、スルホン酸エステル、ジフェニルヨードニウム塩、トリフェニルスルホニウム塩、スルホニウム塩、アンモニウム塩、ホスホニウム塩、スルホンイミド化合物等が挙げられる。   The photoacid generator can be arbitrarily selected from commonly used ones, for example, diazomethane compounds, triazine compounds, sulfonic esters, diphenyliodonium salts, triphenylsulfonium salts, sulfonium salts, ammonium salts, phosphonium salts And sulfonimide compounds.

上述のものを含めて、具体的に使用できる光酸発生剤としては、4−メトキシフェニルジフェニルスルホニウムヘキサフルオロホスホネート、4−メトキシフェニルジフェニルスルホニウムヘキサフルオロアルセネート、4−メトキシフェニルジフェニルスルホニウムメタンスルホナート、4−メトキシフェニルジフェニルスルホニウムトリフルオロアセテート、トリフェニルスルホニウムテトラフルオロボレート、トリフェニルスルホニウムテトラキス(ペンタフルオロフェニル)ボラート、トリフェニルスルホニウムヘキサフルオロホスホネート、トリフェニルスルホニウムヘキサフルオロアルセネート、4−メトキシフェニルジフェニルスルホニウム−p−トルエンスルホナート、4−フェニルチオフェニルジフェニルテトラフルオロボレート、4−フェニルチオフェニルジフェニルヘキサフルオロホスホネート、トリフェニルスルホニウムメタンスルホナート、トリフェニルスルホニウムトリフルオロアセテート、トリフェニルスルホニウム−p−トルエンスルホナート、4−メトキシフェニルジフェニルスルホニウムテトラフルオロボレート、4−フェニルチオフェニルジフェニルヘキサフルオロアルセネート、4−フェニルチオフェニルジフェニルーp−トルエンスルホナート、N−(トリフルオロメチルスルホニルオキシ)スクシンイミド、N−(トリフルオロメチルスルホニルオキシ)フタルイミド、5−ノルボルネン−2,3−ジカルボキシイミジルトリフレート、5−ノルボルネン−2,3−ジカルボキシイミジル−p−トルエンスルホナート、4−フェニルチオフェニルジフェニルトリフルオロメタンスルホナート、4−フェニルチオフェニルジフェニルトリフルオロアセテート、N−(トリフルオロメチルスルホニルオキシ)ジフェニルマレイミド、N−(トリフルオロメチルスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−(トリフルオロメチルスルホニルオキシ)ナフチルイミド、N−(ノナフルオロブチルスルホニルオキシ)ナフチルイミド等を挙げることができる。
また、5−プロピルスルホニルオキシイミノ−5H−チオフェン−2−イリデン−(2−メチルフェニル)アセトニトリル、5−オクチルスルホニルオキシイミノ−5H−チオフェン−2−イリデン−(2−メチルフェニル)アセトニトリル、5−カンファースルホニルオキシイミノ−5H−チオフェン−2−イリデン−(2−メチルフェニル)アセトニトリル、5−メチルフェニルスルホニルオキシイミノ−5H−チオフェン−2−イリデン−(2−メチルフェニル)アセトニトリル等は、h線の波長領域に吸収をもつため、h線に吸収を持たせたくない場合には使用を避けるべきである。
The photoacid generators that can be specifically used, including those described above, include 4-methoxyphenyldiphenylsulfonium hexafluorophosphonate, 4-methoxyphenyldiphenylsulfonium hexafluoroarsenate, 4-methoxyphenyldiphenylsulfonium methanesulfonate, 4-methoxyphenyldiphenylsulfonium trifluoroacetate, triphenylsulfonium tetrafluoroborate, triphenylsulfonium tetrakis (pentafluorophenyl) borate, triphenylsulfonium hexafluorophosphonate, triphenylsulfonium hexafluoroarsenate, 4-methoxyphenyldiphenylsulfonium- p-toluenesulfonate, 4-phenylthiophenyldiphenyltetrafluoro Rate, 4-phenylthiophenyldiphenylhexafluorophosphonate, triphenylsulfonium methanesulfonate, triphenylsulfonium trifluoroacetate, triphenylsulfonium-p-toluenesulfonate, 4-methoxyphenyldiphenylsulfonium tetrafluoroborate, 4-phenylthio Phenyldiphenylhexafluoroarsenate, 4-phenylthiophenyldiphenyl-p-toluenesulfonate, N- (trifluoromethylsulfonyloxy) succinimide, N- (trifluoromethylsulfonyloxy) phthalimide, 5-norbornene-2,3- Dicarboxyimidyl triflate, 5-norbornene-2,3-dicarboximidyl-p-toluenesulfonate, 4-phenyl Ophenyldiphenyltrifluoromethanesulfonate, 4-phenylthiophenyldiphenyltrifluoroacetate, N- (trifluoromethylsulfonyloxy) diphenylmaleimide, N- (trifluoromethylsulfonyloxy) bicyclo [2.2.1] hept-5 -Ene-2,3-dicarboximide, N- (trifluoromethylsulfonyloxy) naphthylimide, N- (nonafluorobutylsulfonyloxy) naphthylimide and the like.
Also, 5-propylsulfonyloxyimino-5H-thiophen-2-ylidene- (2-methylphenyl) acetonitrile, 5-octylsulfonyloxyimino-5H-thiophen-2-ylidene- (2-methylphenyl) acetonitrile, 5- Campphorsulfonyloxyimino-5H-thiophen-2-ylidene- (2-methylphenyl) acetonitrile, 5-methylphenylsulfonyloxyimino-5H-thiophen-2-ylidene- (2-methylphenyl) acetonitrile, etc. Since it has absorption in the wavelength region, its use should be avoided if it is not desired to have h-line absorption.

光塩基発生剤の例としては、アミド基を有する多置換アミド化合物、ラクタム、イミド化合物もしくはその構造を含むものが挙げられる。
また、アニオンとしてアミドアニオン、メチドアニオン、ボレートアニオン、ホスフェートアニオン、スルホネートアニオン、またはカルボキシレートアニオン等を含むイオン型の光塩基発生剤も用いることができる。
Examples of the photobase generator include a polysubstituted amide compound having an amide group, a lactam, an imide compound or a compound containing the structure thereof.
In addition, an ionic photobase generator containing an amide anion, a methide anion, a borate anion, a phosphate anion, a sulfonate anion, or a carboxylate anion as the anion can also be used.

本発明において、光熱酸発生剤または光熱塩基発生剤とは、露光により化学構造が変化するが、酸または塩基を発生させず、その後、熱によって結合開裂を起こして、酸または塩基を発生する化合物のことをいう。これらのうち、光熱塩基発生剤が好ましい。光熱塩基発生剤として、以下の式(II)で表されるものが挙げられ、より好ましくはその水和物または溶媒和物が挙げられる。式(II)で表される化合物は、露光によりシス型に反転し不安定になるために、分解温度が下がり、その後の工程でベーク温度が100℃程度であっても塩基を発生させる。
光熱塩基発生剤は、ジアゾナフトキノン誘導体の吸収波長と調整する必要はない。
ここで、xは、1以上6以下の整数であり、
a’〜Rf’は、それぞれ独立に、水素、ハロゲン、ヒドロキシ、メルカプト、スルフィド、シリル、シラノール、ニトロ、ニトロソ、スルフィノ、スルホ、スルホナト、ホスフィノ、ホスフィニル、ホスホノ、ホスホナト、アミノ、アンモウム、置換基を含んでもよいC1〜20の脂肪族炭化水素基、置換基を含んでもよいC6〜22の芳香族炭化水素基、置換基を含んでもよいC1〜20のアルコキシ、または置換基を含んでもよいC6〜20のアリールオキシである。
In the present invention, a photothermal acid generator or a photothermal base generator is a compound that changes its chemical structure by exposure but does not generate an acid or a base, and then undergoes bond cleavage by heat to generate an acid or a base. Means Of these, photothermal base generators are preferred. Examples of the photothermal base generator include those represented by the following formula (II), and more preferably hydrates or solvates thereof. The compound represented by the formula (II) is inverted to the cis-type upon exposure and becomes unstable, so that the decomposition temperature is lowered, and a base is generated in a subsequent step even if the baking temperature is about 100 ° C.
The photothermal base generator does not need to be adjusted to the absorption wavelength of the diazonaphthoquinone derivative.
Here, x is an integer of 1 or more and 6 or less,
R a ′ to R f ′ each independently represent hydrogen, halogen, hydroxy, mercapto, sulfide, silyl, silanol, nitro, nitroso, sulfino, sulfo, sulfonato, phosphino, phosphinyl, phosphono, phosphonate, amino, ammonium, substituted A C 1-20 aliphatic hydrocarbon group which may contain a group, a C 6-22 aromatic hydrocarbon group which may contain a substituent, a C 1-20 alkoxy group which may contain a substituent, or a substituent. C6-20 aryloxy which may be contained.

これらのうち、Ra’〜Rd’は、特に水素、ヒドロキシ、C1〜6の脂肪族炭化水素基、またはC1〜6のアルコキシが好ましく、Re’およびRf’は、特に水素が好ましい。R1’〜R4 ’のうち2つ以上が結合して環状構造を形成していてもよい。このとき、その環状構造はヘテロ原子を含んでいてもよい。
Nは含窒素複素環の構成原子であり、その含窒素複素環は3〜10員環であり、その含窒素複素環は1つ以上の、式(II)中に示されたC2XOHと異なる置換基を含んでもよい、C1〜20、特にC1〜6の脂肪族炭化水素基をさらに有していてもよい。
Among them, R a ′ to R d ′ are particularly preferably hydrogen, hydroxy, a C 1-6 aliphatic hydrocarbon group or C 1-6 alkoxy, and R e ′ and R f ′ are particularly preferably hydrogen. Is preferred. R 1 '~R 4' 2 or more of may be bonded to form a cyclic structure. At this time, the cyclic structure may include a hetero atom.
N is a constituent atom of a nitrogen-containing heterocyclic ring, the nitrogen-containing heterocyclic ring is a 3- to 10-membered ring, and the nitrogen-containing heterocyclic ring is one or more of C x H 2X represented by the formula (II). It may further have a C 1-20 , especially C 1-6 aliphatic hydrocarbon group which may contain a substituent different from OH.

a’〜Rd’は、使用する露光波長により適宜選択することが好ましい。ディスプレイ向け用途においては、例えばg、h、i線に吸収波長をシフトさせるビニル、アルキニルなどの不飽和炭化水素結合官能基や、アルコキシ、ニトロなどが用いられ、特にメトキシ、エトキシが好ましい。 It is preferable that R a ′ to R d ′ be appropriately selected depending on the exposure wavelength to be used. For display applications, for example, unsaturated hydrocarbon bonding functional groups such as vinyl and alkynyl that shift the absorption wavelength to g, h, and i lines, and alkoxy and nitro are used, and methoxy and ethoxy are particularly preferable.

具体的には以下のものが挙げられる。
Specifically, the following are mentioned.

本発明において、熱酸発生剤または熱塩基発生剤とは、熱によって結合開裂を起こして、酸または塩基を発生する化合物のことをいう。これらは、組成物の塗布後、プリベーク時の熱では酸または塩基を発生しない、もしくは少量しか発生しないことが好ましい。
熱酸発生剤の例としては、各種脂肪族スルホン酸とその塩、クエン酸、酢酸、マレイン酸等の各種脂肪族カルボン酸とその塩、安息香酸、フタル酸等の各種芳香族カルボン酸とその塩、芳香族スルホン酸とそのアンモニウム塩、各種アミン塩、芳香族ジアゾニウム塩及びホスホン酸とその塩など、有機酸を発生する塩やエステル等を挙げることができる。熱酸発生剤の中でも特に、有機酸と有機塩基からなる塩であることが好ましく、スルホン酸と有機塩基からなる塩が更に好ましい。好ましいスルホン酸としては、p−トルエンスルホン酸、ベンゼンスルホン酸、p−ドデシルベンゼンスルホン酸、1,4−ナフタレンジスルホン酸、メタンスルホン酸、などが挙げられる。これら酸発生剤は、単独又は混合して使用することが可能である。
In the present invention, a thermal acid generator or a thermal base generator refers to a compound that generates an acid or a base by causing bond cleavage by heat. It is preferred that these do not generate an acid or a base or generate only a small amount by heat during prebaking after application of the composition.
Examples of the thermal acid generator include various aliphatic sulfonic acids and salts thereof, citric acid, acetic acid, various aliphatic carboxylic acids and salts thereof such as maleic acid, benzoic acid, various aromatic carboxylic acids such as phthalic acid and the like. Salts and esters that generate organic acids, such as salts, aromatic sulfonic acids and ammonium salts thereof, various amine salts, aromatic diazonium salts, and phosphonic acids and salts thereof, can be given. Among the thermal acid generators, a salt composed of an organic acid and an organic base is particularly preferred, and a salt composed of a sulfonic acid and an organic base is more preferred. Preferred sulfonic acids include p-toluenesulfonic acid, benzenesulfonic acid, p-dodecylbenzenesulfonic acid, 1,4-naphthalenedisulfonic acid, methanesulfonic acid, and the like. These acid generators can be used alone or in combination.

熱塩基発生剤の例としては、イミダゾール、第三級アミン、第四級アンモニウム等の塩基を発生させる化合物、これらの混合物を挙げることができる。放出される塩基の例として、N−(2−ニトロベンジルオキシカルボニル)イミダゾール、N−(3−ニトロベンジルオキシカルボニル)イミダゾール、N−(4−ニトロベンジルオキシカルボニル)イミダゾール、N−(5−メチル−2−ニトロベンジルオキシカルボニル)イミダゾール、N−(4−クロロ−2−ニトロベンジルオキシカルボニル)イミダゾールなどのイミダゾール誘導体、1,8−ジアザビシクロ[5.4.0]ウンデセン−7が挙げられる。これら塩基発生剤は、酸発生剤と同様、単独又は混合して使用することが可能である。   Examples of the thermal base generator include compounds that generate a base such as imidazole, tertiary amine, and quaternary ammonium, and mixtures thereof. Examples of the released base include N- (2-nitrobenzyloxycarbonyl) imidazole, N- (3-nitrobenzyloxycarbonyl) imidazole, N- (4-nitrobenzyloxycarbonyl) imidazole, N- (5-methyl Imidazole derivatives such as -2-nitrobenzyloxycarbonyl) imidazole and N- (4-chloro-2-nitrobenzyloxycarbonyl) imidazole; and 1,8-diazabicyclo [5.4.0] undecene-7. These base generators can be used alone or in combination, like the acid generators.

その他の添加剤としては、界面活性剤、現像液溶解促進剤、スカム除去剤、密着増強剤、重合阻害剤、消泡剤、または増感剤などが挙げられる。   Other additives include a surfactant, a developer dissolution accelerator, a scum remover, an adhesion enhancer, a polymerization inhibitor, an antifoaming agent, and a sensitizer.

界面活性剤は塗布性を改善することができるため、用いることが好ましい。本発明におけるポリシロキサン組成物に使用することのできる界面活性剤としては、例えば非イオン系界面活性剤、アニオン系界面活性剤、両性界面活性剤などが挙げられる。   Surfactants are preferred because they can improve coatability. Examples of the surfactant that can be used in the polysiloxane composition according to the present invention include a nonionic surfactant, an anionic surfactant, and an amphoteric surfactant.

上記非イオン系界面活性剤としては、例えば、ポリオキシエチレンラウリルエーテル、ポリオキシエチレンオレイルエーテル、ポリオキシエチレンセチルエーテルなどのポリオキシエチレンアルキルエーテル類やポリオキシエチレン脂肪酸ジエステル、ポリオキシエチレン脂肪酸モノエステル、ポリオキシエチレンポリオキシプロピレンブロックポリマー、アセチレンアルコール、アセチレングリコール、アセチレンアルコールのポリエトキシレートなどのアセチレンアルコール誘導体、アセチレングリコールのポリエトキシレートなどのアセチレングリコール誘導体、フッ素含有界面活性剤、例えばフロラード(商品名、スリーエム株式会社製)、メガファック(商品名、DIC株式会社製)、スルフロン(商品名、旭硝子株式会社製)、又は有機シロキサン界面活性剤、例えばKP341(商品名、信越化学工業株式会社製)などが挙げられる。前記アセチレングリコールとしては、3−メチル−1−ブチン−3−オール、3−メチル−1−ペンチン−3−オール、3,6−ジメチル−4−オクチン−3,6−ジオール、2,4,7,9−テトラメチル−5−デシン−4,7−ジオール、3,5−ジメチル−1−ヘキシン−3−オール、2,5−ジメチル−3−ヘキシン−2,5−ジオール、2,5−ジメチル−2,5−ヘキサンジオールなどが挙げられる。   Examples of the nonionic surfactant include polyoxyethylene lauryl ether, polyoxyethylene oleyl ether, polyoxyethylene alkyl ethers such as polyoxyethylene cetyl ether, polyoxyethylene fatty acid diester, and polyoxyethylene fatty acid monoester. , Polyoxyethylene polyoxypropylene block polymers, acetylene alcohol, acetylene glycol, acetylene alcohol derivatives such as polyethoxylate of acetylene alcohol, acetylene glycol derivatives such as polyethoxylate of acetylene glycol, fluorine-containing surfactants such as Florard (products Name, manufactured by 3M Co., Ltd.), Mega Fac (product name, manufactured by DIC Corporation), Sulfuron (product name, manufactured by Asahi Glass Co., Ltd.), The organosiloxane surfactants such as KP341 (trade name, manufactured by Shin-Etsu Chemical Co., Ltd.). Examples of the acetylene glycol include 3-methyl-1-butyn-3-ol, 3-methyl-1-pentyn-3-ol, 3,6-dimethyl-4-octyne-3,6-diol, and 2,4. 7,9-tetramethyl-5-decyne-4,7-diol, 3,5-dimethyl-1-hexyn-3-ol, 2,5-dimethyl-3-hexyne-2,5-diol, 2,5 -Dimethyl-2,5-hexanediol and the like.

またアニオン系界面活性剤としては、アルキルジフェニルエーテルジスルホン酸のアンモニウム塩又は有機アミン塩、アルキルジフェニルエーテルスルホン酸のアンモニウム塩又は有機アミン塩、アルキルベンゼンスルホン酸のアンモニウム塩又は有機アミン塩、ポリオキシエチレンアルキルエーテル硫酸のアンモニウム塩又は有機アミン塩、アルキル硫酸のアンモニウム塩又は有機アミン塩などが挙げられる。   Examples of the anionic surfactant include ammonium or organic amine salts of alkyl diphenyl ether disulfonic acid, ammonium or organic amine salts of alkyl diphenyl ether sulfonic acid, ammonium or organic amine salts of alkyl benzene sulfonic acid, and polyoxyethylene alkyl ether sulfate. And ammonium salts or organic amine salts of alkyl sulfates.

さらに両性界面活性剤としては、2−アルキル−N−カルボキシメチル−N−ヒドロキシエチルイミダゾリウムベタイン、ラウリル酸アミドプロピルヒドロキシスルホンベタインなどが挙げられる。   Further, examples of the amphoteric surfactant include 2-alkyl-N-carboxymethyl-N-hydroxyethylimidazolium betaine, amide amidopropylhydroxysulfone betaine and the like.

これら界面活性剤は、単独で又は2種以上混合して使用することができ、その配合比は、組成物の総質量に対し、通常50〜10,000ppm、好ましくは100〜5,000ppmである。   These surfactants can be used alone or in combination of two or more, and the compounding ratio is usually 50 to 10,000 ppm, preferably 100 to 5,000 ppm, based on the total mass of the composition. .

現像液溶解促進剤、またはスカム除去剤は、形成される塗布膜の現像液に対する溶解性を調整し、また現像後に基板上にスカムが残留するのを防止する作用を有するものである。このような添加剤として、クラウンエーテルを用いることができる。
その添加量はポリシロキサンの総質量100質量部に対して、0.05〜15質量部が好ましく、さらに0.1〜10質量部が好ましい。
The developer dissolution accelerator or scum remover has an effect of adjusting the solubility of the formed coating film in the developer and preventing scum from remaining on the substrate after development. Crown ether can be used as such an additive.
The amount added is preferably 0.05 to 15 parts by mass, more preferably 0.1 to 10 parts by mass, based on 100 parts by mass of the total mass of the polysiloxane.

また、必要に応じ増感剤を添加することができる。クマリン、ケトクマリンおよびそれらの誘導体、アセトフェノン類、並びにピリリウム塩およびチオピリリウム塩などの増感色素、アントラセン骨格含有化合物が挙げられる。   Further, a sensitizer can be added as needed. Coumarins, ketocoumarins and their derivatives, acetophenones, and sensitizing dyes such as pyrylium salts and thiopyrylium salts, and compounds containing an anthracene skeleton.

増感剤を使用する場合、その添加量はポリシロキサンの総質量100質量部に対して、0.01〜5質量部が好ましい。   When a sensitizer is used, its addition amount is preferably 0.01 to 5 parts by mass based on 100 parts by mass of the total mass of the polysiloxane.

重合阻害剤として、ニトロン、ニトロキシドラジカル、ヒドロキノン、カテコール、フェノチアジン、フェノキサジン、ヒンダードアミンおよびこれらの誘導体の他、紫外線吸収剤を添加することが出来る。その添加量はポリシロキサンの総質量100質量部に対して、0.01〜20質量部とすることが好ましい。   As a polymerization inhibitor, an ultraviolet absorber can be added in addition to nitrone, nitroxide radical, hydroquinone, catechol, phenothiazine, phenoxazine, hindered amine and derivatives thereof. The addition amount is preferably 0.01 to 20 parts by mass based on 100 parts by mass of the total mass of the polysiloxane.

消泡剤としては、アルコール(C18)、オレイン酸やステアリン酸等の高級脂肪酸、グリセリンモノラウリレート等の高級脂肪酸エステル、ポリエチレングリコール(PEG)(Mn200〜10,000)、ポリプロピレングリコール(PPG)(Mn200〜10,000)等のポリエーテル、ジメチルシリコーンオイル、アルキル変性シリコーンオイル、フルオロシリコーンオイル等のシリコーン化合物、および有機シロキサン系界面活性剤が挙げられる。これらは単独または複数を組み合わせて使用することができ、その添加量はポリシロキサンの総質量100質量部に対して、0.1〜3質量部とすることが好ましい。 As the antifoaming agent, an alcohol (C 1 ~ 18), higher fatty acids such as oleic acid and stearic acid, higher fatty acid esters such as glycerol monolaurate, polyethylene glycol (PEG) (Mn200~10,000), polypropylene glycol ( Examples thereof include polyethers such as PPG) (Mn 200 to 10,000), silicone compounds such as dimethyl silicone oil, alkyl-modified silicone oil and fluorosilicone oil, and organosiloxane surfactants. These can be used alone or in combination of two or more, and the addition amount is preferably 0.1 to 3 parts by mass based on 100 parts by mass of the total mass of the polysiloxane.

密着増強剤は、本発明による組成物を用いて硬化膜を形成させたときに、硬化後にかかる応力によりパターンが剥がれることを防ぐ効果を有する。密着増強剤としては、イミダゾール類やシランカップリング剤などが好ましい。   The adhesion enhancer has an effect of preventing a pattern from peeling off due to stress applied after curing when a cured film is formed using the composition according to the present invention. As the adhesion enhancer, imidazoles, silane coupling agents and the like are preferable.

これらのその他の添加剤は単独または複数を組み合わせて使用することができ、その添加量はアクリル重合化ポリシロキサンの総質量100質量部に対して、20質量部以下、好ましくは0.05〜15質量部である。   These other additives can be used alone or in combination of two or more, and the addition amount is 20 parts by mass or less, preferably 0.05 to 15 parts by mass, based on 100 parts by mass of the total mass of the acrylic polymerized polysiloxane. Parts by weight.

<硬化膜の製造方法>
本発明による硬化膜は、以下の工程:
(1)本発明による組成物を基板に塗布して組成物層を形成させること、
(2)前記組成物層に露光すること、
(3)アルカリ現像液で現像し、パターンを形成させること、および
(4)得られたパターンを加熱すること
を含んでなる。
工程順に説明すると以下の通りである。
<Production method of cured film>
The cured film according to the present invention comprises the following steps:
(1) applying the composition according to the present invention to a substrate to form a composition layer;
(2) exposing the composition layer,
(3) developing with an alkali developer to form a pattern, and (4) heating the obtained pattern.
It is as follows if it demonstrates in order of a process.

(1)塗布工程
まず、前記した組成物を基板に塗布する。本発明における組成物の塗膜の形成は、感光性組成物の塗布方法として従来知られた任意の方法により行うことができる。具体的には、浸漬塗布、ロールコート、バーコート、刷毛塗り、スプレーコート、ドクターコート、フローコート、スピンコート、およびスリット塗布等から任意に選択することができる。
また組成物を塗布する基材としては、シリコン基板、ガラス基板、樹脂フィルム等の適当な基材を用いることができる。これらの基材には、必要に応じて各種の半導体素子などが形成されていてもよい。基材がフィルムである場合には、グラビア塗布も利用可能である。所望により塗膜後に乾燥工程を別に設けることもできる。また、必要に応じて塗布工程を1回または2回以上繰り返して、形成される塗膜の膜厚を所望のものとすることができる。
(1) Coating Step First, the above-described composition is applied to a substrate. The coating film of the composition in the present invention can be formed by any method conventionally known as a method for applying a photosensitive composition. Specifically, it can be arbitrarily selected from dip coating, roll coating, bar coating, brush coating, spray coating, doctor coating, flow coating, spin coating, slit coating, and the like.
As a substrate on which the composition is applied, a suitable substrate such as a silicon substrate, a glass substrate, a resin film, or the like can be used. Various semiconductor elements and the like may be formed on these substrates as needed. If the substrate is a film, gravure coating can also be used. If desired, a drying step can be separately provided after the coating. In addition, the coating step can be repeated one or more times as necessary to make the thickness of the formed coating film desired.

組成物を塗布することにより、塗膜を形成させた後、その塗膜を乾燥させ、且つ塗膜中の溶剤残存量を減少させるため、その塗膜をプリベーク(前加熱処理)することが好ましい。プリベーク工程は、一般に70〜150℃、好ましくは90〜120℃の温度で、ホットプレートによる場合には10〜300秒間、好ましくは30〜120秒間、クリーンオーブンによる場合には1〜30分間実施することができる。   After forming the coating film by applying the composition, it is preferable to dry the coating film and to pre-bake (preheat) the coating film in order to reduce the residual amount of the solvent in the coating film. . The pre-bake step is generally performed at a temperature of 70 to 150 ° C., preferably 90 to 120 ° C., for 10 to 300 seconds, preferably 30 to 120 seconds when using a hot plate, and for 1 to 30 minutes when using a clean oven. be able to.

(2)露光工程
塗膜を形成させた後、その塗膜表面に光照射を行う。なお、この工程を後述する全面露光と区別するために、最初の露光ということがある。光照射に用いる光源は、パターン形成方法に従来使用されている任意のものを用いることができる。このような光源としては、高圧水銀灯、低圧水銀灯、メタルハライド、キセノン等のランプやレーザーダイオード、LED等を挙げることができる。照射光としてはg線、h線、i線などの紫外線が通常用いられる。半導体のような超微細加工を除き、数μmから数十μmのパターニングでは360〜430nmの光(高圧水銀灯)を使用することが一般的である。中でも、液晶表示装置の場合には430nmの光を使用することが多い。このような場合に、本発明による組成物に増感色素を組み合わせると有利であることは上述した通りである。
照射光のエネルギーは、光源や塗膜の膜厚にもよるが、一般に5〜2,000mJ/cm、好ましくは10〜1,000mJ/cmとする。照射光エネルギーが5mJ/cmよりも低いと十分な解像度が得られないことがあり、反対に2,000mJ/cmよりも高いと、露光過多となり、ハレーションの発生を招く場合がある。
(2) Exposure Step After forming the coating film, the coating film surface is irradiated with light. Note that this step is sometimes referred to as first exposure in order to distinguish this step from the overall exposure described below. As a light source used for light irradiation, any light source conventionally used in a pattern forming method can be used. Examples of such a light source include high-pressure mercury lamps, low-pressure mercury lamps, lamps such as metal halides and xenon, laser diodes, and LEDs. Ultraviolet rays such as g-rays, h-rays and i-rays are usually used as the irradiation light. Except for ultra-fine processing such as a semiconductor, it is common to use light (high-pressure mercury lamp) of 360 to 430 nm in patterning of several μm to several tens μm. Above all, in the case of a liquid crystal display device, light of 430 nm is often used. As described above, in such a case, it is advantageous to combine a sensitizing dye with the composition according to the present invention.
The energy of the irradiation light, depending on the thickness of the light source and the coating film, generally 5~2,000mJ / cm 2, preferably a 10~1,000mJ / cm 2. If the irradiation light energy is lower than 5 mJ / cm 2 , a sufficient resolution may not be obtained. On the other hand, if the irradiation light energy is higher than 2,000 mJ / cm 2 , overexposure may occur and halation may occur.

光をパターン状に照射するためには一般的なフォトマスクを使用することができる。そのようなフォトマスクは周知のものから任意に選択することができる。照射の際の環境は、特に限定されないが、一般に周囲雰囲気(大気中)や窒素雰囲気とすればよい。また、基板表面全面に膜を形成する場合には、基板表面全面に光照射すればよい。本発明においては、パターン膜とは、このような基板表面全面に膜が形成された場合をも含むものである。   A general photomask can be used to irradiate light in a pattern. Such a photomask can be arbitrarily selected from well-known ones. The environment at the time of irradiation is not particularly limited, but generally may be an ambient atmosphere (in the air) or a nitrogen atmosphere. When a film is formed on the entire surface of the substrate, light may be irradiated on the entire surface of the substrate. In the present invention, the pattern film includes a case where the film is formed on the entire surface of the substrate.

(3)現像工程
露光後、塗膜を現像処理する。現像の際に用いられる現像液としては、従来、感光性組成物の現像に用いられている任意の現像液を用いることができる。現像液は、有機現像液と、無機現像液とがあり、有機現像液の例としては、TMAH水溶液、テトラブチルアンモニウムヒドロキシド水溶液、メチルイソブチルケトン、イソプロピルアルコールが挙げられ、好ましくはTMAH水溶液であり、さらに好ましくは2.38質量%TMAH水溶液である。無機現像液としては、アルカリ金属塩が挙げられ、好ましくは水酸化カリウム水溶液、または水酸化ナトリウム水溶液、炭酸ナトリウム水溶液、重炭酸ナトリウム水溶液、ケイ酸ナトリウム水溶液、メタケイ酸ナトリウム水溶液、アンモニア水であり、特に好ましくは水酸化カリウム水溶液である。水酸化カリウム水溶液を用いる場合、この濃度は、好ましくは0.1〜3.0質量%であり、より好ましくは0.5〜2.0質量%である。これらの現像液には、必要に応じ更にメタノール、エタノールなどの水溶性有機溶剤、あるいは界面活性剤が含まれていてもよい。
現像方法も従来知られている方法から任意に選択することができる。具体的には、現像液への浸漬(ディップ)、パドル、シャワー、スリット、キャップコート、スプレーなどの方法挙げられる。現像温度は、好ましくは常温(20〜25℃)であるが、30〜50℃に加熱してもよい。現像時間は好ましくは15〜180秒、より好ましくは30〜60秒である。この現像によって、パターンを得ることができ、現像液により現像が行われた後には、リンス(水洗)がなされることが好ましい。
(3) Development Step After exposure, the coating film is developed. As a developer used in the development, any developer conventionally used for developing a photosensitive composition can be used. The developer includes an organic developer and an inorganic developer. Examples of the organic developer include a TMAH aqueous solution, a tetrabutylammonium hydroxide aqueous solution, methyl isobutyl ketone, and isopropyl alcohol, and preferably a TMAH aqueous solution. And more preferably a 2.38% by mass aqueous TMAH solution. Examples of the inorganic developer include alkali metal salts, preferably aqueous potassium hydroxide, or aqueous sodium hydroxide, aqueous sodium carbonate, aqueous sodium bicarbonate, aqueous sodium silicate, aqueous sodium metasilicate, and aqueous ammonia. Particularly preferred is an aqueous solution of potassium hydroxide. When an aqueous potassium hydroxide solution is used, the concentration is preferably 0.1 to 3.0% by mass, and more preferably 0.5 to 2.0% by mass. These developers may further contain a water-soluble organic solvent such as methanol or ethanol, or a surfactant, if necessary.
The developing method can also be arbitrarily selected from conventionally known methods. Specific examples include methods such as immersion (dip) in a developer, paddle, shower, slit, cap coat, and spray. The development temperature is preferably room temperature (20 to 25C), but may be heated to 30 to 50C. The development time is preferably 15 to 180 seconds, more preferably 30 to 60 seconds. A pattern can be obtained by this development, and it is preferable that rinsing (washing) is performed after the development with the developing solution.

リンスは、水を用いることが好ましく、現像同様の方法で行うことができ、60秒間以上シャワーすることが好ましい。   The rinsing is preferably performed using water, and can be performed in the same manner as the development, and it is preferable to perform showering for 60 seconds or more.

現像(必要に応じてリンス)後、全面露光の工程を行うことが一般的である。上記したように、この全面露光を行うことで、硬化膜のしわ形成を抑制できるからである。このほかに、全面露光をすることで、膜中に残存する未反応のジアゾナフトキノン誘導体が光分解して、膜の光透明性がさらに向上するので、透明性を求める場合は、全面露光工程を行うことが好ましい。全面露光の方法としては、PLA(例えば、キヤノン製PLA−501F)などの紫外可視露光機を用い、100〜2000mJ/cm程度(波長365nm露光量換算)を全面に露光する方法がある。
本発明による組成物を用いた場合には、全面露光を行わなくても、しわの抑制が可能であるため、過度の透明性を必要としない場合は、全面露光をおこなわなくてよい。
After the development (rinse if necessary), it is general to carry out a step of overall exposure. As described above, by performing the entire surface exposure, it is possible to suppress the formation of wrinkles in the cured film. In addition, by performing the entire surface exposure, the unreacted diazonaphthoquinone derivative remaining in the film is photolyzed, and the light transparency of the film is further improved. It is preferred to do so. As an entire surface exposure method, there is a method of exposing the entire surface to about 100 to 2000 mJ / cm 2 (equivalent to a wavelength of 365 nm) using an ultraviolet-visible exposure apparatus such as PLA (for example, PLA-501F manufactured by Canon Inc.).
When the composition according to the present invention is used, the wrinkles can be suppressed without performing the entire surface exposure. Therefore, when the excessive transparency is not required, the entire surface exposure need not be performed.

(4)硬化工程
現像後、得られたパターン膜を加熱することにより硬化させる。この工程における加熱温度としては、塗膜の硬化が行える温度であれば特に限定されず、任意に定めることができる。ただし、シラノール基が残存すると、硬化膜の薬品耐性が不十分となったり、硬化膜の誘電率が高くなることがある。このような観点から加熱温度は一般的には相対的に高い温度が選択される。具体的には360℃以下で加熱することで硬化させることが好ましく、硬化後の残膜率を高く保つために、硬化温度は300℃以下であることがより好ましく、250℃以下であることが特に好ましい。一方で、硬化反応を促進し、十分な硬化膜を得るために、硬化温度は70℃以上であることが好ましく、90℃以上がより好ましく、100℃以上が特に好ましい。また、加熱時間は特に限定されず、一般に10分〜24時間、好ましくは30分〜3時間とされる。なお、この加熱時間は、パターン膜の温度が所望の加熱温度に達してからの時間である。通常、加熱前の温度からパターン膜が所望の温度に達するまでには数分から数時間程度要する。
(4) Curing Step After the development, the obtained pattern film is cured by heating. The heating temperature in this step is not particularly limited as long as the coating film can be cured, and can be arbitrarily determined. However, if the silanol group remains, the cured film may have insufficient chemical resistance or the cured film may have a high dielectric constant. From such a viewpoint, a relatively high temperature is generally selected as the heating temperature. Specifically, it is preferable to cure by heating at 360 ° C. or less, and in order to maintain a high residual film ratio after curing, the curing temperature is more preferably 300 ° C. or less, and preferably 250 ° C. or less. Particularly preferred. On the other hand, in order to accelerate the curing reaction and obtain a sufficient cured film, the curing temperature is preferably 70 ° C. or higher, more preferably 90 ° C. or higher, and particularly preferably 100 ° C. or higher. The heating time is not particularly limited, and is generally 10 minutes to 24 hours, preferably 30 minutes to 3 hours. The heating time is a time after the temperature of the pattern film reaches a desired heating temperature. Usually, it takes several minutes to several hours for the pattern film to reach a desired temperature from the temperature before heating.

本発明による組成物を用いることで、この硬化工程で、硬化膜の表面に発生するしわの発生を抑制できる。ここで、しわとは、硬化膜のパターン部近傍または離れたところに発生する凹凸のことをいう。図1に、パターン表面に形成される典型的なしわの電子顕微鏡写真を示す。
しわ無し(図1(P))、小さなしわ(図1(Q))、大きなしわ(図1(R))の違いのおおよその目安は、触針式表面測定装置(Daektak)で硬化後のパターンから離れたところでパターンとは被らない膜表面を力3mgで1.5cmの距離を50秒間かけて測定すると、表面の凹凸は、しわ無しでは、段差30nm未満程度であり、小さなしわでは、段差30nm以上100nm以下程度であり、大きなしわでは、段差100nmより大きいものをいう。
By using the composition according to the present invention, it is possible to suppress the occurrence of wrinkles generated on the surface of the cured film in this curing step. Here, the wrinkle refers to unevenness generated near or away from the pattern portion of the cured film. FIG. 1 shows an electron micrograph of a typical wrinkle formed on the pattern surface.
The rough guide of the difference between no wrinkles (FIG. 1 (P)), small wrinkles (FIG. 1 (Q)), and large wrinkles (FIG. 1 (R)) is obtained by curing with a stylus type surface measuring device (Daektak). When the film surface which does not cover the pattern at a distance from the pattern is measured at a force of 3 mg and a distance of 1.5 cm over a period of 50 seconds, the unevenness of the surface is less than about 30 nm without wrinkles. The step is about 30 nm or more and 100 nm or less, and a large wrinkle means a step larger than 100 nm.

こうして得られた硬化膜は、優れた平坦性、電気的絶縁特性等を達成することができる。例えば比誘電率も4以下を達成することができる。このため、フラットパネルディスプレー(FPD)など、前記したような各種素子の平坦化膜、低温ポリシリコン用層間絶縁膜あるいはICチップ用バッファーコート膜、透明保護膜などとして多方面で好適に利用することができる。   The cured film thus obtained can achieve excellent flatness, electrical insulation properties, and the like. For example, a relative dielectric constant of 4 or less can be achieved. Therefore, it can be suitably used in various fields as a flattening film for various elements such as a flat panel display (FPD), an interlayer insulating film for low-temperature polysilicon, a buffer coat film for an IC chip, and a transparent protective film. Can be.

以下に実施例、比較例を挙げて本発明をさらに具体的に説明するが、本発明はこれら実施例、比較例により何ら限定されるものではない。   Hereinafter, the present invention will be described more specifically with reference to examples and comparative examples, but the present invention is not limited to these examples and comparative examples.

ゲル浸透クロマトグラフィー(GPC)は、HLC−8220GPC型高速GPCシステム(商品名、東ソー株式会社製)およびSuper Multipore HZ−N型GPCカラム(商品名、東ソー株式会社製)2本を用いて測定した。測定は、単分散ポリスチレンを標準試料とし、テトラヒドロフランを展開溶媒として、流量0.6ミリリットル/分、カラム温度40℃の分析条件で行った。   Gel permeation chromatography (GPC) was measured using two HLC-8220GPC high-speed GPC systems (trade name, manufactured by Tosoh Corporation) and two Super Multipore HZ-N type GPC columns (trade name, manufactured by Tosoh Corporation). . The measurement was performed using monodisperse polystyrene as a standard sample, tetrahydrofuran as a developing solvent, and analysis conditions at a flow rate of 0.6 ml / min and a column temperature of 40 ° C.

<合成例1(ポリシロキサンPa−1の合成)>
撹拌機、温度計、冷却管を備えた2Lのフラスコに、25質量%TMAH水溶液49.0g、イソプロピルアルコール(IPA)600ml、水4.0gを仕込み、次いで滴下ロート中にメチルトリメトキシシラン68.0g、フェニルトリメトキシシラン79.2g、およびテトラメトキシシラン15.2gの混合溶液を調製した。その混合溶液を40℃にて滴下し、同温で2時間撹拌した後、10質量%HCl水溶液を加え中和した。中和液にトルエン400ml、水600mlを添加し、2相に分離させ、水相を除去した。さらに300mlの水にて3回洗浄し、得られた有機相を減圧下濃縮することで溶媒を除去し、濃縮物に固形分濃度35質量%なるようにPGMEAを添加調整した。
得られたポリシロキサンの分子量(ポリスチレン換算)をゲル浸透クロマトグラフィにて測定したところ、質量平均分子量(以下「Mw」と略記することがある)は1,700であった。また、得られた樹脂溶液をシリコンウェハーにプリベーク後の膜厚が2μmになるようにスピンコーター(MS−A100(ミカサ製))により塗布し、プリベーク後2.38質量%TMAH水溶液に対する溶解速度(以下「ADR」と略記することがある。)を測定したところ、1,200Å/秒であった。
<Synthesis Example 1 (Synthesis of Polysiloxane Pa-1)>
A 2 L flask equipped with a stirrer, a thermometer, and a condenser was charged with 49.0 g of a 25% by mass aqueous TMAH solution, 600 ml of isopropyl alcohol (IPA), and 4.0 g of water, and then methyltrimethoxysilane was added to a dropping funnel. A mixed solution of 0 g, 79.2 g of phenyltrimethoxysilane, and 15.2 g of tetramethoxysilane was prepared. The mixed solution was added dropwise at 40 ° C., stirred at the same temperature for 2 hours, and neutralized by adding a 10% by mass aqueous solution of HCl. 400 ml of toluene and 600 ml of water were added to the neutralized solution, separated into two phases, and the aqueous phase was removed. Further, the resultant was washed three times with 300 ml of water, the obtained organic phase was concentrated under reduced pressure to remove the solvent, and PGMEA was added to the concentrate to adjust to a solid concentration of 35% by mass.
When the molecular weight (in terms of polystyrene) of the obtained polysiloxane was measured by gel permeation chromatography, the weight average molecular weight (hereinafter sometimes abbreviated as “Mw”) was 1,700. Further, the obtained resin solution was applied to a silicon wafer by a spin coater (MS-A100 (manufactured by Mikasa)) so that the film thickness after prebaking was 2 μm, and after the prebaking, the dissolution rate in a 2.38% by mass TMAH aqueous solution ( Hereinafter, it may be abbreviated as “ADR”.) Was 1,200 ° / sec.

<合成例2(ポリシロキサンPa−2の合成)>
TMAH水溶液を32.5gに変更した他は合成例1と同様に合成した。
得られたポリシロキサンPa−2は、Mw=2500であり、プリベーク後の5質量%TMAH水溶液に対するADR=300であった。
<Synthesis Example 2 (Synthesis of Polysiloxane Pa-2)>
Synthesis was performed in the same manner as in Synthesis Example 1 except that the amount of the TMAH aqueous solution was changed to 32.5 g.
The obtained polysiloxane Pa-2 had Mw = 2500 and ADR = 300 based on a 5% by mass aqueous TMAH solution after prebaking.

<合成例3(ポリシロキサンPb−1の合成)>
撹拌機、温度計、冷却管を備えた2Lのフラスコに、25質量%TMAH水溶液102g、IPA600ml、水4.0gを仕込み、次いで滴下ロートにメチルトリメトキシシラン68.0g、フェニルトリメトキシシラン79.2g、ビス(トリエトキシシリル)メタン68.1gの混合溶液を調製した。その混合溶液を40℃にて滴下し、同温で2時間撹拌した後、10質量%HCl水溶液を加え中和した。中和液にトルエン400ml、水600mlを添加し、2相に分離させ、水相を除去した。さらに400mlの水にて3回洗浄し、得られた有機相を減圧下濃縮することで溶媒を除去し、濃縮物に固形分濃度35質量%なるようにPGMEAを添加調整した。
得られたポリシロキサンPb−1のMw=6,500、プリベーク後の2.38質量%TMAH水溶液に対するADR=3,300Å/秒であった。
<Synthesis Example 3 (Synthesis of Polysiloxane Pb-1)>
A 2 L flask equipped with a stirrer, a thermometer, and a condenser was charged with 102 g of a 25% by mass aqueous TMAH solution, 600 ml of IPA, and 4.0 g of water, and then 68.0 g of methyltrimethoxysilane and phenyltrimethoxysilane were added to a dropping funnel. A mixed solution of 2 g and 68.1 g of bis (triethoxysilyl) methane was prepared. The mixed solution was added dropwise at 40 ° C., stirred at the same temperature for 2 hours, and neutralized by adding a 10% by mass aqueous solution of HCl. 400 ml of toluene and 600 ml of water were added to the neutralized solution, separated into two phases, and the aqueous phase was removed. Further, the resultant was washed three times with 400 ml of water, the obtained organic phase was concentrated under reduced pressure to remove the solvent, and PGMEA was added to the concentrate to adjust the solid content to 35% by mass.
The Mw of the obtained polysiloxane Pb-1 was 6,500, and the ADR with respect to the 2.38% by mass aqueous TMAH solution after prebaking was 3,300 ° / sec.

<合成例4(ポリシロキサンPb−2の合成)>
撹拌機、温度計、冷却管を備えた2Lのフラスコに、25質量%TMAH水溶液102g、イソプロピルアルコール(IPA)600ml、水4.0gを仕込み、次いで滴下ロートにメチルトリメトキシシラン68.0g、フェニルトリメトキシシラン79.2g、ビス(トリメトキシシリル)エタン54.0gの混合溶液を調製した。その混合溶液を40℃にて滴下し、同温で2時間撹拌した後、10質量%HCl水溶液を加え中和した。中和液にトルエン400ml、水600mlを添加し、2相に分離させ、水相を除去した。さらに400mlの水にて3回洗浄し、得られた有機相を減圧下濃縮することで溶媒を除去し、濃縮物に固形分濃度35質量%なるようにPGMEAを添加調整した。
得られたポリシロキサンのMw=9,000、プリベーク後の2.38質量%TMAH水溶液に対するADR=2,600Å/秒であった。
また、ポリシロキサン全体の、プリベーク後の2.38質量%TMAH水溶液に対するADRは以下の通りであった。
ポリシロキサンPa−1:Pa−2:Pb−1=40:10:50のADR=1,800Å/秒
ポリシロキサンPa−1:Pa−2:Pb−2=40:10:50のADR=1,600Å/秒
ポリシロキサンPa−1:Pa−2=90:10のADR=900Å/秒
<Synthesis Example 4 (Synthesis of Polysiloxane Pb-2)>
A 2 L flask equipped with a stirrer, a thermometer, and a cooling tube was charged with 102 g of a 25% by mass TMAH aqueous solution, 600 ml of isopropyl alcohol (IPA), and 4.0 g of water, and then 68.0 g of methyltrimethoxysilane and phenyl in a dropping funnel. A mixed solution of 79.2 g of trimethoxysilane and 54.0 g of bis (trimethoxysilyl) ethane was prepared. The mixed solution was added dropwise at 40 ° C., stirred at the same temperature for 2 hours, and neutralized by adding a 10% by mass aqueous solution of HCl. 400 ml of toluene and 600 ml of water were added to the neutralized solution, separated into two phases, and the aqueous phase was removed. Further, the resultant was washed three times with 400 ml of water, the obtained organic phase was concentrated under reduced pressure to remove the solvent, and PGMEA was added to the concentrate to adjust the solid content to 35% by mass.
The Mw of the obtained polysiloxane was 9,000, and the ADR with respect to the 2.38% by mass aqueous TMAH solution after prebaking was 2,600 ° / sec.
The ADR of the entire polysiloxane with respect to the 2.38% by mass TMAH aqueous solution after prebaking was as follows.
ADR of polysiloxane Pa-1: Pa-2: Pb-1 = 40: 10: 50 = 1,800 ° / sec.ADR of polysiloxane Pa-1: Pa-2: Pb-2 = 40: 10: 50 = 1 , 600 ° / second ADR of polysiloxane Pa-1: Pa-2 = 90: 10 = 900 ° / second

<実施例101〜114および比較例101〜108(ポジ型感光性ポリシロキサン組成物の調製)>
以下の表1に示す化合物を含み、残部はPGMEAである、実施例101〜114および比較例101〜108のポジ型感光性ポリシロキサン組成物を調製した。
表中、
ジアゾナフトキノン誘導体:4,4’−(1−(4−(1−(4−ヒドロキシフェニル)−1−メチルエチル)フェニル)エチリデン)ビスフェノールのジアゾナフトキノン2.0モル変性体
界面活性剤:KF−53、信越化学工業株式会社製
である。
また、「−」は添加量がゼロであったことを意味する。
<Examples 101 to 114 and Comparative Examples 101 to 108 (Preparation of Positive Photosensitive Polysiloxane Composition)>
Positive photosensitive polysiloxane compositions of Examples 101 to 114 and Comparative Examples 101 to 108 containing the compounds shown in Table 1 below and the balance being PGMEA were prepared.
In the table,
Diazonaphthoquinone derivative: 2.0 mol modified diazonaphthoquinone of 4,4 '-(1- (4- (1- (4-hydroxyphenyl) -1-methylethyl) phenyl) ethylidene) bisphenol Surfactant: KF- 53, manufactured by Shin-Etsu Chemical Co., Ltd.
"-" Means that the addition amount was zero.

<シワの評価>
感度評価で得られた最適露光量で露光した、硬化後の表面のシワの状態を目視により観察し、評価した。評価基準は、以下のとおりとし、評価結果は表1に記載したとおりである。
A:表面にシワが確認されなかった
B:表面に小さなシワが確認されたが、シワが確認されない部分が80%以上あった
C:表面の小さなシワが確認され、シワが確認されない部分は80%未満であった
D:表面に、大きなシワが確認された
なお、シワが確認されないパターン(P)、小さなシワがあるパターン(Q)、および大きなシワがあるパターン(R)の、それぞれ典型的な電子顕微鏡写真を図1に示す。
<Evaluation of wrinkles>
The state of wrinkles on the surface after curing, which was exposed at the optimum exposure amount obtained in the sensitivity evaluation, was visually observed and evaluated. The evaluation criteria are as follows, and the evaluation results are as described in Table 1.
A: No wrinkles were found on the surface B: Small wrinkles were found on the surface, but 80% or more of the portions were not wrinkled C: Small wrinkles were found on the surface, and 80 wrinkles were not found %: D: large wrinkles were observed on the surface. Typical patterns of a pattern (P) in which no wrinkles were observed, a pattern (Q) with small wrinkles, and a pattern (R) with large wrinkles. An electron micrograph is shown in FIG.

<パターン形状の評価>
感度評価で得られた最適露光量で露光した、硬化後のパターンの形状を走査型電子顕微鏡(SEM)を用いて観察し、評価した。評価基準は以下のとおりとし、評価結果は表1に記載したとおりである。
X:形成されたパターンの角が丸みを大きく帯びていた
Y:形成されたパターンの角が丸みを帯びていた
Z:形成されたパターンの角が丸みを帯びていなかった
V:抜けたパターンがマスクサイズよりも小さかった
W:パターンが形成されなかった
なお、上記の各形状に対応する、それぞれ典型的な電子顕微鏡写真を、図2に示す。
<Evaluation of pattern shape>
The shape of the cured pattern exposed at the optimal exposure amount obtained in the sensitivity evaluation was observed and evaluated using a scanning electron microscope (SEM). The evaluation criteria are as follows, and the evaluation results are as described in Table 1.
X: The corners of the formed pattern were largely rounded Y: The corners of the formed pattern were rounded Z: The corners of the formed pattern were not rounded V: The missing pattern was W smaller than the mask size: no pattern was formed. Note that FIG. 2 shows typical electron micrographs corresponding to each of the above shapes.

<感度の評価>
実施例101〜105の組成物を、スピンコートにより、プリベーク後の膜厚が1.6μmになるように塗布した。得られた塗膜を110℃で90秒間プリベークして溶剤を揮発させた。その後、g+h線マスクアライナー(FX−604F型、株式会社ニコン製)により、最適露光量で、サイズ5μmのコンタクトホールをパターン露光した。露光後2.38質量%TMAH水溶液を用いて70秒間パドル現像を行い、さらに純水で60秒間リンスし、乾燥させた。そして、大気中180℃で20分間加熱後、さらに230℃で20分間加熱して、硬化させた。
ここで5ミクロンのマスクでパターニングしたときに硬化後のコンタクトホールの底幅が5ミクロンになる露光量を最適露光量とした。
実施例101〜105の組成物は、500mJ未満の露光量が最適露光量であり、実用上十分に使用しうる感度であった。
一方、マレイン酸が80,000ppmであること以外は実施例101と同じ組成物を用いて、上記と同様に、最適露光量を求めると、露光量を上げても、パターン形成ができなかった。
<Evaluation of sensitivity>
The compositions of Examples 101 to 105 were applied by spin coating so that the film thickness after prebaking was 1.6 μm. The obtained coating film was prebaked at 110 ° C. for 90 seconds to evaporate the solvent. Thereafter, a contact hole having a size of 5 μm was subjected to pattern exposure at an optimum exposure amount using a g + h-line mask aligner (FX-604F, manufactured by Nikon Corporation). After the exposure, paddle development was performed for 70 seconds using a 2.38% by mass aqueous solution of TMAH, followed by rinsing with pure water for 60 seconds and drying. Then, after heating in the air at 180 ° C. for 20 minutes, it was further heated at 230 ° C. for 20 minutes to be cured.
Here, the exposure amount at which the bottom width of the contact hole after curing when patterned with a 5-micron mask becomes 5 microns was defined as the optimal exposure amount.
In the compositions of Examples 101 to 105, the exposure amount less than 500 mJ was the optimum exposure amount, and the sensitivity was sufficient for practical use.
On the other hand, using the same composition as in Example 101 except that the maleic acid content was 80,000 ppm, the optimum exposure amount was determined in the same manner as described above. Even when the exposure amount was increased, no pattern could be formed.

<実施例201、202および比較例201〜204(ポジ型感光性ポリシロキサン組成物の調製)>
以下の表2に示す化合物を含み、残部はPGMEAである、実施例201、202および比較例201〜204のポジ型感光性ポリシロキサン組成物を調製した。
表中、
ジアゾナフトキノン誘導体:4,4’−(1−(4−(1−(4−ヒドロキシフェニル)−1−メチルエチル)フェニル)エチリデン)ビスフェノールのジアゾナフトキノン2.0モル変性体
界面活性剤:KF−53、信越化学工業株式会社製
である。
また、「−」は添加量がゼロであったことを意味する。
<Examples 201 and 202 and Comparative Examples 201 to 204 (Preparation of positive photosensitive polysiloxane composition)>
Positive photosensitive polysiloxane compositions of Examples 201 and 202 and Comparative Examples 201 to 204 containing the compounds shown in Table 2 below and the balance being PGMEA were prepared.
In the table,
Diazonaphthoquinone derivative: 2.0 mol modified diazonaphthoquinone of 4,4 '-(1- (4- (1- (4-hydroxyphenyl) -1-methylethyl) phenyl) ethylidene) bisphenol Surfactant: KF- 53, manufactured by Shin-Etsu Chemical Co., Ltd.
"-" Means that the addition amount was zero.

各組成物を、スピンコートにより、プリベーク後の膜厚が1.6μmになるように塗布した。得られた塗膜を110℃で90秒間プリベークして溶剤を揮発させた。その後、g+h線マスクアライナー(FX−604F型、株式会社ニコン製)により、最適露光量で、サイズ5μmのコンタクトホールをパターン露光した。露光後1.0質量%KOH水溶液を用いて70秒間パドル現像を行い、さらに純水で60秒間リンスし、乾燥させた。そして、大気中180℃で20分間加熱後、さらに230℃で20分間加熱して、硬化させた。   Each composition was applied by spin coating so that the film thickness after prebaking was 1.6 μm. The obtained coating film was prebaked at 110 ° C. for 90 seconds to evaporate the solvent. Thereafter, a contact hole having a size of 5 μm was subjected to pattern exposure at an optimum exposure amount using a g + h-line mask aligner (FX-604F type, manufactured by Nikon Corporation). After the exposure, paddle development was performed for 70 seconds using a 1.0% by mass aqueous KOH solution, followed by rinsing with pure water for 60 seconds and drying. Then, after heating in the air at 180 ° C. for 20 minutes, it was further heated at 230 ° C. for 20 minutes to cure.

シワ評価およびパターン形状評価について上記と同様の評価基準で評価した。評価結果は表2に記載したとおりである。   Wrinkle evaluation and pattern shape evaluation were evaluated according to the same evaluation criteria as described above. The evaluation results are as described in Table 2.

Claims (13)

ポジ型感光性ポリシロキサン組成物であって、
(I)ポリシロキサン、
(II)組成物の総質量を基準として200〜50,000ppmの、モノカルボン酸またはジカルボン酸であるカルボン酸化合物、
(III)ジアゾナフトキノン誘導体、および
(IV)溶剤
を含んでなり、
前記ポリシロキサンが、以下の式(Ia):
(式中、
Ia は、水素、C 1〜30 の、直鎖状、分岐状もしくは環状の、飽和または不飽和の、脂肪族炭化水素基、または芳香族炭化水素基を表し、
前記脂肪族炭化水素基および前記芳香族炭化水素基は、それぞれ、非置換であるか、またはフッ素、ヒドロキシもしくはアルコキシで置換されており、かつ
前記脂肪族炭化水素基および前記芳香族炭化水素基において、メチレンが、置きかえられていないか、または1以上のメチレンがオキシ、アミノ、イミノもしくはカルボニルで置きかえられており、ただし、R Ia はヒドロキシ、アルコキシではない)で示される繰り返し単位、および
以下の式(Ie):
(式中、
Ie は、−(CR Ie −または
であり、
ここで、nは1〜3の整数であり、
Ie はそれぞれ独立に水素、メチル、またはエチルを表す)
で示される繰り返し単位を含んでなる、ポジ型感光性ポリシロキサン組成物。
A positive photosensitive polysiloxane composition,
(I) polysiloxane,
(II) 200 to 50,000 ppm of a carboxylic acid compound which is a monocarboxylic acid or a dicarboxylic acid, based on the total mass of the composition,
(III) Ri name contains diazonaphthoquinone derivatives, and (IV) a solvent,
The polysiloxane has the following formula (Ia):
(Where
R Ia represents hydrogen, C 1-30 , linear, branched or cyclic, saturated or unsaturated, aliphatic or aromatic hydrocarbon group;
The aliphatic hydrocarbon group and the aromatic hydrocarbon group are each unsubstituted or substituted with fluorine, hydroxy or alkoxy, and
In the aliphatic hydrocarbon group and the aromatic hydrocarbon group, methylene is not replaced, or one or more methylene is replaced with oxy, amino, imino, or carbonyl, provided that R la is hydroxy, A repeating unit represented by not alkoxy), and
The following formula (Ie):
(Where
L Ie is- (CR Ie 2 ) n -or
And
Here, n is an integer of 1 to 3,
R Ie each independently represents hydrogen, methyl, or ethyl)
A positive photosensitive polysiloxane composition comprising a repeating unit represented by the formula:
モノカルボン酸の第1酸解離定数pKaが5.0以下であり、かつジカルボン酸の第1酸解離定数pKaが4.0以下である、請求項1に記載の組成物。 The composition according to claim 1, wherein the first acid dissociation constant pKa 1 of the monocarboxylic acid is 5.0 or less, and the first acid dissociation constant pKa 1 of the dicarboxylic acid is 4.0 or less. 前記モノカルボン酸が式(i):
−COOH 式(i)
(式中、Rは、水素、または炭素数1〜4の、飽和または不飽和の、炭化水素基である)
で表され、かつ前記ジカルボン酸が式(ii):
HOOC−L−COOH 式(ii)
(式中、Lは、
単結合、
炭素数1〜6の、非置換アルキレン、ヒドロキシ置換アルキレンもしくはアミノ置換アルキレン、
置換もしくは非置換の、炭素数2〜4のアルケニレン、
置換もしくは非置換の、炭素数2〜4のアルキニレン、または
置換もしくは非置換の、炭素数6〜10のアリーレン
である)
で表される、請求項1または2に記載の組成物。
The monocarboxylic acid has the formula (i):
R i -COOH Formula (i)
Wherein R i is hydrogen or a saturated or unsaturated hydrocarbon group having 1 to 4 carbon atoms.
And the dicarboxylic acid is represented by the formula (ii):
HOOC-L-COOH Formula (ii)
(Where L is
Single bond,
C1-C6 unsubstituted alkylene, hydroxy-substituted alkylene or amino-substituted alkylene,
A substituted or unsubstituted alkenylene having 2 to 4 carbon atoms,
A substituted or unsubstituted alkynylene having 2 to 4 carbon atoms, or a substituted or unsubstituted arylene having 6 to 10 carbon atoms)
The composition according to claim 1, represented by the formula:
前記カルボン酸化合物が、ジカルボン酸である、請求項1〜3のいずれか一項に記載の組成物。   The composition according to any one of claims 1 to 3, wherein the carboxylic acid compound is a dicarboxylic acid. 前記ジカルボン酸が、分子内脱水縮合により、環状構造をとりうるものである、請求項1〜4のいずれか一項に記載の組成物。   The composition according to any one of claims 1 to 4, wherein the dicarboxylic acid can have a cyclic structure by intramolecular dehydration condensation. 前記カルボン酸化合物の含有量が、組成物の総質量を基準として300〜30,000ppmである、請求項1〜5のいずれか一項に記載の組成物。   The composition according to any one of claims 1 to 5, wherein the content of the carboxylic acid compound is 300 to 30,000 ppm based on the total mass of the composition. 前記ポリシロキサンが、以下の式(Ic):
で示される繰り返し単位をさらに含んでなる、請求項1〜6のいずれか一項に記載の組成物。
The polysiloxane has the following formula (Ic):
The composition according to any one of claims 1 to 6 , further comprising a repeating unit represented by the formula:
Iaが、C3〜20の、飽和または不飽和の、環状脂肪族炭化水素基、または芳香族炭化水素基である、請求項1〜7のいずれか一項に記載の組成物。 R Ia is a C 3 to 20, saturated or unsaturated, cyclic aliphatic hydrocarbon group or an aromatic hydrocarbon group, A composition according to any one of claims 1 to 7. 以下の工程:
(1)請求項1〜8のいずれか一項に記載の組成物を基板に塗布して組成物層を形成させること、
(2)前記組成物層を露光すること、
(3)アルカリ現像液で現像し、パターンを形成させること、および
(4)得られたパターンを加熱すること
を含んでなる、硬化膜の製造方法。
The following steps:
(1) applying the composition according to any one of claims 1 to 8 to a substrate to form a composition layer;
(2) exposing the composition layer,
(3) A method for producing a cured film, comprising: developing with an alkali developer to form a pattern; and (4) heating the obtained pattern.
前記工程(4)の前に、全面露光を行う工程を含まない、請求項に記載の方法。 The method according to claim 9 , wherein the method does not include a step of performing an overall exposure before the step (4). 前記アルカリ現像液が、有機現像液である、請求項9または10に記載の方法。 The method according to claim 9 , wherein the alkaline developer is an organic developer. 前記アルカリ現像液が、無機現像液である、請求項9または10に記載の方法。 The method according to claim 9 , wherein the alkaline developer is an inorganic developer. 請求項9〜12のいずれか一項に記載の方法により製造された硬化膜を具備してなる電子素子の製造方法A method for manufacturing an electronic device , comprising a cured film manufactured by the method according to claim 9 .
JP2019127509A 2019-03-15 2019-07-09 Positive photosensitive polysiloxane composition Active JP6639724B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CN202080021204.7A CN113631672B (en) 2019-03-15 2020-03-12 Positive photosensitive polysiloxane composition
US17/439,692 US11467494B2 (en) 2019-03-15 2020-03-12 Positive type photosensitive polysiloxane composition
PCT/EP2020/056648 WO2020187685A1 (en) 2019-03-15 2020-03-12 Positive type photosensitive polysiloxane composition
KR1020217033287A KR102590065B1 (en) 2019-03-15 2020-03-12 Positive photosensitive polysiloxane composition
SG11202106225UA SG11202106225UA (en) 2019-03-15 2020-03-12 Positive type photosensitive polysiloxane composition
TW109108302A TW202039640A (en) 2019-03-15 2020-03-13 Positive type photosensitive polysiloxane composition

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019048366 2019-03-15
JP2019048366 2019-03-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019200105A Division JP2020154282A (en) 2019-03-15 2019-11-01 Positive photosensitive polysiloxane composition

Publications (2)

Publication Number Publication Date
JP6639724B1 true JP6639724B1 (en) 2020-02-05
JP2020154276A JP2020154276A (en) 2020-09-24

Family

ID=69320891

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019127509A Active JP6639724B1 (en) 2019-03-15 2019-07-09 Positive photosensitive polysiloxane composition
JP2019200105A Pending JP2020154282A (en) 2019-03-15 2019-11-01 Positive photosensitive polysiloxane composition

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019200105A Pending JP2020154282A (en) 2019-03-15 2019-11-01 Positive photosensitive polysiloxane composition

Country Status (5)

Country Link
JP (2) JP6639724B1 (en)
KR (1) KR102590065B1 (en)
CN (1) CN113631672B (en)
SG (1) SG11202106225UA (en)
TW (1) TW202039640A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114153123A (en) * 2021-12-10 2022-03-08 中国科学院光电技术研究所 Photoresist composition and application thereof

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3350309A (en) * 1965-07-14 1967-10-31 Mobil Oil Corp Stabilization of silicone fluids with an iron compound and a polycarboxylic acid
JP5003081B2 (en) * 2005-09-28 2012-08-15 東レ株式会社 Photosensitive siloxane composition, cured film formed therefrom, and device having cured film
KR20090075525A (en) * 2008-01-04 2009-07-08 주식회사 엘지화학 Photosensitive silicone resin composition and cured film manufactured from the same
JP5240459B2 (en) * 2008-02-19 2013-07-17 Jsr株式会社 Radiation-sensitive resin composition, interlayer insulating film, microlens and method for forming them
WO2009122853A1 (en) * 2008-03-31 2009-10-08 Jsr株式会社 Positive radiation-sensitive resin composition, microlens, and method for forming microlens
JP2010262132A (en) * 2009-05-07 2010-11-18 Hitachi Chem Co Ltd Method of forming silica-based coating film, and device and member including silica-based coating film
JP5549124B2 (en) 2009-06-16 2014-07-16 Jsr株式会社 Positive radiation-sensitive composition, interlayer insulating film and method for forming the same
JP5397152B2 (en) * 2009-10-22 2014-01-22 Jsr株式会社 Positive radiation-sensitive composition, interlayer insulating film and method for forming the same
JP5726632B2 (en) * 2011-05-19 2015-06-03 メルクパフォーマンスマテリアルズIp合同会社 Photosensitive siloxane resin composition
KR102369410B1 (en) * 2014-11-28 2022-03-02 롬엔드하스전자재료코리아유한회사 Photosensitive resin composition and cured film prepared therefrom
JP2016121311A (en) * 2014-12-25 2016-07-07 Jsr株式会社 Cured film-forming composition, cured film, display element and method for forming cured film
KR102375191B1 (en) * 2015-01-05 2022-03-17 삼성디스플레이 주식회사 Positive photosensitive siloxane resin composition and display device comprising the same
KR102615352B1 (en) * 2015-02-04 2023-12-20 메르크 파텐트 게엠베하 Positive photosensitive siloxane composition, active matrix substrate, display device, and method for producing active matrix substrate
US10151977B2 (en) * 2015-02-19 2018-12-11 Zeon Corporation Resin composition, resin film, and electronic device
JP2017151209A (en) * 2016-02-23 2017-08-31 アーゼッド・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ Positive photosensitive siloxane composition
KR102032345B1 (en) * 2016-09-28 2019-10-15 삼성에스디아이 주식회사 Photosensitive resin composition, cured film prepared therefrom, and electronic device incoporating the cured film
CN107918249A (en) * 2016-10-05 2018-04-17 罗门哈斯电子材料韩国有限公司 Photosensitive polymer combination and cured film prepared therefrom
JP2018189732A (en) * 2017-04-28 2018-11-29 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH Positive type photosensitive siloxane composition and cured film formed by using the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114153123A (en) * 2021-12-10 2022-03-08 中国科学院光电技术研究所 Photoresist composition and application thereof
CN114153123B (en) * 2021-12-10 2023-09-19 中国科学院光电技术研究所 Photoresist composition and application thereof

Also Published As

Publication number Publication date
CN113631672B (en) 2022-12-13
JP2020154282A (en) 2020-09-24
KR20210141574A (en) 2021-11-23
JP2020154276A (en) 2020-09-24
KR102590065B1 (en) 2023-10-19
TW202039640A (en) 2020-11-01
SG11202106225UA (en) 2021-09-29
CN113631672A (en) 2021-11-09

Similar Documents

Publication Publication Date Title
JP7206255B2 (en) Positive type photosensitive siloxane composition and cured film using the same
JP7386860B2 (en) Acrylic polymerized polysiloxane, composition comprising the same, and cured film using the same
JP7195318B2 (en) Photosensitive siloxane composition and pattern forming method using the same
TWI795478B (en) Polysiloxane, composition containing same, cured film using same, and method for producing cured film
JP7330256B2 (en) Positive photosensitive polysiloxane composition
JP6639724B1 (en) Positive photosensitive polysiloxane composition
JP7149958B2 (en) Positive type photosensitive siloxane composition and cured film formed using the same
TWI835932B (en) Composition containing acrylic polymerized polysiloxane, cured film using the same, and method of manufacturing the same
WO2020187685A1 (en) Positive type photosensitive polysiloxane composition

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190710

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20190710

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20190730

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190802

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191101

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191129

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191224

R150 Certificate of patent or registration of utility model

Ref document number: 6639724

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250