JP6587453B2 - マルチビーム描画装置における短距離転位の補正 - Google Patents

マルチビーム描画装置における短距離転位の補正 Download PDF

Info

Publication number
JP6587453B2
JP6587453B2 JP2015161541A JP2015161541A JP6587453B2 JP 6587453 B2 JP6587453 B2 JP 6587453B2 JP 2015161541 A JP2015161541 A JP 2015161541A JP 2015161541 A JP2015161541 A JP 2015161541A JP 6587453 B2 JP6587453 B2 JP 6587453B2
Authority
JP
Japan
Prior art keywords
sub
region
target
pattern
exposure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015161541A
Other languages
English (en)
Other versions
JP2016058723A (ja
Inventor
ブラッツグマー エルマー
ブラッツグマー エルマー
シュペングラー クリストフ
シュペングラー クリストフ
ワグナー マルクス
ワグナー マルクス
クバスニツァ サミュエル
クバスニツァ サミュエル
Original Assignee
アイエムエス ナノファブリケーション ゲーエムベーハー
アイエムエス ナノファブリケーション ゲーエムベーハー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アイエムエス ナノファブリケーション ゲーエムベーハー, アイエムエス ナノファブリケーション ゲーエムベーハー filed Critical アイエムエス ナノファブリケーション ゲーエムベーハー
Publication of JP2016058723A publication Critical patent/JP2016058723A/ja
Application granted granted Critical
Publication of JP6587453B2 publication Critical patent/JP6587453B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31764Dividing into sub-patterns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31793Problems associated with lithography

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electron Beam Exposure (AREA)

Description

本発明は、荷電粒子の構造化ビームによってターゲットを露光するための荷電粒子マルチビーム処理装置の分野に関し、特に、荷電粒子リソグラフィ装置でターゲット上に所望のパターンを露光するための露光パターンを計算するための方法に関する。そのような装置では、照射システムで粒子ビームが生成され、前記粒子ビームは、前記粒子ビームが貫通する複数のブランキングアパーチャから構成されるアパーチャアレイを含むパターン定義装置に向けられかつそれを照射し、次いで、ターゲット上の露光領域内の多数の画素を露光することによって前記所望のパターンを描画するために、投影光学システムによってターゲット上に結像される。
出願人は、上述したタイプの荷電粒子マルチビームツールを実現し、かつ対応する荷電粒子光学系、パターン定義(PD)装置、およびマルチビーム描画方法、特に、EUVリソグラフィ用のマスクおよびインプリントリソグラフィ用のテンプレート(1×マスク)の193nm液浸リソグラフィ用の最先端複合フォトマスクを実現する、50keV電子マルチビーム描画装置を開発した。該システムは、6”マスクブランク基板を露光するためのeMET(電子マスク露光ツール)またはMBMW(マルチビームマスク描画装置)と呼ばれる。マルチビームシステムは、シリコンウェハ基板に電子ビーム直接描画装置(EBDW)を適用する場合、PML2(投影マスクレスリソグラフィ)と呼ばれてきた。マルチビームカラムおよび描画方法は、マルチビーム検査の用途にも使用することができる。
マルチビーム描画装置の略図は図1に示される。そのようなリソグラフィ装置は、米国特許第6,768,125号明細書、欧州特許第2187427(A1)号(=米国特許第8,222,621号)明細書、および欧州特許第2363875(A1)号(=米国特許第8,378,320号)明細書のような先行技術でよく知られている。以下では、分かり易くするために、本発明を開示するのに必要な詳細だけを記載する。図1では、構成部品は正確な縮尺で示されていない。リソグラフィ装置1の主要な構成部品は、この実施例では図1で垂直方向下方に延びるリソグラフィビームlb、pbの方向に対応して、照射システム3、パターン定義(PD)システム4、投影システム5、および基板16を備えたターゲットステーション6である。装置全体1は、装置の光軸cxに沿ったビームlb、pbの妨害されない伝搬を確実にするために、高真空に保持された真空筐体2内に収容される。荷電粒子光学システム3、5は、静電レンズおよび/または磁気レンズを用いて実現される。
照射システム3は、例えば電子銃7、抽出システム8、および集光レンズシステム9を含む。しかし、一般的に、電子の代わりに、他の荷電粒子を使用することもできることに注目する必要がある。電子以外に、これらは例えば水素イオンまたはより重いイオン、荷電原子クラスタ、または荷電分子とすることができる。
抽出システム8は粒子を、典型的には数keV、例えば5keVの定義されたエネルギまで加速する。集光レンズシステム9を用いて、源7から放出された粒子は、リソグラフィビームlbとして働く幅広の略テレセントリック粒子ビーム50に形成される。リソグラフィビームlbは次いで、複数の開口またはアパーチャ24を持つ複数のプレートを含むPDシステム4を照射する(図2)。PDシステム4は、リソグラフィビームlbの経路内の特定の位置に保持され、こうしてビームは複数のアパーチャを照射し、複数のビームレットに分割される。
図2を参照すると、PDシステム4のアパーチャ24の幾つかは、システムを透過したビームの一部分(ビームレット51)をターゲットに到達させるという意味で、入射ビームを透過させるように「スイッチオン」または「開放」される。他のアパーチャは「スイッチオフ」または「閉鎖」される。すなわち、対応するビームレット52はターゲットに到達することができず、こうして効果的にこれらのアパーチャおよび/または開口はビームに対し不透過(不透明)になる。こうして、リソグラフィビームlbはパターン化ビームpbに構成され、PDシステム4から出射する。スイッチオンされたアパーチャのパターン、すなわちPDシステム4のうちリソグラフィビームlbを透過する部分だけのパターンは、ターゲット16で露光すべきパターンに従って選択される。ビームレットの「スイッチオン/オフ」は通常、PDシステム4のプレートの1つに設けられる何らかの種類の偏向手段によって実現されることに留意されたい。「スイッチオフ」されたビームレットは、ターゲットに到達できず、ただリソグラフィ装置のどこかで、例えば吸収プレート11によって吸収されるように、それらの経路から(非常に小さい角度だけ)偏向される。
パターン化されたビームpbによって表されたパターンは次いで、電磁光学的投影システム5によって基板16上に投影され、そこに「スイッチオン」されたアパーチャおよび/または開口の像を形成する。投影システム5は、2回のクロスオーバclおよびc2を用いて、例えば200:1の縮小を実現する。ターゲットまたは「基板」16は、例えば6”マスクブランク、または粒子感応性レジスト層17で被覆されたシリコンウェハである。基板はチャック15によって保持され、ターゲットステーション6の基板ステージ14によって位置決めされる。
露光されるパターンに関する情報は、電子パターン情報処理システム18を用いて実現されるデータ経路によってPDシステム4に供給される。(下の図14Aおよび図14Bの説明も参照されたい。)
図1に示す実施形態では、投影システム5は、静電レンズおよび/または磁気レンズならびに他の偏向手段から成る、複数の連続電磁光学投影装置ステージ10a、10b、10cで構成される。これらのレンズおよび手段については、それらの適用は先行技術でよく知られているため、記号形式だけで図示される。投影システム5は、クロスオーバc1、c2を介する縮小結像を採用する。両ステージの縮小率は、全体の縮小が数百分の1、例えば200:1になるように選択される。この程度の縮小は、PD装置の小型化の問題を緩和するために、リソグラフィセットアップに特に適している。
投影システム5全体で、色収差および幾何収差を大幅に補正するようになっている。像を全体的に側方に、すなわち光軸cxに対し直角の方向に沿って偏位させる手段として、偏向手段12a、12b、および12cが集光装置3および投影システム5に設けられる。偏向手段は、ソース抽出システムの近く(12a)、図1に偏向手段12bで示すように第1クロスオーバの近く、かつ/または図1のステージ偏向手段12cの場合のようにそれぞれの投影装置の最終レンズ10cの後に配置された、例えば多重極電極システムとして実現することができる。この装置では、多重極電極配置は、ステージの動きに関連して像を偏位させるため、および荷電粒子光学位置合わせシステムと連動して結像システムを補正するための両目的のための偏向手段として使用される。これらの偏向手段10a、10b、10cは、パターン化されたビームpbの選択されたビームレットを「オン」または「オフ」状態に切り替えるために停止プレート11と連動して使用される、PDシステム4の偏向アレイ手段と混同してはならない。前者は、粒子ビームを全体として処理するだけである。また、軸線方向の磁界をもたらすソレノイド13を用いてプログラム可能ビームの集合を回転させる可能性もある。
図2の断面詳細図から分かるように、PDシステム4は、連続構成の状態に積み重ねられた3つのプレート、すなわち「アパーチャアレイプレート」(AAP)20、「偏向アレイプレート」(DAP)30、および「フィールド境界アレイプレート」(FAP)40を含むことが好ましい。用語「プレート」はそれぞれの装置の全体的な形状を表すものであって、必ずしもプレートが単一のプレート部品として実現されることを示すものではない(通常、後者が好ましい実現の仕方であるが)ことに触れておくことは有意義である。それでもなお、特定の実施形態では、アパーチャアレイプレートのような「プレート」は、複数のサブプレートから構成することができる。プレートは、Z方向に沿って相互距離間隔で互いに平行に配設することが好ましい。
AAP20の平坦な上面は、集光光学/照射システム11に対する定義された潜在的界面を形成する。AAPは例えば、中央部22が薄化された正方形または長方形のシリコンウェハ片(厚さ約1mm)21から作製することができる。プレートは導電性保護層23で被覆することができ、それは、水素またはヘリウムイオンを使用する場合、特に有利であろう(米国特許第6,858,118号における表現)。電子または重イオン(例えばアルゴンまたはキセノン)を使用する場合、層23とバルク部21/22それぞれとの間に界面が存在しないように、層23もまた、21および22それぞれの表面部によって提供されるシリコンとすることができる。
AAP20には、薄化部22を横切る開口として実現される複数のアパーチャ24が設けられる。図示する実施形態では、開口の下向き出口25がアパーチャ24の主要部より広幅になるように、層23内に作製された直線状プロファイルと、AAP20のバルク層における「レトログレード」プロファイルとを有する、アパーチャ24が実現される。直線状プロファイルおよびレトログレードプロファイルは両方とも、反応性イオンエッチングのような最新の構造化技術により作製することができる。レトログレードプロファイルは、開口を通過するビームのミラー帯電効果を強く低減する。
DAP30は、AAP20のアパーチャ24の位置に対応する位置に複数の開口33が設けられたプレートであり、そこには、開口33を通過する個々のサブビームを選択的にそれらのそれぞれの経路から偏向させるために構成された電極35、38が設けられる。DAP30は、例えば、ASIC回路構成を備えたCMOSウェハを後処理することによって作製することができる。DAP30は、例えば、正方形または長方形の形状を有する1枚のCMOSウェハから作製され、薄化された(しかし22の厚さに比べると適切に厚い)中央部32を保持するフレームを形成する厚い部分31を含む。中央部32のアパーチャ開口33は、アパーチャ24と比較して(例えば両面とも約2μmだけ)より広幅である。CMOS電子機器34は、MEMS技術によってもたらされた電極35、38を制御するために使用される。各開口33に隣接して、「接地」電極35および偏向電極38が設けられる。接地電極35は電気的に相互接続され、かつ共通接地電位に接続され、帯電を防止するレトログレード部36と、CMOS回路構成への望ましくないショートカットを防止するための絶縁部37とを含む。接地電極35はまた、シリコンバルク部31および32と同一電位であるCMOS回路構成34の部分にも接続することができる。
偏向電極38は、選択的に静電電位を印加されるように構成される。そのような静電電位が電極38に印加されると、これは電界を発生し、対応するサブビームに偏向を引き起こし、それをその公称経路から偏向させる。電極38も同様に、帯電を防止するためにレトログレード部39を有することができる。電極38の各々は、その低部がCMOS回路構成34内のそれぞれの接触部位に接続される。
接地電極35の高さは、ビーム間のクロストーク効果を抑制するために、偏向電極38の高さより高い。
図2に示すよう下流側に向けられた電極を有するDAP30を備えたPDシステム12の構成は、幾つかの可能性の1つにすぎない。例えば接地電極および偏向電極が埋め込まれたさらなるDAP構成は、当業者によって容易に考案することができる(本願出願人の名義の他の特許、例えば米国特許第8,198,601(B2)号明細書を参照されたい)。
FAPとして働く第3プレート40は、下流側の縮小荷電粒子投影光学系の第1レンズ部を向いた平坦な表面を有し、投影光学系の第1レンズ16aに対する定義された潜在的界面を提供する。FAP40の厚い部分41は、中央部分42が薄化したシリコンウェハの一部から作られた、正方形または長方形のフレームである。FAP40には、AAP20およびDAP30の開口24、33に対応するが、後者に比べてより広幅である複数の開口43が設けられる。
PDシステム4、および特にその第1プレートAAP20は、幅広電荷粒子ビーム50によって照射され(本書では、「幅広」ビームとは、ビームの幅がAAPに形成されたアパーチャアレイの領域全体をカバーするのに充分広いことを意味する)、したがってそれは、アパーチャ24を透過したときに何千ものマイクロメートルサイズのビーム51に分割される。ビームレット51および52は邪魔されずにDAPおよびFAPを横切る。
すでに述べた通り、CMOS電子機器を介して偏向電極38に電力が供給されたときはいつも、偏向電極と対応する接地電極との間に電界が発生し、通過するそれぞれのビーム52の小さいが充分な偏向を導く(図2)。開口33および43はそれぞれ充分幅広く作られているので、偏向したビームは邪魔されずにDAPおよびFAPを横切ることができる。しかし、偏向したビーム52はサブカラムの停止プレート15で除去される(図1)。こうして、DAPによって影響されないビームだけが基板に到達する。
縮小荷電粒子光学系16の縮小率は、ビームの寸法およびPD装置4におけるそれらの相互距離、ならびにターゲットにおける構造の所望の寸法を考慮して、適切に選択される。これは、PDシステムにおけるマイクロメートルサイズのビームを可能にする一方、ナノメートルサイズのビームが基板に投影される。
AAPによって形成された(影響されない)ビーム51の集合は、投影荷電粒子光学系の予め定められた縮小率Rで基板に投影される。こうして、基板に、それぞれ幅BX=AX/RおよびBY=AY/Rを有する「ビームアレイフィールド」(BAF)が投影される。ここでAXおよびAYはそれぞれ、アパーチャアレイフィールドのXおよびY方向のサイズを表す。基板における個々のビームのビームサイズはそれぞれbX=aX/RおよびbY=aY/Rによって与えられる。ここでaXおよびaYはそれぞれ、DAP30のレベルでXおよびY方向に沿って測定されたビーム51のサイズを表す。
図2に示される個々のビーム51、52は、二次元X‐Yアレイに配列されたずっと多数の、典型的には何千ものサブビームを代表していることに触れておくことは有意義である。出願人は、例えばイオンに対しR=200の縮小率を持つマルチビーム荷電粒子光学系のみならず、何千(例えば262,144)個ものプログラム可能なビームを持つ電子マルチビームカラムをも実現した。出願人は、基板で約82μm×82μmのようなカラムのビームアレイフィールドを実現した。これらの例は例証のために記載したものであり、限定例と解釈すべきではない。
MBMWの典型的な実現として、出願人は、基板における81.92μm×81.92μmのビームアレイフィールド内に20nmビームサイズの512×512(262,144)個のプログラム可能なビームレットを達成する50keVの電子MBMWを実現した。実現された描画システムの場合、基板は、電子ビーム感応性レジストで被覆された6”マスクブランク(面積:6”×6”=152.4mm×152.4mm、厚さ:1/4”=6.35mm)である。さらに、出願人の実現されたシステムでは、レジストで被覆された150mmのSiウェハに対するマルチビーム描画が可能である。
出願人の実現されたMBMWシステムの電流密度は、20nmのビームサイズを使用した場合、1A/cm2以下である。したがって、プログラム可能な262,144個のビームレットが全部「オン」である場合、電流は1.05μA以下である。
出願人によって実現されたMBMWカラムは、Elmar Platzgummerらによって実験的に検証され、「eMET POC:Realization of a proof‐of‐concept 50 keV electron multibeam Mask Exposure Tool」 Proc.of SPIE Vol. 8166, 816622‐1(2011)に発表された通り、約5nmの1シグマボケを有する。
ビームサイズを20nmから例えば10nmに変更する可能性がある。200:1の縮小率を持つカラムの場合、これは、4μm×4μmの開口サイズの代わりに2μm×2μmの開口サイズのアパーチャを持つアパーチャアレイプレート(AAP)を使用することによって簡単に行われる。本願出願人の米国特許第8,546,767号明細書に概説されている通り、ビームサイズのインサイチュ変更の可能性もある。
10nmのビームサイズを使用し、かつ基板に4A/cm2以下の電流密度を提供する場合、262,144個のプログラム可能なビームレットの電流は再び最大1.05μAである。したがって、この場合にも、カラムを通過する電流による1シグマのカラムボケの変化は事実上発生しない。
第1世代のMBMW製造ツールは、20nmおよび10nmのビームを使用して262,144個のプログラム可能なビームを全部「オン」にするために最大約1μAまでの電流を提供することを目標としている。その後の世代のMBMW製造ツールに対しては、さらに小さい、例えば8nmのビームサイズを使用し、かつ同時に基板における81.92μm×81.92μmのビームアレイフィールド内に例えば640×640=409,600個のビームレットを提供するという計画がある。最大電流密度を4A/cm2に維持することにより、最大電流(全てのビームレットが「オン」の状態)が1.05μAになることが確保される。例えば5nmのビームサイズを使用して、基板における81.92μm×81.92μmのビームアレイフィールド内に例えば1024×1024=1,048,576個のプログラム可能なビームを提供することが可能である。再び、4A/cm2の最大電流密度で、最大電流(全てのビームレットが「オン」の状態)は1.05μAである。
出願人が提案するマルチビーム描画方法はまた、例えば20nmの同一スポットサイズを使用し、スポット間で選択された重なり量で重なり合う方法をも含む。「二重グリッド」マルチビーム露光では、スポット間の重なりは、X方向のみならず、Y方向でも、ビームサイズの半分である。「四重グリッド」マルチビーム露光では、スポット間の重なりは、X方向のみならず、Y方向でも、ビームサイズの4分の1である。基板におけるスポットサイズはaX/Rである。ここでaXは、アパーチャアレイプレートのアパーチャの開口幅であり(図2)、Rは荷電粒子投影光学系の縮小率である。各スポットには個別線量レベルが露光される。例えば線量レベルをプログラムするために4ビットを使用する場合、各スポットの線量レベルは0、1、2、…14、または15単位となるように選択することができる。
図3Aに、幅30nmの線について、ボケが無い場合の理想的な強度プロファイル61が示される。「四重グリッド」マルチビーム露光を使用する場合、重なりはビームサイズの4分の1である。したがって、20nmのビームサイズを使用する場合、物理的グリッドサイズは5nmである。図示する実施例では5nm×5nmである各物理的グリッド面積に対し、個別線量レベルを割り当てることができ、図3Bには、30nmの線を生成するために照射される個別線量レベル62が示される。図3Cは、ボケが無い時の強度プロファイル61(図3A)と、線量レベルヒストグラム62(図3B)との重ね合わせを示す。一般的な場合、線量レベルヒストグラムは、左右エッジを予め定められた位置に配置するために、対称的にならない。図3Dには、左エッジが0.0nmに配置され、右エッジが30.0nmに配置される場合の幅30.0nmの線についてのシミュレーションが示される。シミュレーションでは、5.1nmの1シグマボケ(12.0nmのFWHMのボケ)のある20nmのビームスポットの露光を想定した。露光スポット63、64、および65を重ね合わせることによって、強度プロファイル66が形成される。最左端の露光スポット64の線量レベルは、30nmの線が位置67から、すなわち所望の0.0nmの位置から始まるように調整される。最右端の露光スポット65の線量レベルは、露光線が幅30.0nmで68で終了するように調整される。図3Dに示すように、20nmの露光スポット63、64、65の重なり合いは、ビームサイズの4分の1、すなわち5nmである(「四重グリッド」)。
ビームサイズ20nmおよび四重グリッド露光(物理的グリッドサイズ5nm)のマルチビーム露光ツールを使用して、線幅を0.1nm刻みで変更することができる。例として、図4Aは31.4nmの線幅、図4Bは40.0nmの線幅の強度プロファイルを示す。整数の線量レベルのため、0.1nmのアドレスグリッドからのわずかなずれが存在する。これらのずれは、30.0nmから40.0nmの間で0.1nm刻みで所望の線幅の関数として、図4Aおよび図4Bの上部に「エッジ位置誤差」として示される。見て分かる通り、ずれは±0.05nm以内である。さらに、図4Aおよび図4Bの下部に示すように、線量の10%の変化によるエッジ位置の変化は約1nmであり、異なる線幅に対しわずかに変動するだけである。換言すると、線量は出願人のマルチビーム描画ツールでは1%未満で制御されるので、線量の1%の変化によるエッジ位置の変化は約0.1nmだけである。
図5Aは、マルチビーム描画装置の1つの利点、すなわち線幅が事実上、50%の線量閾値でボケの変動に無関係であることを示す。エッジ位置63および64は、ボケの無い強度プロファイル61が「0.5」の強度レベルと交差する箇所である(図5Aおよび図5B)。線量レベルの割当62は、20nmのビームサイズで5nmの1シグマボケ、および四重グリッドのマルチビーム露光を用いて、5nmの物理的グリッドを提供する場合である。強度プロファイル71、72、および73はそれぞれ3.5nm、5.0nm、および7.5nmの1シグマボケにより計算される。
上述の通り、出願人によって実現されたマルチビームツールカラムは、約5nm1シグマの非常に低いボケを有する。したがって、線幅がボケの変動とは無関係という状態が、20nmおよび10nmのビームサイズを含め、広範囲のビームサイズ値に対して維持される。
6”マスクブランクまたはシリコンウェハのような基板上にパターンを描画するときに、所望の形状特徴およびパターン忠実度を持つマスクまたはウェハを実現するために、マルチビーム描画プロセス中に考慮しなければならない非理想的な状況が存在する。考慮すべき問題として考えられるのは、例えば処理による基板の歪み、光学的偏向手段を介して補正することのできないビームアレイフィールドの歪み、その後のエッチングプロセスにおける「ローディング効果」、または電荷に誘発される影響である。これらの効果はシミュレートし、かつ/または測定することができる。マルチビーム描画装置によりプリントされるパターンのためのデータファイルを作成するときに、対応するデータを事前に提供することができ(「データに基づく補正」)、またはこれらの効果を、効果のモデルに基づいて計算することができる(「モデルに基づく補正」)。ほとんどの場合、最新および未来のナノリソグラフィ技術の高い要求に応えるために、ビームアレイフィールドのサイズ(面積)より小さい基板セグメントに対し補正を行わなければならなくなっている。
図6は、導電性マスク層および電子ビーム感応性レジストで被覆された6”×6”×1/4”の石英ガラスプレート80から成る、6”フォトマスクブランク80として実現されたターゲットの局所的歪みの一例を示す。局所的歪みは、典型的には133mm×104mmのマスクパターンフィールド83内のパターン密度変動によって生じることがある。このマスクパターンフィールド内に、露光スポット密度の異なる領域、例えば高密度パターン領域84および低密度パターン領域85が存在することがある。加えて、通常、アラインメントを目的とするパターンのあるサブフィールド82が存在する。言うまでもなく、本発明はこのタイプのターゲットに限定されず、幅広い範囲のターゲットおよび基板に適用可能である。
米国特許第6,768,125号明細書 欧州特許第2187427(A1)号明細書 欧州特許第2363875(A1)号明細書 米国特許第6,858,118号明細書 米国特許第8,198,601(B2)号明細書 米国特許第8,546,767号明細書
"eMET POC:Realization of a proof‐of‐concept 50 keV electron multibeam Mask Exposure Tool", by Elmar Platzgummer et al., in Proc. of SPIE Vol. 8166, 816622‐1 (2011)
本発明は独立請求項1および15に記載される。有利な展開およびさらなる態様は、従属請求項に記載される。詳しくは、本発明に係る方法は、粒子ビームの方向を横切る転位に関して、露光領域内のターゲットの空間依存歪みを考慮に入れるように意図されており、かつ
(i)露光領域を複数の非重複サブ領域に分割するステップと、
(ii)前記サブ領域の各々のサブ領域転位であって、それぞれのサブ領域の位置におけるターゲットの歪みを記述する(または、換言すると、補償する)1組のパラメータを含む前記サブ領域転位を決定するステップと、
(iii)所望のパターンをターゲット上の露光領域のグラフィック表現として提供するステップであって、前記グラフィック表現は複数のグラフィック要素から構成され、各グラフィック要素は露光領域のそれぞれの位置に各々配置されて成るステップと、
(iv)グラフィック要素のそれぞれの位置を含むサブ領域の転位に従って各グラフィック要素を転位させ、補正されたグラフィック表現を構成する、このように転位された複数のグラフィック要素を入手することによって、複数のサブ領域の変位に従ってグラフィック表現を修正するステップと、
(v)補正されたグラフィック表現から、複数の画素上に定義される露光パターンであって、所望のパターンを表わす等高線を実現するターゲット上の公称線量分布を生成するのに適した露光パターンを算出するステップと、
を含む。
上述した歪みに関連して、本発明は主に短距離の、すなわち、歪みによって誘発される転位が基板面積全体で一定ではなく、かつ基板の(小さい)領域内だけの、つまり露光領域の比較的小さい面積のサブ領域の、変位の変動を無視することができる場合の、歪み誤差を補正することを目的とする。特に、サブ領域またはセグメントの面積は、例えばビームアレイフィールドの面積に匹敵するか、あるいはそれより小さくすることができる。本発明は、そのような歪みをマルチビーム描画ツールのデータ経路に考慮することを示唆している。さらに詳しくは、露光される面積は、複数の非重複サブ領域に、すなわち個別セグメントに分割され、そのサイズはカスタマイズ可能であり、要求される精度に関連する。例えば、本発明の典型的な実現では、前記領域は、セグメントの面積がビームアレイフィールドより小さいn×m個のセグメントに分割され、例えば82μm×82μmのビームアレイフィールドを使用する場合、10.25μm×10.25μmのサイズの矩形セグメントに分割される。以下でさらに詳しく説明するように、各セグメントの歪みは、最新技術の方法を用いて特定の精度まで予備決定することができ、これは、例えば、前記パターンの領域の形状および配置を、露光領域/基板のセグメント化に関連してそれらの公称位置に従って変更することによって、それに応じて露光されるパターンを修正することによって、歪みを補償することを可能にする。これらの修正は、パターンのそれぞれの部分が基板上に投影される前にデータ経路で行われる。本発明の好適な実現例では、これらの補正は、両方の空間的方向XおよびYのスケーリング、回転、角度補正、およびX/Y変位/偏位補正を含むことができる。典型的には、各セグメントの歪み補正は、FWHM(半値全幅)ボケマルチビーム描画カラムと比較して小さい(FWHMボケは、1シグマボケの2.355倍に等しいことが注目される)。
以下で、本発明について、添付の図面に関連して下で詳細に説明する幾つかの実施形態によって例証する。ここに示す実施形態は例示的性質のものであって、発明の範囲を限定するものと解釈すべきではないことを強調しておく。図面は模式的である。
最新技術の荷電粒子マルチビームシステムの長手方向断面図を示す。 最新技術のパターン定義システムの長手方向断面図を示す。 図3A〜3Dは、マルチビームマスク描画ツールの強度プロファイルを示しており、図3Aは、ボケが無いときの線幅30nmの強度プロファイルを示し、図3Bは、重なり合う露光スポットの線量レベルを示し、図3Cは、図3Aと図3Bの重ね合わせを示し、図3Dは、シミュレーションによる線幅30nmの強度プロファイル66を示す。 それぞれ線幅31.4nm(図4A)および40.0nm(図4B)の線のシミュレーションのために得られたマルチビーム描画装置の強度プロファイルおよび関連データを示す。 出願人のマルチビーム描画ツールにより露光される30nm線の強度プロファイルを示す。 強度プロファイルが50%の強度レベルと交差する左側面の図5Aの詳細を示す。 6”フォトマスク基板のレイアウトの典型例を示す。 セグメントのX/Y位置のずれをゼロと仮定して、露光領域のセグメント化を示す。 変位ベクトルによって示される歪み補正がセグメントに割り当てられる一例を示す。 変位セグメントとしての歪み補正を示す。 単一セグメントの歪み補正の一般的割当を示す。 図7からの露光領域に影響を及ぼす、図8Cに示した補正タイプを含む一般的歪み補正の一例を示す。 4つのセグメントの分割境界により区切られる露光パターンの一例を示す。 本発明の第1変形例に係る歪み補正後の図9のパターンを示す。 本発明の第2変形例に係る、露光パターンのより小さい特徴への分割を示す。 第2変形例に係る歪み補正後のパターンを示す。 パターンの事前に分割された形状特徴が第2変形例に従って再結合された修正バージョンを示す。 セグメント境界を超える臨界形状特徴の処理中の臨界形状特徴要素を有するパターンを示す。 セグメント境界を超える臨界形状特徴の処理で、臨界要素全体が1つのセグメントに割り当てられるようにした、セグメント境界の修正を示す。 セグメント境界を超える臨界形状特徴の処理におけるX/Y偏位の結果得られた状況を示す。 セグメント境界を超える臨界形状特徴の処理における図12Cの同じ状況をより詳細に、かつ変位を誇張して示す。 本発明の第3変形例に係る、パターンのより小さい形状特徴への例示的分割を示す。 第3変形例に係る歪み補正後のパターンを示す。 ラスタライズの前に補正ベクトルデータファイルが生成されるデータ経路の流れを示す。 ラスタライズの後に補正画素データファイルが生成されるデータ経路の流れを示す。 X/Y変位ベクトルの例による、補正パラメータの補間処理における9つの隣接する異なるセグメントへの変位ベクトルの割当を示す。 補正パラメータの補間処理における各ベクトルおよびセグメントの同じ割当をXおよびY成分にそれぞれ分割した状態を示す。 補正パラメータの補間処理における相対パラメータのそれぞれXおよびY成分に対する補間値の等高線プロットを示す。
本発明を実現するのに適した荷電粒子マルチビームツールは、図1ないし図5Bに関連して上に記載されている。マルチビーム荷電粒子マルチビームツールについてのさらなる詳細は、米国特許第6,768,125号明細書、欧州特許第2187427(A1)号明細書、および欧州特許第2363875(A1)号明細書、ならびにそれらに引用されている文献に見ることができ、それらは全て、参照によって本開示の一部として本書に含まれる。
本発明によれば、露光領域は、以下で主として「セグメント」と呼ばれる複数の個別サブ領域に分割される。図7は、セグメントのX/Y位置の理想的なずれゼロに関して、セグメント91に分割された露光領域の一例を示す(示されているのは基板パターンフィールドの面積の一部である)。セグメント化は、規則的なグリッドとするか、かつ/または数学的グリッド92に沿って整列したグリッドに対応することができるが、必ずしもそうする必要はない。サブ領域は矩形または二次セグメント91として実現することが好ましい。本発明は前記領域の全ての有限要素被覆を含むことに留意されたい。ここで、セグメントのサイズ(または面積)(これは一般的にそれらの個数に反比例する)は、利用可能な計算能力(データ経路の速度)および要求される精度に関係する。この制約とは別に、セグメント化は自由に、しかし理想的には、歪みに関して適切に選択される。本発明の典型的な実現においては、計算能力は、マルチビーム描画装置のビームアレイフィールドより小さい最大セグメントサイズを可能にしなければならない。例えば、512×512=262,144個のビームレットを持つ82μm×82μmのビームアレイフィールドを有するマルチビーム描画処理で132mm×104mmのマスクフィールドを露光する場合、現実的に実現される利用可能な計算能力は、約10.25μm×10.25μmのセグメントへの分割を可能にする。
前記セグメントの各々に対し、個々の歪み補正が割り当てられ、それは、マルチビーム描画ツールおよび特にターゲットに影響を及ぼす歪みのモデルベースおよび/または測定ベースの解析から得られる。主として、これらの補正は配置補正に関係する。図8Aは、図7のセグメント化に対する配置補正ベクトルの割当の一例を示し、その結果、図8Bに示す偏位補正が生じる。この例では、全てのセグメント91’が、図8Aでセグメント領域偏位の方向を示し、かつその量を表す矢印93で示し、かつ図8Bでハッチング付きセグメント91’として示すように、数学的グリッド92に対してX/Y偏位を有する(X/Y偏位がゼロの2つのセグメントを例外とする)。これらの偏位は、明瞭を期すために誇張して描かれていることに留意されたい。より高次の補正を可能にするために、セグメントの各々にさらなる補正係数を割り当てることもできる。本発明の範囲内で、図8Cに示すように、これらの補正は、Xおよび/またはY方向に沿った上述の偏位D01以外に、例えば基準点を中心とする回転R01、X方向のスケーリングSX01、Y方向のスケーリングSY01、および角度補正A01を含むことができる。セグメントの補正を記述する各組のパラメータは、本発明の文脈で「転位」93’とも呼ばれる。図8Dは、図8Cの複数のタイプの補正に係る転位を含むシナリオにおける、セグメントへの影響を示す。角度補正のため、矩形セグメントは任意の平行四辺形91”になることに留意されたい。さらに、簡素化のために、後続の図面は全て、X/Y配置補正のみを含むことにも留意されたい。しかし、本発明はこの単一の補正に限定されない。
本発明の1つの重要な態様として、露光されるパターンには歪み補正が考慮される。これは、セグメントの各々に割り当てられた補正を、複数のグラフィック要素(例えばベクトルグラフィックスの場合は多角形、あるいはラスタグラフィックスの場合は画素)から構成される前記パターンにどのように組み込むか、その方法に関する。本発明の好適な実施形態は、以下のスキームを含む。
a)通常の用途では、露光されるパターンは、図9に示す多角形PG0のような多角形として与えられる(一般的に、パターンは複数の多角形およびおそらく他の構造、例えば円形ドット等を含む)。ここで、線94、95は、セグメントS01、S02、S03、S04の間の境界を表す。図10は、多角形PG0がこの第1変形例に係る歪み補正後にどのように見えるかを示す。図9AのベクトルD11、D12、D13、D14は、セグメントS01、S02、S03、S04に割り当てられた、変位/偏位補正に対応するベクトルである。本発明の1つの態様によれば、多角形を画定する頂点の座標は各々、それが位置するセグメントに従って補正される。例えば図10で、右上セグメントS03にある元の多角形(破線で示される)の全ての頂点は、ベクトルD13だけ集合的に偏位する。偏位以外のより一般的な補正(回転、X/Yスケーリング、および角度補正)の場合、1つのセグメント内の全ての頂点座標は、この特定のセグメントに割り当てられた補正に従って変換される。
b)本発明の第2変形例によれば、露光されるパターンは、露光領域のセグメント化の境界94、95と同一のセグメント境界でセグメントに分割され、それに従って補正される。例証実施例として、図11Aは、多角形PG0によって表された図9の露光パターンが、いかにしてセグメント線94、95によって4つの多角形PG01、PG02、PG03、PG04に分割されるかを示す。多角形PG01、PG02、PG03、およびPG04がセグメント境界94、95で交わる点は、図11Aで「境界点」P1、P2、P3、P4、およびP5として示される。図11Bは、セグメントのX/Y位置の補正後の状況を示す。多角形PG01’、PG02’、PG03’、およびPG04’は、各セグメントの個々の歪み補正に係る新しい位置を有することが分かる。境界点P1、P2、P3、P4、P5に代わって、今や12個の新しい境界点P1S01、P1S02、P2S02、P2S03、P3S03、P3S04、P4S04、P4S01、P5S01、P5S02、P5S03、およびP5S04が存在することに注目されたい。さらに、多角形PG01、PG02、PG03、PG04の各々は、セグメントの補正割当に従って、回転、再スケーリング、および角度補正を施すこともできる(図11Bには示さず)。
変形例b)の修正バージョンでは、実施例におけるセグメント化の結果である新しい境界点P1S01、P1S02、P2S02、P2S03、P3S03、P3S04、P4S04、P4S01、P5S01、P5S02、P5S03、およびP5S04は、露光パターンを再統合するためにも使用することができる。変形例b)のこの任意バージョンは図11Cに示され、対P1S01‐P1S02、P2S02‐P2S03、P3S03‐P3S04、およびP4S04‐P4S01間の接続(図11Cの太線)は、(セグメント化のため分離された)多角形PG01、PG02、PG03、PG04を単一の多角形PG0Sに再結合することを可能にし、再統合された多角形の内部に存する点P5S01、P5S02、P5S03、およびP5S04は消える。
変形例b)のさらなる任意バージョンの場合、臨界形状特徴のセグメント化を回避するために、セグメントの境界を適応させることができることに触れておくことは重要である。一例として、図12Aは、形状特徴がセグメント境界を超えて延びるような長さの臨界形状特徴142を持つパターンPN0を示す。したがって、臨界形状特徴の部分143は、パターンPN02の主要部を持つセグメントに属する一方、臨界形状特徴142の部分144は、隣接するセグメントS03の部分PN03に属する。そのような臨界形状特徴のセグメント化を回避するために、セグメント境界間の臨界領域を指し示すデータ経路アルゴリズムの自動機能が存在する。したがって、臨界形状特徴152を全体として1つのセグメントだけに、この場合セグメントS02に割り当てる決定が行われ、臨界形状特徴は形状特徴PN02、PN02’の部分であり続ける。この処置の結果を図12Bに示す。ここで、臨界形状特徴を含むパターンPN02が生成される。換言すると、臨界形状特徴152の領域のセグメント境界が変更される。図12Cは、変位/偏位補正の適用後の状況PN0’を示す。同じ状況を図12Dにより詳細に示し、ここで明確を期すために座標変位は誇張されている。
c)第3変形例によれば、露光パターンは、露光領域のセグメント化とは無関係に、複数のより小さい形状特徴(典型的には多角形であるが、必ずしもその必要はない)に分割することができる。各形状特徴の任意であるが適正な基準点は、形状特徴の各々を露光領域セグメントの1つに割り当てるために使用される。適正な基準点は、典型的には質量中心、または各形状特徴を閉囲する境界ボックスの中心である。その後、各形状特徴はそのセグメント割当に従って歪み補正される。
露光領域のセグメント化については、露光パターンのより小さい形状特徴への分割は自由に選択することができる。本発明の好適な実施形態では、形状特徴の最大サイズを明示する選択肢がある。典型的には、前記最大形状特徴サイズは、露光領域のセグメント化に関連して小さく選択される。
図13Aおよび図13Bは、変形例c)の一例を示す。図13Aは、図9の露光パターンが、セグメントS01、S02、S03、およびS04の境界94、95に関係なく、より小さい形状特徴(多角形部分)PL01、…、PL07から成る複数の多角形PL0に分割されることを示す。多角形部分PL01、…、PL07の各々には、B01、…、B07によって表される基準点が割り当てられる。本発明の好適な実施形態では、これらの基準点は、部分PL01、…PL07の質量中心、またはそれぞれの部分を最小包囲する矩形の境界ボックスの中心(すなわち、XおよびY方向に沿った矩形殻の中心)となるように選択される。次いで、歪み補正は、その基準点が存在するセグメントに従って各形状特徴に適用される。例えば、図13Bは、新しい部分PL01’…PL07’を含む結果的に生じた構造PL0’では、多角形部分PL02’、PL03’、およびPL04’は、それらの基準点B02、B03、およびB04が同一セグメントS02にあるので、依然として結合されており、したがって同じように偏位されるが、他の部分は相互に対して偏位されることを示す。例えば、部分PL01’は、基準点B01がセグメントS01にあり、S01に割り当てられるX/Y配置補正がセグメントS02のX/Y配置補正とは異なるので、歪み補正後に部分PL02’から分離される。
変形例a)およびc)はまた、露光領域を網羅するセグメントの中心に関連して、図8Cに示す係数D01、R01、SX01、SY01、A01のような歪み補正係数の2D多変量補間を適用することもできる。特に、本発明のこの実施形態によれば、変形例a)では、多角形を画定する頂点の座標の各々は、2次元補間値に従って(例えば双線形補間、双三次補間、またはスプライン補間を介して)補正される。変形例c)では、全ての形状特徴は、その基準点における補間値に従って歪み補正される。
図15は、9つの隣接セグメント間のX/Y変位ベクトルD15の補間の例を示す。図15は、本来割り当てられた2D変位ベクトルを示す。図15Aおよび図15Bでは、これらの変位ベクトルは、各セグメント毎にD15‐XおよびD15‐Yによって表されるそれらのそれぞれのXおよびY成分に分割されている。図15Cは、これらのベクトルのX成分に対する補間値D15’‐Xの等高線プロットを示し、図15Dは、これらのベクトルのY成分に対する補間値D15’‐Yの等高線プロットを示す。したがって、全体として、この補間は、成分D15’‐XおよびD15’‐Yによって定義される変位ベクトルの連続関数を生み出す。

<短距離歪み補正付きマルチビームシステムデータ経路>
図14Aおよび図14Bは、2つのそれぞれの異なるプロセスで上記の歪み補正をどのようにデータ経路の流れに組み込むかを示す。
完全なパターン画像は大量の画像データを含んでおり、それが、このデータの効率的な処理のために、好ましくは実時間で露光対象の画素データを生成する高速データ経路が必要とされる理由である。露光されるパターンは典型的には、例えば矩形、台形、または略多角形のような幾何学的形状の集合として、ベクトルフォーマットで記述され、それは典型的にはより優れたデータ圧縮をもたらし、したがってデータ格納の要件を軽減する。データ経路は、次の3つの主要な部分を含む。
1)上記変形例a)、b)、またはc)の1つを実現することのできる歪み補正処 理ユニット
2)ベクトルを画素データに変換するラスタライズプロセス
3)描画プロセスのために画素データを一時的に格納するバッファ
本発明に係る歪み補正は、ラスタライズの前(図14A)またはラスタライズの後(図14B)のいずれかに行うことができる。
図14Aは、歪み補正がラスタライズの前に行われる場合のデータ経路のフローチャート120を示す。データ経路は、例えばベクトルデータファイルとして提示される露光パターンが供給され次第、開始される121。
ステージ122:露光領域はより小さい面積にセグメント化される。本発明の好適な実現では、これらの面積は、マルチビーム描画装置のビームアレイフィールドの面積に比較して小さい(またはほぼ同じサイズである)。ベクトルドメインで適用することのできる補正(例えば近接効果補正)は全てのチャンクに対し、独立して、おそらく並行して実行することができ、結果的に得られるデータは格納され、その後のステップの計算速度を向上するやり方でコード化される。出力はチャンクの集合であり、全てのチャンクは幾何学的形状の集合を含む。
ステージ123:露光パターンは、上記の変形例a)、b)、またはc)の1つに従って歪み補正ために準備される。変形例の各々は、露光パターンの特定の形状特徴または点座標を露光領域のそれぞれのセグメントに割り当てるステップを含む。特に、変形例b)およびc)は、露光パターンをより小さい形状特徴に分割するステップを含む。
ステージ124:次に、歪み補正が各セグメントに対し別々に実行される。本発明では、これらの補正は、図8Cに示すように、空間方向XおよびY両方のスケーリングSX01、SY01、回転R01、角度補正A01、ならびに最も重要なX/Y配置補正D01のようなパラメータを含むことができる。
ステージ125は、マルチビームツールのためのラスタライズである。各形状特徴の幾何学的形状はラスタグラフィックアレイに変換される。ここで、画素の階調は、対応するアパーチャ像の物理的線量を表す。完全に幾何学的形状の内側にある全ての画素には、多角形の階調(強度値)が割り当てられる一方、幾何学的形状のエッジと交差する画素の階調は、幾何学的形状によって網羅される画素の面積の分率により加重される。この方法は、幾何学的形状の面積とラスタライズ後の総線量との間の線形関係を含意する。線量は最初に浮動小数点数として計算され、後になってからそれらは、PD装置によって支持される線量値の離散集合に変換される。ラスタライズの結果、画素データはそれぞれの画素の公称線量値を表す浮動小数点数のフォーマットになる。
ステージ126は、線量補正および/または欠陥ビーム補正のような他の画素毎の補正を含む。これらの補正の詳細はどこか別のところで見つけることができ、本発明の一部ではない。画素毎の補正が不要の場合、このステップは省くことができる。
ステージ127はディザリングを達成する。ディザリングプロセスは、予め定められた階調値スケールに基づいて、線量値データを階調値データに変換する。これは、丸め誤差が近傍画素全体で平均化されることを確実にする位置依存丸めプロセスであり、これをオーバサンプリングと組み合わせることにより、単一アパーチャに利用可能な線量値の離散集合を用いる場合よりずっと微細な線量変化が可能になる(「補償方法の説明」の節を参照されたい)。この変換は、ビジュアル画像データを画素グラフィックスに変換するための公知のアルゴリズムを用いて実現することができる。この段階で、実際の補正(例えば欠陥アパーチャの補正)に応じてディザリングの直前または直後に、追加補正(これは本発明の一部ではない)を適用することが、画素ドメインで適用できることを前提として、可能である。
ステージ128は画素パッケージングである。ステージ127から得られた画素画像は、配置グリッドシーケンスに従って格納され、電子パターン情報処理システム18(図1)の画素バッファ129に送られる。画素データは、充分な量のデータ、典型的には少なくともターゲット上に結像されるストライプの長さ分が存在するようになってストライプの露光がトリガされるまで、バッファリングされる。データは、描画プロセス中にバッファから取り出される。ストライプが描画された後、上述したプロセスが、次のストライプのような次の領域のパターンデータのために、新たに開始される。
上述し、かつ変形例b)およびc)についての図14Bのデータ経路のフローチャート130に示すように、ラスタ132の後に図14Bに示す歪み補正のステージ133、134、135を実現する可能性も存在する。その他の点では、図14Aで上述したのと同じ考慮事項が適用される。特に、ステージ131および136〜139は、データ経路120のステージ121および125〜129と同等である。ラスタグラフィックスの離散的性質を考慮すると、転位補正ではさらに、転位位置をラスタ座標に丸めることが必要になることがある。

Claims (13)

  1. 粒子ビーム(lb、50)が、ターゲット上の露光領域内の多数の画素を露光させることによって所望のパターンを描画するために前記粒子ビーム(pb)が通過する複数のブランキングアパーチャ(24)から構成されるアパーチャアレイ(26)を含むパターン定義装置(4)に向けられかつそれを照射する、荷電粒子リソグラフィ装置でターゲット(16)に所望のパターンを露光させるための露光パターンを計算するための方法であって、
    前記方法は、前記粒子ビームの方向を横切る転位に関して、前記露光領域内の前記ターゲットの空間依存歪みを考慮し、
    前記方法は、
    (i)前記露光領域を複数の非重複サブ領域(91)に分割するステップと、
    (ii)前記サブ領域(91)の各々に対しサブ領域転位(93、93’)を決定するステップであって、前記サブ領域転位(93、93’)がそれぞれのサブ領域(91’、91”)の位置におけるターゲットの歪みを記述する1組のパラメータ(D01、R01、A01、SX01、SY01)を含んで成るステップと、
    (iii)前記所望のパターンを前記ターゲット上の前記露光領域のグラフィック表現(PG0、PN0、PL0)として提供するステップであって、前記グラフィック表現は複数のグラフィック要素から構成され、各グラフィック要素は前記露光領域のそれぞれの位置に各々配置されて成るステップと、
    (iv)各グラフィック要素を、前記グラフィック要素のそれぞれの位置を含む前記サブ領域のサブ領域転位に従って転位させ、こうして転位され、補正されたグラフィック表現(PG0’、PG0S、PN0’、PL0’)を構成する複数のグラフィック要素を得ることによって、前記グラフィック表現を前記複数のサブ領域転位(93、93’)に従って修正するステップと、
    (v)前記補正されたグラフィック表現から、多数の画素上に定義される露光パターンであって、前記所望のパターンを表わす等高線を実現する前記ターゲット上の公称線量分布を生成するのに適した露光パターンを算出するステップと、を含み、
    前記グラフィック表現は、各々が頂点を含む多角形として定義されるグラフィック要素を含み、かつステップ(iv)において、各グラフィック要素の転位は、前記頂点のそれぞれの位置を含むサブ領域のサブ領域転位に従って各頂点を転位させることによって実行される、方法。
  2. 粒子ビーム(lb、50)が、ターゲット上の露光領域内の多数の画素を露光させることによって所望のパターンを描画するために前記粒子ビーム(pb)が通過する複数のブランキングアパーチャ(24)から構成されるアパーチャアレイ(26)を含むパターン定義装置(4)に向けられかつそれを照射する、荷電粒子リソグラフィ装置でターゲット(16)に所望のパターンを露光させるための露光パターンを計算するための方法であって、
    前記方法は、前記粒子ビームの方向を横切る転位に関して、前記露光領域内の前記ターゲットの空間依存歪みを考慮し、
    前記方法は、
    (i)前記露光領域を複数の非重複サブ領域(91)に分割するステップと、
    (ii)前記サブ領域(91)の各々に対しサブ領域転位(93、93’)を決定するステップであって、前記サブ領域転位(93、93’)がそれぞれのサブ領域(91’、91”)の位置におけるターゲットの歪みを記述する1組のパラメータ(D01、R01、A01、SX01、SY01)を含んで成るステップと、
    (iii)前記所望のパターンを前記ターゲット上の前記露光領域のグラフィック表現(PG0、PN0、PL0)として提供するステップであって、前記グラフィック表現は複数のグラフィック要素から構成され、各グラフィック要素は前記露光領域のそれぞれの位置に各々配置されて成るステップと、
    (iv)各グラフィック要素を、前記グラフィック要素のそれぞれの位置を含む前記サブ領域のサブ領域転位に従って転位させ、こうして転位され、補正されたグラフィック表現(PG0’、PG0S、PN0’、PL0’)を構成する複数のグラフィック要素を得ることによって、前記グラフィック表現を前記複数のサブ領域転位(93、93’)に従って修正するステップと、
    (v)前記補正されたグラフィック表現から、多数の画素上に定義される露光パターンであって、前記所望のパターンを表わす等高線を実現する前記ターゲット上の公称線量分布を生成するのに適した露光パターンを算出するステップと、を含み、
    前記グラフィック表現は、各々が頂点を含む多角形として定義されるグラフィック要素を含み、かつステップ(iv)において、各グラフィック要素の転位は、それぞれのサブ領域に割り当てられた多角形の各部分を、前記それぞれのサブ領域のサブ領域転位に従って転位させることによって実行され、
    サブ領域間の境界を超える多角形は、前記サブ領域境界に沿って多角形の複数の部分に分割される、方法。
  3. 粒子ビーム(lb、50)が、ターゲット上の露光領域内の多数の画素を露光させることによって所望のパターンを描画するために前記粒子ビーム(pb)が通過する複数のブランキングアパーチャ(24)から構成されるアパーチャアレイ(26)を含むパターン定義装置(4)に向けられかつそれを照射する、荷電粒子リソグラフィ装置でターゲット(16)に所望のパターンを露光させるための露光パターンを計算するための方法であって、
    前記方法は、前記粒子ビームの方向を横切る転位に関して、前記露光領域内の前記ターゲットの空間依存歪みを考慮し、
    前記方法は、
    (i)前記露光領域を複数の非重複サブ領域(91)に分割するステップと、
    (ii)前記サブ領域(91)の各々に対しサブ領域転位(93)を決定するステップであって、前記サブ領域転位(93、93’)がそれぞれのサブ領域(91’、91”)の位置におけるターゲットの歪みを記述する1組のパラメータ(D01、R01、A01、SX01、SY01)を含んで成るステップと、
    (iii)前記所望のパターンを前記ターゲット上の前記露光領域のグラフィック表現(PG0、PN0、PL0)として提供するステップであって、前記グラフィック表現は複数のグラフィック要素から構成され、各グラフィック要素は前記露光領域のそれぞれの位置に各々配置されて成るステップと、
    (iv)各グラフィック要素を、前記グラフィック要素のそれぞれの位置を含む前記サブ領域のサブ領域転位に従って転位させ、こうして転位され、補正されたグラフィック表現(PG0’、PG0S、PN0’、PL0’)を構成する複数のグラフィック要素を得ることによって、前記グラフィック表現を前記複数のサブ領域転位(93、93’)に従って修正するステップと、
    (v)前記補正されたグラフィック表現から、多数の画素上に定義される露光パターンであって、前記所望のパターンを表わす等高線を実現する前記ターゲット上の公称線量分布を生成するのに適した露光パターンを算出するステップと、を含み、
    前記グラフィック表現は、各々が頂点を含む多角形として定義されるグラフィック要素を含み、かつステップ(iv)において、各グラフィック要素の転位は、それぞれのサブ領域に割り当てられた多角形の各部分を、前記それぞれのサブ領域のサブ領域転位に従って転位させることによって実行され、
    多角形は任意の部分に分割され、各部分は、その完全な面積、および/またはその質量中心、および/または前記部分を最小包囲する境界ボックスの中心、および/またはいずれかの他の選り抜きの基準点を持つサブ領域の面積内に含まれ、前記サブ領域はそれぞれの部分のサブ領域転位を決定する、方法。
  4. 各転位(93)は、前記粒子ビームの方向に直交する平面内の前記それぞれのサブ領域(91)の代表点の線形移動を記述する変位ベクトルを含む、請求項1ないし3のいずれかに記載の方法。
  5. 各転位(93)は、前記粒子ビームの方向に直交する平面内の前記それぞれのサブ領域(91)の代表点の転位を記述する複数のパラメータを含み、前記パラメータは、回転角(R01)、剪断面角(A01)、X方向に沿ったスケーリング(SX01)、およびY方向に沿ったスケーリング(SY01)のうちの少なくとも1つを含む、請求項1ないし3のいずれかに記載の方法。
  6. 前記パターン定義装置(4)の前記アパーチャアレイ(26)は前記ターゲット上に結像されて、前記ターゲット上にビームアレイフィールドを生成し、前記ビームアレイフィールドは複数のアパーチャ像から構成され、かつ前記サブ領域の少なくとも幾つかは、ビームアレイフィールドによって網羅される面積より小さい、請求項1ないし3のいずれかに記載の方法。
  7. 2つ以上の部分を含む各多角形について、前記多角形の前記部分は、転位された後で、1つの多角形に再結合される、請求項に記載の方法。
  8. 臨界多角形形状特徴は、完全に1つのサブ領域に属するものとして処理される、請求項2または3に記載の方法。
  9. 前記サブ領域の変位のパラメータは多変量補間を用いて補間され、かつ前記補間された変位パラメータ(D15’‐X、D15’‐Y)は、グラフィック要素の質量中心または頂点のような、前記グラフィック要素の関連位置に適用される、請求項1ないし8のいずれかに記載の方法。
  10. ステップiv)で前記グラフィック表現を修正した後、ステップv)で前記露光パターンを算出するために使用されるラスタグラフィックを得るために、前記グラフィック表現はラスタライズされる、請求項1ないし9のいずれかに記載の方法。
  11. ステップiv)で使用されるグラフィック表現は、ステップiv)の前にラスタライズによってベクトルグラフィックスから得られるラスタグラフィックスである、請求項1ないし10のいずれかに記載の方法。
  12. ステップi)で、前記露光領域は、規則的なグリッドに従って複数の非重複サブ領域(91)に細分化される、請求項1ないし11のいずれかに記載の方法。
  13. 荷電粒子の構造化ビームによってターゲット(16)を露光させるための荷電粒子マルチビーム処理装置(1)であって、
    ‐照射システム(3)と、
    ‐パターン定義装置(4)と、
    ‐投影光学システム(5)と、
    を備え、
    前記照射システム(3)は、前記荷電粒子のビームを生成し、かつ前記ビームを、パターン定義装置(4)を照射する幅広のビーム(lb)にするように構成され、前記パターン定義装置(4)は、前記照射ビームの形状を露光パターンに従って多数のサブビームから成る構造化ビームにするように構成され、かつ前記投影光学システム(5)は、前記パターン定義装置で定義されたビーム形状の像を前記ターゲット(16)上に投影し、こうして前記ターゲット上の像領域内の多数の画素(px)を露光するように構成され、
    請求項1ないし12のいずれかに記載の方法を使用して、補正されたグラフィック表現および対応する露光パターンを算出するように構成されたパターン情報処理システム(18)を備えた、荷電粒子マルチビーム処理装置。
JP2015161541A 2014-09-05 2015-08-19 マルチビーム描画装置における短距離転位の補正 Active JP6587453B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP14183705 2014-09-05
EP14183705.4 2014-09-05

Publications (2)

Publication Number Publication Date
JP2016058723A JP2016058723A (ja) 2016-04-21
JP6587453B2 true JP6587453B2 (ja) 2019-10-09

Family

ID=51485499

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015161541A Active JP6587453B2 (ja) 2014-09-05 2015-08-19 マルチビーム描画装置における短距離転位の補正

Country Status (3)

Country Link
EP (1) EP2993684B1 (ja)
JP (1) JP6587453B2 (ja)
KR (1) KR102380475B1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
JP7126367B2 (ja) 2018-03-29 2022-08-26 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210099516A (ko) 2020-02-03 2021-08-12 아이엠에스 나노패브릭케이션 게엠베하 멀티―빔 라이터의 블러 변화 보정
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4467211A (en) * 1981-04-16 1984-08-21 Control Data Corporation Method and apparatus for exposing multi-level registered patterns interchangeably between stations of a multi-station electron-beam array lithography (EBAL) system
JP3335845B2 (ja) * 1996-08-26 2002-10-21 株式会社東芝 荷電ビーム描画装置及び描画方法
JPH11195589A (ja) * 1998-01-05 1999-07-21 Canon Inc マルチ電子ビーム露光方法及び装置、ならびにデバイス製造方法
US6552353B1 (en) * 1998-01-05 2003-04-22 Canon Kabushiki Kaisha Multi-electron beam exposure method and apparatus and device manufacturing method
JP2001265012A (ja) * 2000-03-17 2001-09-28 Nippon Telegr & Teleph Corp <Ntt> 微細パタン形成方法
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
GB2399676B (en) 2003-03-21 2006-02-22 Ims Ionen Mikrofab Syst Apparatus for enhancing the lifetime of stencil masks
TWI432908B (zh) * 2006-03-10 2014-04-01 Mapper Lithography Ip Bv 微影系統及投射方法
US7781748B2 (en) * 2006-04-03 2010-08-24 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
EP2187427B1 (en) 2008-11-17 2011-10-05 IMS Nanofabrication AG Method for maskless particle-beam exposure
US8198601B2 (en) 2009-01-28 2012-06-12 Ims Nanofabrication Ag Method for producing a multi-beam deflector array device having electrodes
US8546767B2 (en) 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法

Also Published As

Publication number Publication date
EP2993684A1 (en) 2016-03-09
EP2993684B1 (en) 2017-03-08
KR20160029699A (ko) 2016-03-15
KR102380475B1 (ko) 2022-03-31
JP2016058723A (ja) 2016-04-21

Similar Documents

Publication Publication Date Title
JP6587453B2 (ja) マルチビーム描画装置における短距離転位の補正
US9568907B2 (en) Correction of short-range dislocations in a multi-beam writer
US9653263B2 (en) Multi-beam writing of pattern areas of relaxed critical dimension
JP6892214B2 (ja) 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9799487B2 (en) Bi-directional double-pass multi-beam writing
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
JP6681233B2 (ja) 限界寸法が緩和されたパターンエリアのマルチビーム描画
JP7178841B2 (ja) 限定的位置付けグリッドを用いるターゲットの照射方法
US10651010B2 (en) Non-linear dose- and blur-dependent edge placement correction
JP7183315B2 (ja) マルチビーム描画機におけるブラー変化の補正
JP2019075543A5 (ja)
KR20190022402A (ko) 멀티­빔 기록 장치에서 노광되는 노광 패턴에서의 선량­관련 피처 재형성
JP7299705B2 (ja) 非線形的線量およびブラー(ボケ)に依存するエッジ配置の補正
KR20160041765A (ko) 묘화 데이터 생성 방법, 멀티 하전 입자빔 묘화 장치 및 패턴 검사 장치
EP3355337B1 (en) Advanced dose-level quantization for multibeam-writers
JP2022181200A (ja) プログラマブル直接描画装置のためのパターンデータ処理
EP3093869B1 (en) Multi-beam writing using inclined exposure stripes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180702

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190507

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190820

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190910

R150 Certificate of patent or registration of utility model

Ref document number: 6587453

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350