JP6564928B2 - レシプロカル量子論理(rql)回路シミュレーションシステム - Google Patents

レシプロカル量子論理(rql)回路シミュレーションシステム Download PDF

Info

Publication number
JP6564928B2
JP6564928B2 JP2018501286A JP2018501286A JP6564928B2 JP 6564928 B2 JP6564928 B2 JP 6564928B2 JP 2018501286 A JP2018501286 A JP 2018501286A JP 2018501286 A JP2018501286 A JP 2018501286A JP 6564928 B2 JP6564928 B2 JP 6564928B2
Authority
JP
Japan
Prior art keywords
rql
circuit design
component
predefined
components
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018501286A
Other languages
English (en)
Other versions
JP2018529144A (ja
Inventor
ティ. オバーグ、オリバー
ティ. オバーグ、オリバー
ビー. シャウク、スティーブン
ビー. シャウク、スティーブン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Northrop Grumman Systems Corp
Original Assignee
Northrop Grumman Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Northrop Grumman Systems Corp filed Critical Northrop Grumman Systems Corp
Publication of JP2018529144A publication Critical patent/JP2018529144A/ja
Application granted granted Critical
Publication of JP6564928B2 publication Critical patent/JP6564928B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/337Design optimisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06NCOMPUTING ARRANGEMENTS BASED ON SPECIFIC COMPUTATIONAL MODELS
    • G06N10/00Quantum computing, i.e. information processing based on quantum-mechanical phenomena

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Superconductor Devices And Manufacturing Methods Thereof (AREA)

Description

この開示は、概して、量子および古典的回路システムに関し、具体的には、レシプロカル量子論理(RQL)回路シミュレーションシステムに関する。
回路シミュレーションは、シミュレーション方式で電子回路を設計し、シミュレーション環境において電子回路の動作特性をテストする方式である。回路シミュレーションの具体化により、はるかに費用効果の高い効率的な回路挙動のテスト方法ひいては回路設計パラメータの最適化を提供することができる。典型的なシミュレーション回路は、CMOS回路の動作の論理テストを提供するためなどの相補型金属酸化膜半導体(CMOS)回路であり得る。CMOS回路では、回路の論理成功および/または回路と関連付けられたタイミング特性を検証するため、回路シミュレーションに組合せおよび順序コンポーネント構築ブロックを含めることができる。しかし、超電導回路システムなどの他のタイプの回路がより広く普及されるようになりつつあり、完全に異なる動作特性を有し得る。レシプロカル量子論理(RQL)回路などの超電導回路システムは、CMOS回路と同じ組合せおよび順序分類では動作せず、従って、同じ方式でシミュレーションすることはできない。
一例は、RQL回路シミュレーションシステムを含む。システムは、少なくとも1つの既定のRQL回路設計コンポーネントを含むRQL回路設計を設計するためにユーザ入力を可能にする回路設計ツールを含む。また、システムは、RQL回路設計と、少なくとも1つの既定のRQL回路設計コンポーネントを選ぶ対象となる既定のRQL回路設計コンポーネントを含むRQLコンポーネントライブラリとを格納するメモリシステムも含む。既定のRQL回路設計コンポーネントの各々は、既定のRQL回路設計コンポーネントのそれぞれの1つの性能と関連付けられた既定のRQLコンポーネントメトリクスを含む。また、システムは、既定のRQL回路設計コンポーネントのそれぞれの少なくとも1つと関連付けられた既定のRQLコンポーネントメトリクスに基づいてRQL回路設計と関連付けられた性能メトリクスを収集し、性能メトリクスに基づいてRQL回路設計をシミュレーションするように構成された回路シミュレータも含む。
本発明の別の実施形態は、RQL回路設計をシミュレーションするための方法を含む。方法は、複数の既定のRQL回路設計コンポーネントを設計するために回路設計ツールを介してユーザ入力を可能にするステップと、複数の既定のRQL回路設計コンポーネントの各々と関連付けられた既定のRQLコンポーネントメトリクスを計算するステップとを含む。また、方法は、既定のRQL回路設計コンポーネントおよび関連付けられた既定のRQLコンポーネントメトリクスをRQLコンポーネントライブラリに格納するステップや、複数の既定のRQL回路設計コンポーネントの少なくとも1つを含むRQL回路設計を設計するために回路設計ツールを介してユーザ入力を可能にするステップも含む。方法は、複数の既定のRQL回路設計コンポーネントのそれぞれの少なくとも1つと関連付けられた既定のRQLコンポーネントメトリクスに基づいてRQL回路設計と関連付けられた総合性能メトリクスを収集するステップと、総合性能メトリクスに基づいて回路シミュレータを介してRQL回路設計をシミュレーションするステップとをさらに含む。
本発明の別の実施形態は、RQL回路シミュレーションシステムを含む。システムは、少なくとも1つの既定のRQL回路設計コンポーネントを含むRQL回路設計を設計するためにユーザ入力を可能にするように構成された回路設計ツールを含む。また、システムは、RQL回路設計と、少なくとも1つの既定のRQL回路設計コンポーネントを選ぶ対象となる複数の既定のRQL回路設計コンポーネントを含むRQLコンポーネントライブラリとを格納するように構成されたメモリシステムも含む。複数の既定のRQL回路設計コンポーネントの各々は、既定のRQLコンポーネントメトリクスを含む。既定のRQLコンポーネントメトリクスは、複数の既定のRQL回路設計コンポーネントのそれぞれの1つと関連付けられた、少なくとも1つのジョセフソン接合と関連付けられたタイミングデータおよび単一磁束量子(SFQ)パルスと関連付けられたタイミング挙動を含む。また、システムは、RQL回路設計を評価し、複数の既定のRQL回路設計コンポーネントのそれぞれの少なくとも1つの各個体と関連付けられた既定のRQLコンポーネントメトリクスに基づいてRQL回路設計と関連付けられた総合性能メトリクスを収集するためにRQLコンポーネントライブラリにアクセスするように構成された性能メトリクス収集部を含む回路シミュレータも含む。また、回路シミュレータは、総合性能メトリクスに基づいてRQL回路設計をシミュレーションするように構成されたプロセッサも含む。
RQL回路シミュレーションシステムの例を示す。 RQLコンポーネントライブラリの例を示す。 RQLコンポーネントメトリクスファイルの例を示す。 RQL回路シミュレーションシステムの別の例を示す。 RQL回路をシミュレーションするための方法の例を示す。
この開示は、概して、量子および古典的回路システムに関し、具体的には、レシプロカル量子論理(Reciprocal Quantum Logic: RQL)回路シミュレーションシステムに関する。RQL回路シミュレーションシステムは、RQL回路シミュレーションシステムでシミュレーションすることができるRQL回路設計(RQL circuit design)を設計するためにユーザ入力を可能にするように構成された回路設計ツールを含む。RQL回路設計は、メモリシステムに格納することができ、メモリシステムは、RQLコンポーネントライブラリも格納することができる。RQLコンポーネントライブラリは、各々が既定のRQLコンポーネントメトリクス(RQL component metrics)と関連付けられた複数の既定のRQL回路設計コンポーネントを格納することができる。既定のRQL回路設計コンポーネントは、回路設計ツールを介して設計されている場合があり、ジョセフソン伝送路(Josephson transmission lines : JTL)および/またはRQLゲート(例えば、超電導論理ゲート)を含み得る。例えば、既定のRQL回路設計コンポーネントは、RQLコンポーネントメトリクスの計算のために回路シミュレータに提供することができる。例えば、RQLコンポーネントメトリクスは、それぞれの既定のRQL回路設計コンポーネントの各々と関連付けられた、少なくとも1つのジョセフソン接合と関連付けられたタイミングデータおよび/または単一磁束量子(single-flux quantum : SFQ)パルスのタイミング挙動を含み得る。
RQL回路設計は、RQL回路設計の動作特性のシミュレーションのために回路シミュレータによってアクセスすることができる。回路シミュレータは、性能メトリクス収集部(performance metric compiler)を含み、性能メトリクス収集部は、RQL回路設計と関連付けられたRQL回路設計コンポーネントを決定するためにRQL回路設計を評価し、RQL回路設計と関連付けられた総合性能メトリクスを収集するためにRQLコンポーネントライブラリから既定のRQL回路設計コンポーネントの各々にアクセスすることができるように構成される。従って、回路シミュレータは、RQL回路設計の動作挙動および/または論理成功を決定するためになど、総合性能メトリクスに基づいてプロセッサを介してRQL回路設計をシミュレーションすることができる。回路シミュレータは、回路設計ツールにシミュレーション結果を提供することができ、その結果、回路設計ツールは、フィードバック方式でRQL回路設計の最適化を提供するために、追加のユーザ入力を受信することができる。
図1は、レシプロカル量子論理(RQL)回路シミュレーションシステム10の例を示す。RQL回路シミュレーションシステム10は、相補型金属酸化膜半導体(CMOS)回路、レシプロカル量子論理(RQL)回路またはその両方の組合せなどの回路を設計してシミュレーションするために実装することができる。
RQL回路シミュレーションシステム10は、回路設計ツール12、メモリシステム14および回路シミュレータ16を含む。回路設計ツール12は、コンピュータ、専用ワークステーション、インターネットポータル、グラフィカルユーザインタフェース(graphical user interface : GUI)または他の各種のタイプのユーザインタフェースのいずれかなど、回路を設計するためにユーザ入力を受信するための各種のタイプのユーザインタフェースのいずれかとして構成することができる。例えば、回路設計ツール12は、挙動レジスタ転送レベル(Register Transfer Level : RTL)コード、VHSICハードウェア記述言語(VHDL)コードまたはヴェリログ(Verilog)コードに基づいてなど、CMOSおよび/またはRQL回路を設計するように構成された回路合成ツール(例えば、市販のもの)として構成することができる。メモリシステム14は、関連付けられたコンピュータシステム(回路設計ツール12を含む)などのデータを格納するように構成された1つのメモリデバイスまたは複数のメモリデバイスの配列として構成することができる。回路シミュレータ16は、古典的演算回路システム(例えば、CMOS回路)および量子演算回路システム(例えば、RQL回路)を含むなど、回路設計ツール12を介して設計された回路をシミュレーションするように構成される。回路シミュレータ16は、例えば、プロセッサ18によって実行されメモリシステム14に格納されるソフトウェアコンポーネントとして構成することも、特定用途向け集積回路(ASIC)またはASICの一部として構成することもできる。例として、回路シミュレータ16は、物理的な回路シミュレーションエンジン、論理的な回路シミュレーションエンジンまたはそれらの組合せとして構成することができる。
図1の例では、回路設計ツール12は、メモリシステム14に格納されたRQL回路設計20を設計するためにユーザ入力を可能にするように構成することができる。RQL回路設計20は、量子演算コンポーネントの収集体および量子演算コンポーネントが相互作用できる古典的演算コンポーネントの収集体に相当し得る。例えば、RQL回路設計20は、一連の単一磁束量子(single-flux quantum : SFQ)パルスに基づいてなど、論理動作を実行するように構成された1つまたは複数のRQLゲート回路を相互接続する1つまたは複数のジョセフソン伝送路(JTL)を含み得る。別の例として、回路設計ツール12は、より大きなRQL回路設計20の一部分ひいては全体的により大きな回路を構成できるようにRQL回路設計コンポーネントを設計するように構成することができる。本明細書で説明されるように、「RQL回路設計コンポーネント」という用語は、より大きな回路の構築ブロックである回路コンポーネントを説明し、その結果、より大きな機能的なRQL回路(例えば、RQL回路設計20)は、より大きな機能的なRQL回路の複合機能を提供するために共に結合された複数の既定のRQL回路設計コンポーネントから構成される。
また、メモリシステム14は、複数の既定のRQL回路設計コンポーネント24を格納するように構成されたRQLコンポーネントライブラリ22も格納する。例えば、既定のRQL回路設計コンポーネント24は、回路設計ツール12を介して設計され、RQLコンポーネントライブラリ22の既定のRQL回路設計コンポーネント24の組合せに基づいてRQL回路設計20を設計することを目的としてRQLコンポーネントライブラリ22に格納されている場合がある。また、RQLコンポーネントライブラリ22は、既定のRQL回路設計コンポーネント24のうちの対応する各RQL回路設計コンポーネント24と関連付けられた既定のRQLコンポーネントメトリクスを格納するようにも構成される。RQLコンポーネントメトリクスは、各種の動作条件の下で既定のRQL回路設計コンポーネント24の各々と関連付けられたタイミングデータおよびタイミング挙動などの各種の性能データを含み得る。例えば、RQLコンポーネントメトリクスは、既定のRQL回路設計コンポーネント24と関連付けられた少なくとも1つのジョセフソン接合、正および負の両方のSFQパルスに対する挙動反応、ならびに/あるいは、RQL回路設計20と関連付けられたバイアス(例えば、バイアス電流)およびクロック信号の少なくとも1つの振幅範囲と関連付けられたコーナーメトリクス(corner metrics)と関連付けられた性能データを含み得る。従って、RQLコンポーネントライブラリ22は、個々の方式での既定のRQL回路設計コンポーネントのうちの対応する各RQL回路設計コンポーネント24の性能に関する情報を格納することができる。
回路シミュレータ16は、プロセッサ18および性能メトリクス収集部26を含む。プロセッサ18は、回路シミュレータ16に特有のプロセッサでも、RQL回路シミュレーションシステム10を制御するプロセッサでもあり得る。性能メトリクス収集部26は、回路設計(例えば、RQL回路設計20)に関して回路シミュレータ(例えば、回路シミュレータ16)から提供されたシミュレーションデータの後処理を実装するように構成することができる。例えば、性能メトリクス収集部26は、RQL回路設計20と関連付けられた既定のRQL回路設計コンポーネント24のうちの対応する各RQL回路設計コンポーネント24と関連付けられたRQLコンポーネントメトリクスに基づいてRQL回路設計20と関連付けられた性能メトリクスを収集するために、メモリシステム14からRQL回路設計20にアクセスするようにおよびRQLコンポーネントライブラリ22から既定のRQL回路設計コンポーネント24にアクセスするように構成される。例えば、性能メトリクス収集部26は、RQL回路設計20を構成する既定のRQL回路設計コンポーネント24の数量およびタイプを識別するためにRQL回路設計20を評価するように構成することができる。従って、RQL回路設計20を集合的に形成する既定のRQL回路設計コンポーネント24を決定次第、性能メトリクス収集部26は、既定のRQL回路設計コンポーネント24の各々と関連付けられたRQLコンポーネントメトリクスにアクセスすることができる。それに従って、性能メトリクス収集部26は、RQL回路設計20を形成する、対応する既定のRQL回路設計コンポーネント24の各々の性能メトリクス(数量とタイプの両方)に基づいてRQL回路設計20と関連付けられた総合性能メトリクスを収集することができる。あるいは、既定のRQL回路設計コンポーネント24は、RQL回路設計20の生成または保存に応答して、性能メトリクス収集部26と自動的に通信することができ、その結果、性能メトリクス収集部26は、RQL回路設計20と関連付けられた総合性能メトリクスを実質的に自動的に収集することができ、その結果、RQL回路設計20と共に総合性能メトリクスをメモリシステム14に保存することができる。
RQL回路設計20の総合性能メトリクスに基づいて、プロセッサ18は、RQL回路設計20をシミュレーションするように構成することができる。RQL回路設計20のシミュレーションは、総合性能メトリクスに基づき、その結果、RQL回路設計20のシミュレーションは、RQL回路設計20の動作および挙動特性をテストすることができる。例えば、RQL回路設計20のシミュレーションは、RQL回路設計20の設計パラメータおよび/または周辺動作パラメータに基づいてなど、RQL回路設計20の性能をテストするように実装することおよび/またはRQL回路設計20の動作と関連付けられた論理失敗を示すことができる。図1の例では、シミュレーションのシミュレーション結果は、1人または複数のそれぞれのユーザに有用なフォーマットでシミュレーション結果を提供するために、回路シミュレータ16から回路設計ツール12に戻すことができる。その結果、ユーザは、RQL回路設計20を最適化するために、リアルタイムでなど、フィードバック方式でRQL回路設計20を修正するように回路設計ツール12を実装することができる。従って、ユーザは、RQL回路設計20の変更を容易にして所望の結果を得るために、実質的にリアルタイムでのRQL回路設計20の変更の効果をモニタすることができる。
それに加えて、図1の例では、回路シミュレータ16は、回路メトリクス計算機28も含む。先に説明されるように、回路設計ツール12は、回路設計ツール12を介して設計されたRQL回路設計コンポーネント24をメモリシステム14のRQLコンポーネントライブラリ22に格納できるように、RQL回路設計コンポーネント24を設計するように構成することができる。回路メトリクス計算機28は、回路設計ツール12を介して設計されたRQL回路設計コンポーネント24と関連付けられたRQLコンポーネントメトリクスを計算するように構成することができる。例えば、回路設計ツール12は、回路シミュレータ16を介してなど、RQL回路設計コンポーネント24のタイプ(例えば、JTL回路システムまたはRQLゲート回路システム)に基づいて、設計されたRQL回路設計コンポーネント24に対する既定のテストパラメータセットを提供するように実装することができる。従って、回路メトリクス計算機28は、既定のテストパラメータセットに応答したRQL回路設計コンポーネント24の挙動に関するRQL回路設計コンポーネント24のRQLコンポーネントメトリクスを計算することができる。それに従って、回路メトリクス計算機28は、RQLコンポーネントライブラリ22にそれぞれのRQL回路設計コンポーネント24と共にそれぞれのRQL回路設計コンポーネント24のRQLコンポーネントメトリクスを保存することができる。その結果、性能メトリクス収集部26は、それぞれの既定のRQL回路設計コンポーネント24のそれぞれのRQLコンポーネントメトリクスを決定してそれぞれのRQL回路設計コンポーネント24を含む関連付けられたRQL回路設計20の性能メトリクスを収集するために、既定のRQL回路設計コンポーネント24に後にアクセスすることができる。
図2は、RQLコンポーネントライブラリ50の例を示す。RQLコンポーネントライブラリ50は、図1の例におけるRQLコンポーネントライブラリ22に相当し得る。従って、以下の図2の例の説明において、図1の例を参照する。
RQLコンポーネントライブラリ50は、RQLコンポーネントライブラリ50に格納された既定のRQL回路設計コンポーネント(例えば、図1の例における既定のRQL回路設計コンポーネント24に相当する)の第1の部分を形成する複数X(Xは、正の整数である)のJTL回路コンポーネント52を含む。JTL回路コンポーネント52は、各々が、互いに固有の異なるタイプのJTL回路に相当し得る。例として、JTL回路コンポーネント52は、所定のRQL回路(例えば、RQL回路設計20)においてデバイス(例えば、RQLゲート回路デバイス)間で正および/または負のSFQパルスを伝播するための、異なるジョセフソン接合の組合せ、ジョセフソン接合のセット間のおよびジョセフソン接合のセットを含むノード接合、磁束シャトル、ならびに/あるいは、他の各種のジョセフソン接合の組合せに相当し得る。JTL回路コンポーネント52の各々は、それぞれのJTL回路コンポーネント52の挙動および/またはタイミング特性と関連付けられたRQLコンポーネントメトリクス54のセットを含む。例えば、挙動および/またはタイミング特性は、それぞれのJTL回路コンポーネント52と関連付けられた少なくとも1つのジョセフソン接合、正および負の両方のSFQパルスに対する挙動反応、ならびに/あるいは、それぞれのJTL回路コンポーネント52の動作の基礎となるバイアス(例えば、バイアス電流)およびクロック信号の少なくとも1つの振幅範囲と関連付けられたコーナーメトリクスと関連付けられた性能データに相当し得る。
RQLコンポーネントライブラリ50は、RQLコンポーネントライブラリ50に格納された既定のRQL回路設計コンポーネント(例えば、図1の例における既定のRQL回路設計コンポーネント24に相当する)の第2の部分を形成する複数Y(Yは、正の整数である)のRQLゲート回路コンポーネント56を含む。RQLゲート回路コンポーネント56は、各々が、互いに固有の異なるタイプのRQL論理ゲートおよび/またはデバイスに相当し得る。例として、RQLゲート回路コンポーネント56は、所定のRQL回路(例えば、RQL回路設計20)においてJTL(例えば、JTL回路コンポーネント52)からおよびJTLに提供された正および/または負のSFQパルスに基づいて論理動作を実行するための、異なるタイプの可変共振器(例えば、量子ビット)、超電導量子干渉素子(SQUID)、ジョセフソン接合の配列および/または他の各種の回路デバイスの組合せに相当し得る。RQLゲート回路コンポーネント56の各々は、それぞれのRQLゲート回路コンポーネント56の挙動および/またはタイミング特性と関連付けられたRQLコンポーネントメトリクス58のセットを含む。例えば、挙動および/またはタイミング特性は、それぞれのRQLゲート回路コンポーネント56と関連付けられた少なくとも1つのジョセフソン接合、正および負の両方のSFQパルスに対する挙動反応、ならびに/あるいは、それぞれのRQLゲート回路コンポーネント56の動作の基礎となるバイアス電流およびクロック信号の少なくとも1つの振幅範囲と関連付けられたコーナーメトリクスと関連付けられた性能データに相当し得る。
RQLコンポーネントメトリクス54および58は、それぞれのJTL回路コンポーネント52およびRQLゲート回路コンポーネント56の設計に応答してなど、回路メトリック計算機28によって計算することができる。例として、所定のJTL回路コンポーネント52は、回路シミュレータ16に提供することができる。入力JTLとして設計されたJTL回路コンポーネント52は、スイッチング接合をシミュレーションすることができる電圧源によって生成された条件SFQパルスによって駆動することができる。出力JTLとして設計されたJTL回路コンポーネント52は、適切なシャント抵抗とほぼ等しい抵抗器によって停止することができる。JTL回路コンポーネント52のジョセフソン接合のすべての挙動は、モニタし、本明細書でさらに詳細に説明されるものなどの特定の定義されたメトリクスのセットに基づいて評価することができる。それに加えて、JTL回路コンポーネント52は、JTL回路コンポーネント52の論理成功または失敗を判断するために、既定の一連の基準に基づいてテストすることができる。例として、JTL回路コンポーネント52は、テスト環境において実装することができ、テスト環境では、SFQパルスは、クロック信号に基づいて極度の入力時間(例えば、45 o )でなど、既定の数のジョセフソン接合を通じて伝播され、論理失敗があるかどうかを判断するためにモニタされる。その上、JTL回路コンポーネント52のジョセフソン接合は、それぞれのジョセフソン接合におけるSFQパルスの入力時間そしてまた所定のJTL回路コンポーネント52におけるあるジョセフソン接合から次のジョセフソン接合までの遅延を抽出するために評価することができる。
別の例として、所定のRQLゲート回路コンポーネント56も同様に、回路シミュレータ16に提供して、RQLコンポーネントメトリクス58を計算することができる。RQLゲート回路コンポーネント56は、既定のJTL回路間または単一の入力ジョセフソン接合と単一の出力ジョセフソン接合との間に配列することも、回路シミュレータ16によって提供されるシミュレーション環境においてバイアス電池(bias cell)に結合することもできる。RQLゲート回路コンポーネント56と関連付けられたインダクタンス値は、それぞれのバイアス構成(例えば、バイアス電池に基づく)および/またはRQLゲート回路コンポーネント56と関連付けられた所定のインダクタに固有であり得る変数に置き換えることができ、その中のジョセフソン接合と関連付けられた臨界電流密度は、変数に変更することができる。従って、RQLゲート回路コンポーネント56の挙動は、それぞれのRQLゲートコンポーネント56と関連付けられたRQLコンポーネントメトリクスを計算するために、シミュレーション環境においてテストすることができる。例えば、SFQパルス遅延(例えば、正および負)は、RQLゲート回路コンポーネント56の入力ジョセフソン接合のスイッチングと出力における第1のジョセフソン接合のスイッチングとのタイミング差に基づいて計算することができる。別の例として、合格/不合格分析は、依然として関連付けられたJTL(例えば、RQLゲート回路コンポーネント56の入力および/または出力における)を通じるそれぞれのSFQパルスの伝播のためにある程度の時間を見越しながら、不合格となるようにクロック信号の位相において入力時間が必要なだけ遅れるように、クロック信号位相に対しておよそ90 o の入力時間を提供することに基づいて、所定のRQLゲート回路コンポーネント56に対して実施することができる。
それに加えて、JTL回路コンポーネント52とRQLゲート回路コンポーネント56の両方に対し、テストパラメータを可変的に調整することができ、その結果、テストパラメータの変更と関連付けられたコーナーメトリクスを計算し、それぞれのRQLコンポーネントメトリクス54および58の一部として保存することができる。例えば、回路メトリクス計算機28は、ACクロックバイアス電流および/またはDC磁束バイアス電流などの外部因子と関連付けられた振幅の範囲、ならびに/あるいは、グローバルインダクタンスおよび/または臨界電流密度などの製作パラメータと関連付けられた振幅の範囲にわたって掃引するように構成することができる。例として、回路メトリクス計算機28は、JTL回路コンポーネント52および/またはRQLゲート回路コンポーネント56の動作挙動を決定するために、これに限定されないがパラメータの対象動作値など、一定の公称大きさの所定の1つまたは複数のテストパラメータを保持することができる。それに加えて、コーナーメトリクスは、それぞれのJTL回路コンポーネント52およびRQLゲート回路コンポーネント56に対して論理失敗が起こり得るテストパラメータの範囲を含み得る。従って、コーナーメトリクスデータは、それぞれのRQLコンポーネントメトリクス54および58の一部として含めることができ、その結果、シミュレータ回路16は、コーナーメトリクスにさらに基づいてそれぞれのJTL回路コンポーネント52およびRQLゲート回路コンポーネント56を含むRQL回路設計20のシミュレーションを容易にすることができる。
図3は、RQLコンポーネントメトリクスファイル100の例を示す。RQLコンポーネントメトリクスファイル100は、図1の例におけるRQLコンポーネントライブラリ22に格納されたソフトウェアファイルに相当し、それぞれの既定のRQL回路設計コンポーネント24の挙動および/またはタイミング特性を説明するために、既定のRQL回路設計コンポーネント24のうちの対応するRQL回路設計コンポーネント24と関連付けることができる。例えば、RQLコンポーネントメトリクスファイル100は、JTL回路コンポーネント52のうちの1つと関連付けられたRQLコンポーネントメトリクス54またはRQLゲート回路コンポーネント56のうちの1つと関連付けられたRQLコンポーネントメトリクス58に相当し得る。従って、以下の図3の例の説明において、図1および2の例を参照する。
図3の例では、RQLコンポーネントメトリクスファイル100は、それぞれの既定のRQL回路設計コンポーネントにおける1つまたは複数のジョセフソン接合の位相シフトの時間に相当する接合位相タイミングメトリクス102を含む。例えば、接合位相タイミングメトリクス102は、104で実証される0〜2πのジョセフソン接合の立ち上がり時間と、106で実証される2π〜0のジョセフソン接合の立ち下がり時間とを含み得る。また、接合位相タイミングメトリクス102は、それぞれのジョセフソン接合の位相変化と関連付けられた立ち上がり時間104および/または立ち下がり時間106上のテストパラメータの変動の効果(例えば、バイアス電流振幅および/またはクロック信号振幅)を含み得るコーナーメトリクス108も含む。
また、RQLコンポーネントメトリクスファイル100は、SFQパルスに応答した位相オーバシュートの時間および大きさに相当するなど、それぞれの既定のRQL回路設計コンポーネントにおける1つまたは複数のジョセフソン接合によって呈されるオーバシュートの特性に相当する接合オーバシュートメトリクス110も含む。例えば、接合オーバシュートメトリクス110は、112で実証される正のSFQパルスと関連付けられたオーバシュート特性と、114で実証される負のSFQパルスと関連付けられたオーバシュート特性とを含み得る。また、接合オーバシュートメトリクス110は、正および負のSFQパルスに応答したそれぞれのジョセフソン接合のオーバシュート特性上のテストパラメータの変動の効果(例えば、バイアス電流振幅および/またはクロック信号振幅)を含み得るコーナーメトリクス116も含む。
また、RQLコンポーネントメトリクスファイル100は、それぞれの既定のRQL回路設計コンポーネント(例えば、RQLゲート回路コンポーネント)の別個のそれぞれの入力における正および負のSFQパルス間のゲート遅延対称に相当する遅延対称メトリクス118も含む。例えば、遅延対称メトリクス118は、120で実証されるそれぞれの入力における正のSFQパルスの受信と関連付けられた許容遅延時間と、122で実証されるそれぞれの入力における負のSFQパルスの受信と関連付けられた許容遅延時間とを含み得る。従って、遅延対称メトリクス118は、既定のRQL回路設計コンポーネントの許容動作に対する正および負のSFQパルス120および122の遅延時間の範囲を定義することができ、従って、同様に、既定のRQL回路設計コンポーネントの論理失敗をもたらし得る許容し難い遅延対称時間も定義することができる。また、遅延対称メトリクス118は、正および負のSFQパルスと関連付けられたゲート遅延対称時間上のテストパラメータの変動の効果(例えば、バイアス電流振幅および/またはクロック信号振幅)を含み得るコーナーメトリクス124も含む。
また、RQLコンポーネントメトリクスファイル100は、既定のRQL回路設計コンポーネントを通じる(例えば、それぞれのJTL回路コンポーネント52および/またはそれぞれのRQLゲート回路コンポーネント56を通じる)SFQパルスの総合遅延に相当する総合遅延メトリクス126も含む。例えば、総合遅延メトリクス126は、128で実証されるそれぞれの既定のRQL回路設計コンポーネントを通じる正のSFQパルスの伝播と関連付けられた総合遅延時間と、130で実証されるそれぞれの既定のRQL回路設計コンポーネントを通じる負のSFQパルスの伝播と関連付けられた総合遅延時間とを含み得る。また、総合遅延メトリクス126は、正のSFQパルス128および負のSFQパルス130と関連付けられた総合遅延時間上のテストパラメータの変動の効果(例えば、バイアス電流振幅および/またはクロック信号振幅)を含み得るコーナーメトリクス132も含む。
また、RQLコンポーネントメトリクスファイル100は、パルス到着延メトリクス134も含む。また、パルス到着延メトリクス134は、正および負のSFQパルスと関連付けられたパルス到着遅延時間上のテストパラメータの変動の効果(例えば、バイアス電流振幅および/またはクロック信号振幅)を含み得るコーナーメトリクス136も含む。
RQLコンポーネントメトリクスファイル100は図3の例に限定されないことを理解されたい。例として、RQLコンポーネントメトリクスファイル100は、ジョセフソン接合ならびに正および/または負のSFQパルスに対するタイミング挙動と関連付けられたより多くのまたはより少ないメトリクスを含み得る。従って、RQLコンポーネントメトリクスファイル100は、それぞれの既定のRQL回路設計コンポーネントのタイミング挙動を定義することができる一連のメトリクスのほんの一例として提供され、その結果、一連のメトリクスは、関連付けられたRQL回路設計20の総合性能メトリクスを決定するように収集することができる。
図4は、RQL回路シミュレーションシステム150の別の例を示す。RQL回路シミュレーションシステム150は、図1の例におけるRQL回路シミュレーションシステム10の一部分に相当し得る。図4の例では、回路設計ツール(例えば、回路設計ツール12)は、メモリシステム(例えば、メモリシステム14)に格納することができるなど、RQL回路設計152を設計するためにユーザ入力を可能にするように構成することができる。RQL回路設計152は、量子演算コンポーネントの収集体および量子演算コンポーネントが相互作用できる古典的演算コンポーネントの収集体に相当し得る。図4の例では、RQL回路設計152は、図1の例における既定のRQL回路設計コンポーネント24に相当するもの(例えば、図2の例におけるJTL回路コンポーネント52およびRQLゲート回路コンポーネント56にそれぞれ相当するもの)など、1つまたは複数のJTL回路コンポーネント154と、1つまたは複数のRQLゲート回路コンポーネント156とを含む。
RQL回路シミュレーションシステム150は、図1の例における回路シミュレータ16を介してなど、RQL回路設計152の動作をシミュレーションするように構成することができる。例として、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156は、それぞれの既定のRQL回路設計コンポーネントとしてメモリシステム158のRQLコンポーネントライブラリに格納することができる。従って、それぞれの回路設計ツールは、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156を含む、その中に格納されたそれぞれの既定のRQL回路設計コンポーネントに基づいて、RQL回路設計152を選択的に設計するように実装することができる。以前に説明されるものと同様に、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々は、メモリシステム158に格納された関連付けられたそれぞれのRQLコンポーネントメトリクスファイルを有し得る。例として、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々と関連付けられたそれぞれのRQLコンポーネントメトリクスファイルは、図3の例におけるRQLコンポーネントメトリクスファイル100と実質的に同様に構成することができる。従って、RQLコンポーネントメトリクスファイルは、各々が、各種の動作条件の下でRQL回路設計152のJTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々と関連付けられたタイミングデータおよびタイミング挙動などの各種の性能データを含み得る。
また、RQL回路シミュレーションシステム150は、プロセッサ160および性能メトリクス収集部162も含む。図4の例では、シミュレーションの開始に応答してまたはRQL回路設計152の設計(例えば、回路設計ツール12を介して)の間、性能メトリクス収集部162は、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156のうちの対応するコンポーネント154,156の各々と関連付けられたRQLコンポーネントメトリクスに基づいてRQL回路設計152と関連付けられた性能メトリクスを収集するために、メモリシステム158のRQLコンポーネントライブラリからJTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々にアクセスするように構成される。例えば、性能メトリクス収集部162は、RQL回路設計152を構成するJTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々の数量およびタイプを識別するためにRQL回路設計152を評価するように構成することができる。従って、性能メトリクス収集部162は、JTL回路コンポーネント154およびRQLゲート回路コンポーネント156の各々の個々の性能メトリクスに基づいてRQL回路設計152と関連付けられた総合性能メトリクスを収集することができる。図4の例では、総合性能メトリクスは、信号TM_DTとしてプロセッサ160に提供され、その結果、プロセッサ160は、RQL回路設計152の総合性能メトリクスに基づいてRQL回路設計152をシミュレーションすることができる。
それに従って、RQL回路設計152は、RQL回路設計152の動作および挙動特性をテストするために、総合性能メトリクスに基づいてシミュレーションすることができる。例えば、RQL回路設計152のシミュレーションは、RQL回路設計152の設計パラメータおよび/または周辺動作パラメータに基づいてなど、RQL回路設計152の性能をテストするように実装することおよび/またはRQL回路設計152の動作と関連付けられた論理失敗を示すことができる。図4の例では、シミュレーションのシミュレーション結果は、1人または複数のそれぞれのユーザに有用なフォーマットでシミュレーション結果を提供するために、回路シミュレータ16からそれぞれの回路設計ツールに戻すことができる。その結果、ユーザは、RQL回路設計152を最適化するために、リアルタイムでなど、フィードバック方式でRQL回路設計152を修正するように回路設計ツールを実装することができる。従って、ユーザは、RQL回路設計152の変更を容易にして所望の結果を得るために、実質的にリアルタイムでのRQL回路設計152の変更の効果をモニタすることができる。
上記で説明される前述の構造および機能上の特徴を考慮すると、本発明の様々な態様による方法論は、図5を参照してより良く把握されよう。説明を分かり易くする目的で、図5の方法論は、順次実行するものとして示され説明されているが、いくつかの態様が本発明に従って本明細書で示され説明されているものとは異なる順番でおよび/または他の態様と同時に生じ得るように、本発明は、示されている順番によって制限されないことを理解および把握されたい。その上、必ずしも示されるすべての特徴が、本発明の態様による方法論の実装に必要であるわけではない。
図5は、RQL回路設計(例えば、RQL回路設計20)をシミュレーションするための方法200の例を示す。202では、複数の既定のRQL回路設計コンポーネント(例えば、既定のRQL回路設計コンポーネント24)を設計するために回路設計ツール(例えば、回路設計ツール12)を介してユーザ入力を可能にする。204では、複数の既定のRQL回路設計コンポーネントの各々と関連付けられた既定のRQLコンポーネントメトリクス(例えば、RQLコンポーネントメトリクスファイル100に格納された)を計算する。206では、既定のRQL回路設計コンポーネントおよび関連付けられた既定のRQLコンポーネントメトリクスをRQLコンポーネントライブラリ(例えば、RQLコンポーネントライブラリ22)に格納する。208では、複数の既定のRQL回路設計コンポーネントの少なくとも1つを含むRQL回路設計を設計するために回路設計ツールを介してユーザ入力を可能にする。210では、複数の既定のRQL回路設計コンポーネントのそれぞれの少なくとも1つと関連付けられた既定のRQLコンポーネントメトリクスに基づいてRQL回路設計と関連付けられた総合性能メトリクス(例えば、総合性能メトリクスTM_DT)を収集する(例えば、性能メトリクス収集部26を介して)。212では、総合性能メトリクスに基づいて回路シミュレータ(例えば、回路シミュレータ16)を介してRQL回路設計をシミュレーションする。
前述の構造および機能上の説明を考慮すると、当業者は、非一時的なコンピュータ可読媒体などの方法、データ処理システムまたはコンピュータプログラム製品として、本明細書で開示されるシステムおよび方法の一部分を具体化できることを把握しているであろう。それに従って、本明細書で開示される手法のこれらの部分は、完全なハードウェア実施形態、完全なソフトウェア実施形態(例えば、非一時的な機械可読媒体内の)、または、ソフトウェアとハードウェアを組み合わせた実施形態の形態を取ることができる。その上、本明細書で開示されるシステムおよび方法の一部分は、媒体上にコンピュータ可読プログラムコードを有するコンピュータ使用可能記憶媒体上のコンピュータプログラム製品であり得る。これらに限定されないが、静的および動的記憶装置、ハードディスク、光学記憶装置ならびに磁気記憶装置を含む、適切なコンピュータ可読を利用することができる。
また、ある特定の実施形態は、本明細書では、方法、システムおよびコンピュータプログラム製品のブロック図解を参照して説明されている。図解のブロックおよび図解のブロックの組合せはコンピュータ実行可能命令によって実装できることが理解されよう。これらのコンピュータ実行可能命令は、1つまたは複数のプロセッサを介して実行される命令が1つまたは複数のブロックで指定された機能を実装するようにマシンを生成するために、汎用コンピュータ、専用コンピュータまたは他のプログラム可能データ処理装置(またはデバイスと回路の組合せ)の1つまたは複数のプロセッサに提供することができる。
また、これらのコンピュータ実行可能命令は、コンピュータ可読メモリに格納された命令が1つまたは複数のフローチャートブロックで指定された機能を実装する命令を含む製造品をもたらすような特定の方式で機能するように、コンピュータまたは他のプログラム可能データ処理装置に指示することができるコンピュータ可読メモリに格納することもできる。また、コンピュータプログラム命令は、コンピュータまたは他のプログラム可能装置上で実行される命令が1つまたは複数のフローチャートブロックで指定された機能を実装するためのステップを提供するようなコンピュータ実装プロセスを生成するために一連の動作ステップをコンピュータまたは他のプログラム可能装置上で実行させるように、コンピュータまたは他のプログラム可能データ処理装置にロードすることもできる。
上記で説明されているものは、本発明の例である。当然ながら、本発明を説明する目的でコンポーネントまたは方法論の考えられるあらゆる組合せを説明することは可能ではないが、当業者であれば、本発明の多くのさらなる組合せおよび置換が可能であることが認識されよう。それに従って、本発明は、添付の請求項の精神および範囲内に収まるそのようなすべての改変形態、変更形態および変形形態を包含することが意図される。
以下に、上記各実施形態から把握できる技術思想を記載する。
(付記1)
レシプロカル量子論理(RQL)回路シミュレーションシステムであって、
少なくとも1つの既定のRQL回路設計コンポーネントを含むRQL回路設計を設計するためにユーザ入力を可能にするように構成された回路設計ツールと、
前記RQL回路設計と、複数の既定のRQL回路設計コンポーネントを含むRQLコンポーネントライブラリとを格納するように構成されたメモリシステムであって、前記少なくとも1つの既定のRQL回路設計コンポーネントは、前記複数の既定のRQL回路設計コンポーネントから選択され、前記複数の既定のRQL回路設計コンポーネントの各々が、既定のRQLコンポーネントメトリクスを含み、前記既定のRQLコンポーネントメトリクスが、前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた、少なくとも1つのジョセフソン接合と関連付けられたタイミングデータおよび単一磁束量子(SFQ)パルスと関連付けられたタイミング挙動を含む、前記メモリシステムと、
回路シミュレータであって、
前記RQL回路設計を評価し、前記複数の既定のRQL回路設計コンポーネントのうちの対応する少なくとも1つの既定のRQL回路設計コンポーネントの各々と関連付けられた前記既定のRQLコンポーネントメトリクスに基づいて前記RQL回路設計と関連付けられた総合性能メトリクスを収集するために前記RQLコンポーネントライブラリにアクセスするように構成された性能メトリクス収集部、および、
前記総合性能メトリクスに基づいて前記RQL回路設計をシミュレーションするように構成されたプロセッサを含む前記回路シミュレータと、を備えるレシプロカル量子論理回路シミュレーションシステム。
(付記2)
前記回路設計ツールは、
前記複数の既定のRQL回路設計コンポーネントのうちの既定のRQL回路設計コンポーネントを設計するために前記ユーザ入力を可能にするようにさらに構成され、
前記回路シミュレータは、
前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた前記既定のRQLコンポーネントメトリクスを計算し、前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントおよび関連付けられた既定のRQLコンポーネントメトリクスを前記RQLコンポーネントライブラリに格納するように構成された回路メトリクス計算機を含む、付記1に記載のシステム。
(付記3)
前記複数の既定のRQL回路設計コンポーネントは、
複数のジョセフソン伝送路(JTL)回路コンポーネントおよび複数のRQLゲート回路コンポーネントの少なくとも1つを含む、付記1に記載のシステム。
(付記4)
前記プロセッサが、フィードバック方式で前記RQL回路設計を最適化するために前記ユーザ入力を可能にするために、シミュレーションされたRQL回路設計と関連付けられたシミュレーション結果を前記回路設計ツールに伝達するように構成される、付記1に記載のシステム。

Claims (9)

  1. レシプロカル量子論理(RQL)回路シミュレーションシステムであって、
    少なくとも1つの既定のRQL回路設計コンポーネントを含むRQL回路設計を設計するためにユーザ入力を可能にするように構成された回路設計ツールと、
    前記RQL回路設計と、複数の既定のRQL回路設計コンポーネントを含むRQLコンポーネントライブラリとを格納するように構成されたメモリシステムであって、前記少なくとも1つの既定のRQL回路設計コンポーネントは、前記複数の既定のRQL回路設計コンポーネントから選択され、前記複数の既定のRQL回路設計コンポーネントの各々が、前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントの性能と関連付けられた既定のRQLコンポーネントメトリクスを含む、前記メモリシステムと、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する少なくとも1つの既定のRQL回路設計コンポーネントと関連付けられた前記既定のRQLコンポーネントメトリクスに基づいて前記RQL回路設計と関連付けられた性能メトリクスを収集し、前記性能メトリクスに基づいて前記RQL回路設計をシミュレーションするように構成された回路シミュレータと、を備え、
    前記既定のRQLコンポーネントメトリクスは、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた少なくとも1つのジョセフソン接合の位相の立ち下がり時間と、
    前記少なくとも1つのジョセフソン接合の位相の立ち上がり時間と、
    前記少なくとも1つのジョセフソン接合と関連付けられた正のオーバシュートと、
    前記少なくとも1つのジョセフソン接合と関連付けられた負のオーバシュートと、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた正および負の単一磁束量子(SFQ)パルスの遅延対称と、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた総合遅延と、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられたパルス到着遅延と、を含む、システム。
  2. 前記回路設計ツールが、前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントを設計するために前記ユーザ入力を可能にするようにさらに構成され、
    前記回路シミュレータは、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた前記既定のRQLコンポーネントメトリクスを計算し、前記既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントおよび関連付けられた既定のRQLコンポーネントメトリクスを前記RQLコンポーネントライブラリに格納するように構成された回路メトリクス計算機を含む、請求項1に記載のシステム。
  3. 前記複数の既定のRQL回路設計コンポーネントが、複数のジョセフソン伝送路(JTL)回路コンポーネントおよび複数のRQLゲート回路コンポーネントの少なくとも1つを含む、請求項1に記載のシステム。
  4. 前記複数の既定のRQLゲート回路コンポーネントの各々と関連付けられた前記既定のRQLコンポーネントメトリクスは、
    前記複数の既定のRQL回路設計コンポーネントのそれぞれの1つと関連付けられたバイアス電流およびクロック信号の少なくとも1つの振幅範囲と関連付けられたコーナーメトリクスを含む、請求項1に記載のシステム。
  5. 前記回路シミュレータが、
    前記RQL回路設計を評価し、前記複数の既定のRQL回路設計コンポーネントのうちの対応する少なくとも1つの既定のRQL回路設計コンポーネントの各々と関連付けられた前記既定のRQLコンポーネントメトリクスに基づいて前記RQL回路設計と関連付けられた総合性能メトリクスを収集するために前記RQLコンポーネントライブラリにアクセスするように構成された性能メトリクス収集部と、
    前記総合性能メトリクスに基づいて前記RQL回路設計をシミュレーションし、前記ユーザ入力を可能にしてフィードバック方式で前記RQL回路設計を最適化するために、シミュレーションされたRQL回路設計と関連付けられたシミュレーション結果を前記回路設計ツールに伝達するように構成されるプロセッサと、を含む、請求項に記載のシステム。
  6. 実行されると、レシプロカル量子論理(RQL)回路設計をシミュレーションするための方法を実行するように構成された命令を格納するように構成された非一時的なコンピュータ可読媒体であって、前記方法が、
    複数の既定のRQL回路設計コンポーネントを設計するために回路設計ツールを介してユーザ入力を可能にするステップと、
    前記複数の既定のRQL回路設計コンポーネントの各々と関連付けられた既定のRQLコンポーネントメトリクスを計算するステップと、
    前記既定のRQL回路設計コンポーネントおよび前記関連付けられた既定のRQLコンポーネントメトリクスをRQLコンポーネントライブラリに格納するステップと、
    前記複数の既定のRQL回路設計コンポーネントの少なくとも1つを含む前記RQL回路設計を設計するために前記回路設計ツールを介してユーザ入力を可能にするステップと、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する少なくとも1つの既定のRQL回路設計コンポーネントと関連付けられた前記既定のRQLコンポーネントメトリクスに基づいて前記RQL回路設計と関連付けられた総合性能メトリクスを収集するステップと、
    前記総合性能メトリクスに基づいて回路シミュレータを介して前記RQL回路設計をシミュレーションするステップと、を備え、
    前記既定のRQLコンポーネントメトリクスは、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた少なくとも1つのジョセフソン接合の位相の立ち下がり時間と、
    前記少なくとも1つのジョセフソン接合の位相の立ち上がり時間と、
    前記少なくとも1つのジョセフソン接合と関連付けられた正のオーバシュートと、
    前記少なくとも1つのジョセフソン接合と関連付けられた負のオーバシュートと、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた正および負の単一磁束量子(SFQ)パルスの遅延対称と、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられた総合遅延と、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられたパルス到着遅延と、を含む、非一時的なコンピュータ可読媒体。
  7. 複数の既定のRQL回路設計コンポーネントを設計するために前記回路設計ツールを介して前記ユーザ入力を可能にするステップは、
    複数のジョセフソン伝送路(JTL)回路コンポーネントおよび複数のRQLゲート回路コンポーネントの少なくとも1つを設計するために前記回路設計ツールを介して前記ユーザ入力を可能にするステップを含む、請求項に記載の非一時的なコンピュータ可読媒体。
  8. 前記既定のRQLコンポーネントメトリクスを計算するステップは、
    前記複数の既定のRQL回路設計コンポーネントのうちの対応する既定のRQL回路設計コンポーネントと関連付けられたバイアス電流およびクロック信号の少なくとも1つの振幅範囲と関連付けられたコーナーメトリクスを計算するステップを含む、請求項に記載の非一時的なコンピュータ可読媒体。
  9. シミュレーションされたRQL回路設計と関連付けられたシミュレーション結果を前記回路設計ツールに伝達するステップと、
    フィードバック方式で前記RQL回路設計を最適化するために前記ユーザ入力を可能にするステップと、をさらに備える請求項に記載の非一時的なコンピュータ可読媒体。
JP2018501286A 2015-07-14 2016-07-05 レシプロカル量子論理(rql)回路シミュレーションシステム Active JP6564928B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/799,172 US9767238B2 (en) 2015-07-14 2015-07-14 Reciprocal quantum logic (RQL) circuit simulation system
US14/799,172 2015-07-14
PCT/US2016/040984 WO2017011225A1 (en) 2015-07-14 2016-07-05 Reciprocal quantum logic (rql) circuit simulation system

Publications (2)

Publication Number Publication Date
JP2018529144A JP2018529144A (ja) 2018-10-04
JP6564928B2 true JP6564928B2 (ja) 2019-08-21

Family

ID=56409734

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018501286A Active JP6564928B2 (ja) 2015-07-14 2016-07-05 レシプロカル量子論理(rql)回路シミュレーションシステム

Country Status (7)

Country Link
US (2) US9767238B2 (ja)
EP (1) EP3323063A1 (ja)
JP (1) JP6564928B2 (ja)
KR (1) KR102044842B1 (ja)
AU (1) AU2016292791B2 (ja)
CA (1) CA2991134C (ja)
WO (1) WO2017011225A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3186735A4 (en) * 2015-11-06 2018-01-10 Rigetti & Co., Inc. Analyzing quantum information processing circuits
US11250190B2 (en) 2017-09-22 2022-02-15 International Business Machines Corporation Simulating quantum circuits
US10592814B2 (en) 2017-12-01 2020-03-17 International Business Machines Corporation Automatic design flow from schematic to layout for superconducting multi-qubit systems
US10599805B2 (en) 2017-12-01 2020-03-24 International Business Machines Corporation Superconducting quantum circuits layout design verification
US10243582B1 (en) * 2018-03-27 2019-03-26 Northrop Grumman Systems Corporation Interfacing between SFQ and NRZ data encodings
US10796069B1 (en) 2019-06-06 2020-10-06 International Business Machines Corporation Bump connection placement in quantum devices in a flip chip configuration
US11380835B2 (en) 2019-07-22 2022-07-05 Microsoft Technology Licensing, Llc Determining critical timing paths in a superconducting circuit design
US10769344B1 (en) * 2019-07-22 2020-09-08 Microsoft Technology Licensing, Llc Determining timing paths and reconciling topology in a superconducting circuit design
US11545288B2 (en) 2020-04-15 2023-01-03 Northrop Grumman Systems Corporation Superconducting current control system
US11544614B2 (en) 2020-06-05 2023-01-03 International Business Machines Corporation Sampling of an operator in a quantum system
CN112488317B (zh) * 2020-11-27 2021-09-21 北京百度网讯科技有限公司 量子控制中的仿真方法、装置、经典计算机及存储介质
US11757467B2 (en) 2021-08-13 2023-09-12 Northrop Grumman Systems Corporation Circuits for converting SFQ-based RZ and NRZ signaling to bilevel voltage NRZ signaling
US11973497B1 (en) * 2021-10-28 2024-04-30 Synopsys, Inc. Parameterized superconducting multi-row circuit

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6351838B2 (ja) * 2014-10-29 2018-07-04 ノースロップ グラマン システムズ コーポレイションNorthrop Grumman Systems Corporation レシプロカル量子論理(rql)回路合成

Also Published As

Publication number Publication date
KR20180017173A (ko) 2018-02-20
AU2016292791B2 (en) 2019-04-18
US20170329883A1 (en) 2017-11-16
AU2016292791A1 (en) 2018-01-18
CA2991134A1 (en) 2017-01-19
US9767238B2 (en) 2017-09-19
WO2017011225A1 (en) 2017-01-19
US20170017742A1 (en) 2017-01-19
KR102044842B1 (ko) 2019-11-14
JP2018529144A (ja) 2018-10-04
US10339239B2 (en) 2019-07-02
EP3323063A1 (en) 2018-05-23
CA2991134C (en) 2020-07-14

Similar Documents

Publication Publication Date Title
JP6564928B2 (ja) レシプロカル量子論理(rql)回路シミュレーションシステム
US20080091402A1 (en) Methods for estimating power requirements of circuit designs
US10268787B2 (en) Hybrid timing analysis method and associated system and non-transitory computer readable medium
CN106202603A (zh) 用于在寄存器重定时之后探测信号的方法和装置
Ubar et al. Structural fault collapsing by superposition of BDDs for test generation in digital circuits
Eslami et al. Incremental distributed trigger insertion for efficient FPGA debug
Czutro et al. SAT-ATPG using preferences for improved detection of complex defect mechanisms
Raitza et al. Quantitative characterization of reconfigurable transistor logic gates
Vasicek et al. On area minimization of complex combinational circuits using cartesian genetic programming
Sekanina Evolutionary hardware design
Brayton et al. An integrated technology mapping environment
US10726182B1 (en) Operator aware finite state machine for circuit design simulation
Murray et al. Quantifying error: Extending static timing analysis with probabilistic transitions
Ubar et al. Double phase fault collapsing with linear complexity in digital circuits
Fengler et al. Toward Early Stage Dynamic Power Estimation: Exploring Alternative Machine Learning Methods and Simulation Schemes
Liu et al. Feedforward neural network models for FPGA routing channel width estimation
Quispe et al. PrOCov: Probabilistic output coverage model
Kocan et al. Static variable ordering in zbdds for path delay fault coverage calculation
Kushik et al. On using ABC for deriving distinguishing sequences for Verilog-descriptions
Ohlinger et al. The Involution Tool for Accurate Digital Timingand Power Analysis
Margetts et al. Dynamic causality in hybrid bond graphs
Navabi et al. Test pattern generation methods and algorithms
Clarke et al. On the feasibility of early routing capacitance estimation for FPGAs
Zha et al. An fpga router for alternative reconfiguration flows
Misra Efficient graph techniques for partial scan pattern debug and bounded model checkers

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190709

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190729

R150 Certificate of patent or registration of utility model

Ref document number: 6564928

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250