JP6169666B2 - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
JP6169666B2
JP6169666B2 JP2015205983A JP2015205983A JP6169666B2 JP 6169666 B2 JP6169666 B2 JP 6169666B2 JP 2015205983 A JP2015205983 A JP 2015205983A JP 2015205983 A JP2015205983 A JP 2015205983A JP 6169666 B2 JP6169666 B2 JP 6169666B2
Authority
JP
Japan
Prior art keywords
film
plasma
processing
processing chamber
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015205983A
Other languages
Japanese (ja)
Other versions
JP2016066801A (en
Inventor
角屋 誠浩
誠浩 角屋
基裕 田中
基裕 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2015205983A priority Critical patent/JP6169666B2/en
Publication of JP2016066801A publication Critical patent/JP2016066801A/en
Application granted granted Critical
Publication of JP6169666B2 publication Critical patent/JP6169666B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明は、プラズマ処理装置に係わり、特にプラズマを用いて半導体基板等の表面処理を行うのに好適なプラズマ処理方法に関する。   The present invention relates to a plasma processing apparatus, and more particularly to a plasma processing method suitable for performing surface processing of a semiconductor substrate or the like using plasma.

近年の半導体素子は微細化により、リソグラフィーにより形成されたマスクを下層膜に転写するエッチング工程にはより高い精度の寸法精度、つまりCD(Critical Dimension)精度が要求されている。量産現場において高いCD制御性に加えて、CDの再現性を確保することが重要な課題である。一般にエッチング工程でCDが変動する要因としては、エッチングチャンバー内壁に被処理材から発生した反応生成物が付着する、チャンバー内部材が長期的な使用により消耗する、チャンバー内部材の温度等が変動し、チャンバー内壁等へのラジカルの付着確率が変化し、エッチング性能へ影響するプラズマ状態が変動する等の要因が挙げられる。   Due to miniaturization of recent semiconductor elements, higher accuracy in dimensional accuracy, that is, CD (Critical Dimension) accuracy is required for an etching process for transferring a mask formed by lithography onto a lower layer film. In addition to high CD controllability in mass production sites, ensuring CD reproducibility is an important issue. In general, the factors that cause the CD to fluctuate in the etching process are that reaction products generated from the material to be processed adhere to the inner wall of the etching chamber, the chamber member is consumed due to long-term use, and the temperature of the chamber member varies. For example, the probability of radicals adhering to the inner wall of the chamber changes, and the plasma state that affects the etching performance fluctuates.

次に微細トランジスタでは、短チャンネル効果を制御する上で、ゲート絶縁膜の容量を増加させる必要があり、従来ゲート酸化膜の厚みを低減することで、本課題を達成してきた。しかしゲート酸化膜の薄膜化によりリーク電流が増加することから、ゲート絶縁膜としてより高い誘電率を持つ材料(High−k)が導入された。酸化膜を置き換えるHigh−k材として、ハフニウム酸化膜(HfO2)が挙げられる。しかしながら従来のポリシリコン(Poly−Si)電極とHfO2間では材料の不適合が存在することから、適正な仕事関数を持つ金属(メタル)膜を有する構造が必要である。メタル材料としては種々挙げられるが、Semiconductor International 2008/1号(非特許文献1)記載のようにTiN,Laなどが用いられている。 Next, in the fine transistor, it is necessary to increase the capacity of the gate insulating film in order to control the short channel effect, and this problem has been achieved by reducing the thickness of the conventional gate oxide film. However, since the leakage current increases as the gate oxide film becomes thinner, a material having a higher dielectric constant (High-k) has been introduced as the gate insulating film. As a high-k material that replaces the oxide film, a hafnium oxide film (HfO 2 ) can be given. However, since there is a material mismatch between the conventional polysilicon (Poly-Si) electrode and HfO 2 , a structure having a metal film having an appropriate work function is required. There are various metal materials, but TiN, La, etc. are used as described in Semiconductor International 2008/1 (Non-Patent Document 1).

従来、プラズマ処理装置はウエハ毎、又は、ロット毎にプラズマを用いたクリーニングが実施され、カーボン(C),酸化膜,Poly−Si,窒化膜等を対象としたプロセスには、主にフッ素(F),塩素(Cl),酸素(O)のいずれかを含むまたは混合のプラズマでのクリーニングが用いられてきた。また、エッチングチャンバー内壁部材が消耗することにより発生する金属等(例えばAl)等がチャンバー内に付着等する場合は、プラズマでのクリーニングだけで除去することは困難なため、あらかじめシーズニング等を用いて、チャンバー内雰囲気を一定に保つ等の技術が検討されている。このような技術の例としては特開2004−031380号公報(特許文献1)に記載のものが知られている。   Conventionally, plasma processing apparatuses have been cleaned using plasma for each wafer or lot, and for processes targeting carbon (C), oxide film, poly-Si, nitride film, etc., mainly fluorine ( F), chlorine (Cl), oxygen (O) containing or mixed plasma cleaning has been used. In addition, when metal or the like (for example, Al) generated by the consumption of the inner wall member of the etching chamber adheres to the chamber, it is difficult to remove it only by cleaning with plasma. Techniques such as keeping the chamber atmosphere constant have been studied. As an example of such a technique, one described in Japanese Patent Application Laid-Open No. 2004-031380 (Patent Document 1) is known.

また、米国特許7,204,913号公報(特許文献2)記載の技術のように、ウエハ処理毎にリアクタ内壁にコーティングを施し、リアクタ内壁状態変化に伴うプロセス性能への影響を低減する技術が知られている。   In addition, as in the technique described in US Pat. No. 7,204,913 (Patent Document 2), there is a technique in which a coating is applied to the reactor inner wall for each wafer processing to reduce the influence on the process performance due to the reactor inner wall state change. Are known.

一方、メタル材料(例えばTiN)をエッチングすると、Jounal of Vacuum Science and Technoloby B24, 2191(2006)(非特許文献2)記載のように、メタル材がチャンバー内壁に付着し前記ガス系にて完全なクリーニングが困難な場合があることが知られている。   On the other hand, when a metal material (for example, TiN) is etched, as described in Journal of Vacuum Science and Technoloby B24, 2191 (2006) (Non-Patent Document 2), the metal material adheres to the inner wall of the chamber and is completely removed by the gas system. It is known that cleaning can be difficult.

特開2004−031380号公報JP 2004-031380 A 米国特許7,204,913号公報US Pat. No. 7,204,913

Semiconductor International 2008/1号Semiconductor International 2008/1 Jounal of Vacuum Science and Technoloby B24, 2191(2006)Jounal of Vacuum Science and Technoloby B24, 2191 (2006)

しかしながら、上記従来技術では次のような問題点に付いて十分に考慮がされていなかった。   However, the above-described prior art has not sufficiently considered the following problems.

すなわち、特許文献1においては、コーティングした膜自体から異物の原因となる物質,粒子が遊離して異物の発生の虞があり、膜をコーティングする条件の最適化が必要となる。しかし、このような条件について本従来技術では考慮されていなかった。   That is, in Patent Document 1, substances and particles that cause foreign substances are released from the coated film itself, and foreign substances may be generated, and it is necessary to optimize the conditions for coating the film. However, such a condition has not been taken into consideration in the present prior art.

また、半導体集積回路の集積度が高まるにつれ、長期的なCD変動の抑制が要求されているが、非特許文献2に記載されるように金属材料の膜を処理(一般的にメタル処理と呼ばれる)する場合には、その材料またはその化合物が処理室内壁面に付着して残留してしまうと、その後の処理室内の処理においてその残留したメタル材料によりプロセス性能が変動してしまう虞があった。このようなプロセス性能の変動は、半導体ウエハ等の処理対象の膜の処理の結果を変動させることになり、処理する枚数が多くなるに伴って処理した結果として得られる形状、いわゆるCD(Critical Dimension、クリティカルディメンジョン)が変動してしまう。   Further, as the degree of integration of semiconductor integrated circuits increases, long-term suppression of CD fluctuation is required. However, as described in Non-Patent Document 2, a metal material film is processed (generally called metal processing). ), If the material or the compound adheres to and remains on the wall surface of the processing chamber, the process performance may vary due to the remaining metal material in the subsequent processing in the processing chamber. Such fluctuation in process performance changes the result of processing of a film to be processed such as a semiconductor wafer, and the shape obtained as a result of processing as the number of processed sheets increases, so-called CD (Critical Dimension). , Critical dimension) will fluctuate.

このような問題を解決しようとして、ウエハの処理毎に残留物を除去するためのクリーニング処理あるいは、プロセス性能の変動を抑制する処理(例えば、シーズニング処理)等を行うことも考えられる。しかし、実際の量産現場においては、こうした特定の処理を行うことによって、所定の数のロットを処理する場合の全体的なスループットが低下してしまうという問題が生じていた。このような点について上記従来技術では考慮されていなかった。   In order to solve such a problem, it is conceivable to perform a cleaning process for removing a residue or a process for suppressing fluctuations in process performance (for example, a seasoning process) for each wafer process. However, in an actual mass production site, there has been a problem that the overall throughput in the case of processing a predetermined number of lots is reduced by performing such specific processing. Such a point has not been taken into consideration in the prior art.

本発明の目的は、処理の結果得られる形状の変動の少ないプラズマ処理装置またはプラズマ処理方法を提供することにある。また、処理の効率を向上してスループットを高めた処理装置またはプラズマ処理方法を提供することにある。   An object of the present invention is to provide a plasma processing apparatus or a plasma processing method with little variation in shape obtained as a result of processing. It is another object of the present invention to provide a processing apparatus or a plasma processing method in which processing efficiency is improved and throughput is increased.

本発明は、処理室にて被処理材をプラズマエッチングするプラズマ処理方法において、SiClガスとOガスの混合ガスまたはSiClガスとメタンガスの混合ガスを用いたプラズマにより堆積膜を前記処理室内に堆積させ、前記堆積膜を処理室内に堆積させた後、SF ガスを用いて前記堆積膜の表面をプラズマエッチングし、前記堆積膜の表面をプラズマエッチングした後、前記処理室内に配置された試料台に前記被処理材を載置し、前記試料台に前記被処理材を載置した後、前記被処理材をプラズマエッチングし、前記被処理材をプラズマエッチングした後、NF ガスを用いて前記処理室内をプラズマクリーニングすることを特徴とする。
The present invention provides a plasma processing method for plasma-etching a material to be processed in a processing chamber, wherein a deposited film is formed by plasma using a mixed gas of SiCl 4 gas and O 2 gas or a mixed gas of SiCl 4 gas and methane gas. After depositing the deposited film in the processing chamber, the surface of the deposited film is plasma etched using SF 6 gas, and the surface of the deposited film is plasma etched, and then disposed in the processing chamber. After placing the material to be treated on a sample stage, placing the material to be treated on the sample stage, plasma-etching the material to be treated, plasma-etching the material to be treated, and then using NF 3 gas And plasma cleaning the inside of the processing chamber.

本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。It is a longitudinal cross-sectional view explaining the outline of a structure of the plasma processing apparatus which concerns on embodiment of this invention. 図1に示す実施例に係るプラズマ処理装置において、処理の対象とされる被処理材である半導体ウエハ上面に配置された膜の構造を模式的に示す縦断面図である。FIG. 2 is a longitudinal sectional view schematically showing a structure of a film disposed on an upper surface of a semiconductor wafer that is a processing target material to be processed in the plasma processing apparatus according to the embodiment shown in FIG. 1. 図2(a)の膜構造を処理した際に得られた付着物の元素の組成比を示すグラフである。It is a graph which shows the elemental composition ratio of the deposit | attachment obtained when processing the film | membrane structure of Fig.2 (a). 図2に示す膜構造のエッチング終了後の処理室内壁表面に堆積するデポ膜厚みの変化を示す特性である。It is a characteristic which shows the change of the deposit film thickness deposited on the processing chamber inner wall surface after completion | finish of the etching of the film | membrane structure shown in FIG. 図2(b)に示す膜構造をエッチング処理した際のSiを成分として含む(Si系)膜、炭素を成分として含む膜(C系)膜、SiOを成分として含む(SiO系)膜を処理室内部の壁面上にコーティングした場合、これらの各コーティング膜の消耗量を示すグラフである。2 (b) is processed (Si-based) film containing Si as a component, C-containing film containing carbon as a component, and (SiO-based) film containing SiO as a component when the film structure shown in FIG. 2B is etched. It is a graph which shows the consumption amount of each of these coating films, when it coats on the wall surface of an indoor part. SiCl4とO2の混合ガスを用いて形成したコーティング膜の表面をXPSにより測定したSi2pスペクトルを示すグラフである。It is a graph which shows the Si2p spectrum which measured the surface of the coating film formed using the mixed gas of SiCl4 and O2 by XPS. SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。It is a figure which shows the image obtained by measuring the coating film surface by SEM (Scanning electron microscopy). SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。It is a figure which shows the image obtained by measuring the coating film surface by SEM (Scanning electron microscopy). この後処理(アフタートリートメント)を行う時間を変化させた場合のコーティング膜の表面から遊離する粒子の数の変化を示すグラフである。It is a graph which shows the change of the number of the particle | grains liberated from the surface of a coating film at the time of changing the time which performs this post-processing (after treatment). 本実施例に係るプラズマ処理装置において実施される半導体ウエハの処理の流れを示すフローチャートである。It is a flowchart which shows the flow of a process of the semiconductor wafer implemented in the plasma processing apparatus which concerns on a present Example. 従来の技術によりウェット洗浄後に図2(b)に示す膜構造を上面に備えたウエハを処理した際のポリシリコン(Poly−Si)膜のエッチング速度(レート)の変化を示すグラフである。3 is a graph showing a change in an etching rate (rate) of a polysilicon (Poly-Si) film when a wafer having a film structure shown in FIG. 処理を継続する時間の変化に対するポリシリコン(Poly−Si)膜のエッチングレートとチャンバー内に残留するTi量の変化とを示すグラフである。It is a graph which shows the change of the etching rate of a polysilicon (Poly-Si) film | membrane with respect to the change of the time which continues a process, and the amount of Ti remaining in a chamber. 図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れを示すフローチャートである。It is a flowchart which shows the flow of a process including a metal cleaning step in the plasma processing apparatus shown in FIG. 図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れの別の例を示すフローチャートである。It is a flowchart which shows another example of the flow of a process including a metal cleaning step in the plasma processing apparatus shown in FIG. 図14に示す変形例におけるコーティング膜の深さ位置に対するメタル成分の濃度の変化を示すグラフである。It is a graph which shows the change of the density | concentration of the metal component with respect to the depth position of the coating film in the modification shown in FIG. メタル膜を前もってエッチング処理した場合と処理しない場合の、メタルクリーニング処理におけるメタル成分に起因する発光強度の時間変化を示すグラフである。It is a graph which shows the time change of the emitted light intensity resulting from the metal component in the case where a metal film is etched in advance, and the case where it is not processed.

以下、本発明の実施の形態を図面を参照して以下説明する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings.

本発明の実施例を図1乃至図10を用いて説明する。   An embodiment of the present invention will be described with reference to FIGS.

図1は、本発明の実施の形態に係るプラズマ処理装置の構成の概略を説明する縦断面図である。特に、本実施例では、マイクロ波ECR(Electron Cyclotron Resonance)を用いたエッチング装置を説明している。   FIG. 1 is a longitudinal sectional view for explaining the outline of the configuration of a plasma processing apparatus according to an embodiment of the present invention. In particular, in this embodiment, an etching apparatus using microwave ECR (Electron Cyclotron Resonance) is described.

本図において、実施例に係るプラズマ処理装置は、上部が開放された真空容器101の上部に、真空容器101内にエッチングガスを導入するための複数の導入孔がその中央部分を中心に均等に配置された円板形状を有するシャワープレート102(例えば石英製またはイットリア製),誘電体窓103(例えば石英製)が設置されており、真空容器101内の略円筒形状を有する処理室104内部が誘電体窓103によって密封される。シャワープレート102にはエッチングガスを流すためのガス供給装置105が連結され、シャワープレート102の上方の誘電体窓103との間の空間がガス供給装置105から管路,通路を介して接続され、この空間を介して導入孔を通して処理室104内部に処理用ガスが供給される。   In this figure, in the plasma processing apparatus according to the embodiment, a plurality of introduction holes for introducing an etching gas into the vacuum vessel 101 are evenly arranged around the central portion in the upper portion of the vacuum vessel 101 whose upper portion is opened. A disc-shaped shower plate 102 (for example, made of quartz or yttria) and a dielectric window 103 (for example, made of quartz) are installed, and the inside of the processing chamber 104 having a substantially cylindrical shape in the vacuum vessel 101 is provided. Sealed by a dielectric window 103. A gas supply device 105 for flowing an etching gas is connected to the shower plate 102, and a space between the shower plate 102 and the dielectric window 103 above the shower plate 102 is connected from the gas supply device 105 via a pipe line and a passage, A processing gas is supplied into the processing chamber 104 through the introduction hole through this space.

また、真空容器101の下方にはその内部の処理室104の略円筒形状の空間底部に配置され真空排気口106を介し真空排気装置(図示省略)が連結されて連通されている。一方、プラズマを生成するための電力を処理室104に伝送するため、誘電体窓103の上方には電磁波を放射する導波管107(またはアンテナ)が設けられる。   Further, below the vacuum vessel 101, a vacuum exhaust device (not shown) is connected and communicated via a vacuum exhaust port 106 disposed at the bottom of the substantially cylindrical space of the processing chamber 104 therein. On the other hand, in order to transmit electric power for generating plasma to the processing chamber 104, a waveguide 107 (or an antenna) that radiates electromagnetic waves is provided above the dielectric window 103.

導波管107(またはアンテナ)へ伝送される電磁波は、電磁波発生用電源109によって発振されて当該導波管107内部に供給される。本実施例において、電磁波の周波数は特に限定されないが、本実施例では2.45GHzのマイクロ波を使用する。   The electromagnetic wave transmitted to the waveguide 107 (or antenna) is oscillated by the electromagnetic wave generating power supply 109 and supplied to the inside of the waveguide 107. In the present embodiment, the frequency of the electromagnetic wave is not particularly limited, but in this embodiment, a microwave of 2.45 GHz is used.

処理室104の外周側の真空容器101外部には、磁場を形成する磁場発生コイル110がこれを囲んで配置されており、電磁波発生用電源109より発振されて導波管107及び誘電体窓103,シャワープレート102を介して処理室104内に導入された電界は、磁場発生コイル110によって形成された磁場との相互作用を生起して、処理室104内に供給された処理用ガスを解離させて処理室104内にプラズマを生成する。また、円板状のシャワープレート102に対向して処理室104内の下部には、その円形状の上面に処理対象である円板形状の半導体製のウエハ112が載せられる試料台であるウエハ載置電極111が配置されている。   A magnetic field generating coil 110 that forms a magnetic field is disposed outside the vacuum chamber 101 on the outer peripheral side of the processing chamber 104, and is oscillated from an electromagnetic wave generating power source 109 to be guided to the waveguide 107 and the dielectric window 103. , The electric field introduced into the processing chamber 104 through the shower plate 102 causes an interaction with the magnetic field formed by the magnetic field generating coil 110 to dissociate the processing gas supplied into the processing chamber 104. Thus, plasma is generated in the processing chamber 104. Further, a wafer mounting which is a sample stage on which a disk-shaped semiconductor wafer 112 to be processed is placed on the upper surface of the circular shape in the lower part of the processing chamber 104 facing the disk-shaped shower plate 102. A placement electrode 111 is disposed.

当該ウエハ載置電極111は略円筒形状を備えて、その電極上面が酸化アルミニウムや酸化イットリウム等のセラミクス材料が溶射によって吹き付けられて膜が形成された溶射膜(図示省略)により被覆されている。さらに、溶射膜の内部には金属の部材からなる膜状の電極が配置されており(図示せず)この電極が高周波フィルター115を介して直流電源116と電気的に接続されている。   The wafer mounting electrode 111 has a substantially cylindrical shape, and the upper surface of the electrode is covered with a sprayed film (not shown) in which a ceramic material such as aluminum oxide or yttrium oxide is sprayed by spraying. Further, a film-like electrode made of a metal member is disposed inside the sprayed film (not shown), and this electrode is electrically connected to the DC power source 116 via the high frequency filter 115.

さらに、ウエハ載置電極111の内部に配置された金属製のブロックには、マッチング回路113を介して高周波電源114が接続されており、このブロックが高周波電極としての作用を奏するものとなっている。また、ウエハ載置電極111の内部の上記電極のブロック内には、同心円状またはら旋状に配置されて内部を温度調節のための媒体が通流する冷媒用流路117が配置されており、冷媒用流路117はウエハ載置電極111の外部に配置された管路を介して温調器118と連結されている。   Furthermore, a high frequency power source 114 is connected to the metal block disposed inside the wafer mounting electrode 111 via a matching circuit 113, and this block has an effect as a high frequency electrode. . Further, in the electrode block inside the wafer mounting electrode 111, a refrigerant flow path 117 is arranged which is arranged concentrically or spirally and through which the medium for temperature adjustment flows. The coolant channel 117 is connected to the temperature controller 118 via a pipe line disposed outside the wafer mounting electrode 111.

また、電極のブロック内の上部にはヒーター119が配置され、これがヒーター制御器120と接続されている。また、ウエハ載置電極111には温度センサー121が配置され、温度センサー121から出力された信号に基づいてウエハ載置電極111及びウエハ112の温度を所望の温度とするように、ヒーター制御器120および冷媒の温度を制御する温調器118の動作が調節される。   In addition, a heater 119 is disposed in the upper part of the electrode block, and is connected to the heater controller 120. Further, a temperature sensor 121 is disposed on the wafer placement electrode 111, and the heater controller 120 is configured so that the temperature of the wafer placement electrode 111 and the wafer 112 is set to a desired temperature based on a signal output from the temperature sensor 121. And the operation of the temperature controller 118 for controlling the temperature of the refrigerant is adjusted.

ウエハ112は、図示しないロボットアーム等の搬送装置によって処理室104内部に搬送されてウエハ載置電極111の上面に載せられた後、直流電源116から印加される直流電圧の静電気力でウエハ載置電極111上の溶射膜上に吸着される。この際、ウエハ112の裏面と溶射膜との間の空間には熱伝達性を有するガスが供給されてウエハ112とウエハ載置電極111との間の熱の伝達が促進されることでウエハ112の温度が調節される。   The wafer 112 is transferred into the processing chamber 104 by a transfer device such as a robot arm (not shown) and placed on the upper surface of the wafer mounting electrode 111, and then the wafer is mounted by the electrostatic force of the DC voltage applied from the DC power supply 116. It is adsorbed on the sprayed film on the electrode 111. At this time, a gas having a heat transfer property is supplied to the space between the back surface of the wafer 112 and the sprayed film, and the transfer of heat between the wafer 112 and the wafer mounting electrode 111 is promoted to thereby increase the wafer 112. The temperature of is adjusted.

この状態でガス供給装置105よって所望の処理用ガス、本例の場合ではエッチングガスが供給された後、処理室104内を所定の圧力としつつ内部にプラズマを発生させる。次に、ウエハ載置電極111に接続された高周波電源114から高周波電力を印加することにより、溶射膜上方にバイアス電位が形成されプラズマからウエハへイオンが引き込まれてウエハ112がエッチング処理される。   In this state, a desired processing gas, in this example, an etching gas, is supplied by the gas supply device 105, and then plasma is generated inside the processing chamber 104 while maintaining a predetermined pressure. Next, by applying a high frequency power from a high frequency power supply 114 connected to the wafer mounting electrode 111, a bias potential is formed above the sprayed film, and ions are drawn from the plasma into the wafer, whereby the wafer 112 is etched.

また、処理室104内壁を構成する真空容器101の側壁部には、上記プラズマの処理中の発光を検出する発光分光器123が接続され、この発光分光器123から得られた出力はこれに接続された発光データ処理装置124に発信され、発光データ処理装置124内の演算器を用いて発光データの数値的な解析,検出が行われる。   Further, an emission spectrometer 123 for detecting light emission during the plasma processing is connected to the side wall portion of the vacuum vessel 101 constituting the inner wall of the processing chamber 104, and an output obtained from the emission spectrometer 123 is connected to this. The light emission data processing device 124 is transmitted, and the light emission data is numerically analyzed and detected using a calculator in the light emission data processing device 124.

図2は、本実施例のプラズマ処理装置において、処理の対象とされる被処理材である半導体ウエハ上面に配置された膜の構造を模式的に示す縦断面図である。被処理材である膜の構造は、図2(a)に示す通り下地である基板207上に上からレジスト(Photo Resist,PR)(マスク)201又はハードマスク(カーボン、あるいはSiO2,SiNまたはSiON等の材料を用いる又はこれらを主材料とする膜)202,ポリシリコン(Poly−Si)膜203,絶縁膜層としての酸化膜204を備えたものとなっている。或いは図2(b)に示す通り、基板207上に上からレジスト(Photo Resist,PR)(マスク)201又はハードマスク(カーボン、あるいはSiO2,SiNまたはSiON等の材料を用いる又はこれらを主材料とする膜)202,ポリシリコン(Poly−Si)膜203,メタル(金属、例えばTiN)膜205,High−k材料(例えばHfO2)による膜(High−K膜)206を備えている。 FIG. 2 is a vertical cross-sectional view schematically showing the structure of a film disposed on the upper surface of a semiconductor wafer, which is a material to be processed, in the plasma processing apparatus of this embodiment. As shown in FIG. 2A, the structure of the film to be processed is formed on a substrate 207 as a base from above with a resist (Photo Resist, PR) (mask) 201 or a hard mask (carbon, SiO 2 , SiN or A film using a material such as SiON or a film mainly composed of these is provided, a polysilicon (Poly-Si) film 203, and an oxide film 204 as an insulating film layer. Alternatively, as shown in FIG. 2B, a resist (Photo Resist, PR) (mask) 201 or a hard mask (carbon, SiO 2 , SiN, or SiON) is used on the substrate 207 from the top, or these are used as main materials. ) 202, a polysilicon (Poly-Si) film 203, a metal (metal, for example, TiN) film 205, and a film (High-K film) 206 made of a high-k material (for example, HfO2).

これらのメタルの材料の種類および積層数、厚みはデバイスおよびNMOS部分,PMOS部分で異なるものである。これらの膜構造は、半導体デバイスの回路、特にゲートや配線の構造を形成するためにエッチング処理されて所定の形状に加工されるように求められている。   The type of metal, the number of stacked layers, and the thickness of the metal, the NMOS portion, and the PMOS portion are different. These film structures are required to be etched into a predetermined shape in order to form a semiconductor device circuit, particularly a gate or wiring structure.

本実施例において、それぞれ膜は、異なるエッチングレシピにより処理される。さらに、マスク(mask)部分は有機材料から構成された従来から用いられるレジスト膜201だけでは、マスクとして近年の微細加工に対応した膜厚やエッチングの耐性が不十分であることから、レジスト膜201の下層にアモルファスカーボン(ACL)、SiNまたはSiONおよびSiO2等の材料から構成されたハードマスク201またはそれらの混載した積層構造を有している。このようなハードマスク201により下層のPoly−Siやメタル材料のエッチングを行うことができる。ここではマスク201のエッチング工程についての説明は省略する。   In this example, each film is processed by a different etching recipe. Further, the resist film 201 having a thickness corresponding to recent fine processing as a mask and etching resistance is insufficient only with the resist film 201 used in the past, which is made of an organic material, as the mask portion. The hard mask 201 made of a material such as amorphous carbon (ACL), SiN or SiON, and SiO2 or a laminated structure in which these are mixed is provided in the lower layer of the substrate. With such a hard mask 201, it is possible to etch the underlying poly-Si or metal material. Here, the description of the etching process of the mask 201 is omitted.

本実施例においては、ポリシリコン膜203のエッチングには、塩素(Cl)を成分として含むガス(塩素系ガス、例えばCl2)とフッ素(F)を成分として含むガス(フッ素系ガス、例えばCF4)の混合ガス又はCl2とHBrの混合ガス等がその成分の少なくとも一部として用いられる。また、必要に応じてO2等のガスも用いられる。 In this embodiment, the polysilicon film 203 is etched by using a gas containing chlorine (Cl) as a component (chlorine gas, eg Cl 2 ) and a gas containing fluorine (F) as components (fluorine gas, eg CF 4). ) Or a mixed gas of Cl2 and HBr or the like is used as at least a part of the components. A gas such as O2 is also used as necessary.

次に、図2(b)に示す膜構造のメタル(例えばTiN)膜205のエッチング工程では、最初にメタル層界面に形成された自然酸化膜等を除去するため、比較的高いウエハバイアス出力を増加させ高イオンエネルギーを有するイオンを入射させ、主にスパッタ効果により自然酸化膜の除去を行う。この際に用いられる処理用ガスは、HBrやAr等を含むものが用いられるが、他のガス系であっても良い。   Next, in the etching process of the metal (for example, TiN) film 205 having the film structure shown in FIG. 2B, a relatively high wafer bias output is obtained in order to remove a natural oxide film or the like formed first at the metal layer interface. Increasing ions having high ion energy are incident, and the natural oxide film is removed mainly by the sputtering effect. As the processing gas used at this time, a gas containing HBr, Ar, or the like is used, but another gas system may be used.

自然酸化膜が除去された後、メタル膜205のエッチングには主にCl2またはCl2とHBrの混合ガスが処理用ガスとして用いられる。本実施例のメタル膜205は薄膜であるため比較的低いイオンエネルギーとなるようにウエハバイアス出力を調整してエッチングが行われる。 After a natural oxide film is removed, a mixed gas of mainly Cl2 or Cl 2 and HBr is used as the processing gas for etching the metal film 205. Since the metal film 205 of this embodiment is a thin film, the etching is performed by adjusting the wafer bias output so that the ion energy is relatively low.

次に、BCl3又はBCl3とCl2の混合ガスが処理用ガスとして用いられて高誘電率の材料により構成されたHigh−K(例えばHfO2)膜206のエッチングが行われる。本ステップでは、低イオンエネルギー条件を使用することにより、良好なエッチング特性(形状,選択比)等が達成される。 Next, BCl 3 or a mixed gas of BCl 3 and Cl 2 is used as a processing gas, and etching of the High-K (eg, HfO 2 ) film 206 made of a high dielectric constant material is performed. In this step, good etching characteristics (shape, selection ratio) and the like are achieved by using low ion energy conditions.

次に、本発明の第一の実施例に係る処理対象のウエハの処理の詳細について図3乃至10を用いて説明する。ここでは図2(a)に示す膜構造をエッチングする工程について説明するが、図2(b)に示す膜構造についても同様の効果がある。   Next, details of the processing of the wafer to be processed according to the first embodiment of the present invention will be described with reference to FIGS. Here, the step of etching the film structure shown in FIG. 2A will be described. However, the film structure shown in FIG.

本実施例では、図2(a)に示す膜構造のエッチングでは、マスク201として、例えばSiO2,SiN等の材料を使用した場合、エッチングにはCxHyFz(x,y,z=0,1,2,…)を成分として含むガス又はこのようなガスと希釈用ガスおよび酸素等のガスが使用される。ポリシリコン膜203については、前述のように塩素(Cl)系ガス(例えばCl2)とフッ素(F)系ガス(例えばCF4)の混合ガス又はCl2とHBrの混合ガス等が用いられる。また必要に応じてO2等のガスも用いられる。 In this embodiment, in the etching of the film structure shown in FIG. 2A, when a material such as SiO 2 or SiN is used as the mask 201, CxHyFz (x, y, z = 0, 1, 2,...) As a component, or such a gas, a diluent gas, and a gas such as oxygen. As described above, for the polysilicon film 203, a mixed gas of chlorine (Cl) -based gas (for example, Cl 2 ) and fluorine (F) -based gas (for example, CF 4) or a mixed gas of Cl 2 and HBr is used. A gas such as O 2 is also used as necessary.

発明者らは、これらのエッチングの各工程を行った後に、処理室104の内壁面に堆積した付着物を測定するため、当該内壁を模擬したサンプルを処理室104内に設置し、そのサンプル上に堆積した付着物をXPS(X-ray photoelectron spectroscopy)により測定した。本実験にて使用した処理室104の内壁は石英であるが、特にポリシリコン膜203のエッチングの工程で発生する反応生成物と類似の組成であることから、反応生成物と内壁を構成する部材の材料とを識別するため、前記サンプルとしてAl23を使用した。 In order to measure the deposits deposited on the inner wall surface of the processing chamber 104 after performing each of these etching steps, the inventors installed a sample simulating the inner wall in the processing chamber 104 and placed the sample on the sample. The deposits deposited on the surface were measured by XPS (X-ray photoelectron spectroscopy). Although the inner wall of the processing chamber 104 used in this experiment is quartz, it has a composition similar to that of the reaction product generated in the etching process of the polysilicon film 203 in particular, so that the member constituting the reaction product and the inner wall is used. Al 2 O 3 was used as the sample in order to discriminate from the above materials.

実験では、マスク202のエッチングのステップにCHF3/SF6を、ポリシリコン膜203のエッチングステップとしてPoly−BT(Break−Through)ステップにCl2/CF4/Arを、Poly−EndPointおよびPoly−OE(Over−Etching)ステップにはCl2/HBr/O2を含むガスを処理用ガスとして使用した。 In the experiment, CHF 3 / SF 6 is used as an etching step for the mask 202, Poly-BT (Break-Through) step is used as an etching step for the polysilicon film 203, and Cl 2 / CF 4 / Ar is used as a Poly-EndPoint and Poly- In the OE (Over-Etching) step, a gas containing Cl 2 / HBr / O 2 was used as a processing gas.

各ステップの処理後にサンプル上から検出された元素の組成比を図3に示す。図3は、図2(a)の膜構造を処理した際に得られた付着物の元素の組成比を示すグラフである。   FIG. 3 shows the composition ratio of elements detected on the sample after the processing of each step. FIG. 3 is a graph showing the composition ratio of the deposited elements obtained when the film structure of FIG. 2A is processed.

本図に示す通り、処理室104の内壁に形成される付着物の主成分はマスク202〜Poly−BTステップではC,Fであり、C1sスペクトルを見ると(図示省略)C−C結合よりも高エネルギー側にピークが見られることから、CFx(x=1,2,3)が主な組成であると考えられる。また、Poly−EndPoint〜Poly−OEステップではSi,Oがデポの主成分であり、Si2pスペクトルを見ると103eV付近にピークを有することからSiOx膜が形成されていると推定できる。   As shown in this figure, the main components of the deposits formed on the inner wall of the processing chamber 104 are C and F in the mask 202 to Poly-BT steps. Since a peak is observed on the high energy side, CFx (x = 1, 2, 3) is considered to be the main composition. In addition, in the Poly-EndPoint to Poly-OE steps, Si and O are the main components of the deposit, and when the Si2p spectrum is observed, it has a peak in the vicinity of 103 eV, so it can be estimated that the SiOx film is formed.

また、本実験において、サンプル表面(Al23)のAlから得られる電子強度を測定し、付着物の膜のCFxおよびSiOxの密度を所定の値に仮定することにより、各ステップでの付着物の膜厚を求めることができる。ここで、SiOxはSiO2として、CFxはFTFE(Poly−tetra−fluoro−ethylene)と類似と仮定し密度を2.2g/cm3とした場合の膜厚を図4に示す。マスク201〜Poly−BTステップではCFx膜が、Poly−EndPoint〜Poly−OEステップではSiOx膜が形成されるが、エッチング初期とCFx膜からSiOx膜の切り替わりのステップ(Poly−BTとPoly−EndPoint)のステップで一旦壁に形成される付着物の膜が消失することが分かる。つまりこの期間は処理室104の壁表面が露出し、処理室104内壁状態が経時的に変化した場合にはその影響を受けやすい期間であると言える。 In this experiment, the electron intensity obtained from Al on the sample surface (Al 2 O 3 ) was measured, and the CFx and SiOx densities of the deposited film were assumed to be predetermined values. The film thickness of the kimono can be determined. FIG. 4 shows the film thickness when SiOx is SiO 2 and CFx is assumed to be similar to FTFE (Poly-tetra-fluoro-ethylene) and the density is 2.2 g / cm 3 . A CFx film is formed in the mask 201 to the Poly-BT step, and a SiOx film is formed in the Poly-EndPoint to Poly-OE step, but the step of switching from the CFx film to the SiOx film at the initial stage of etching (Poly-BT and Poly-EndPoint). It can be seen that the deposit film formed on the wall once disappears in this step. That is, it can be said that this period is easily affected when the wall surface of the processing chamber 104 is exposed and the inner wall state of the processing chamber 104 changes over time.

このような処理室104内壁の状態の変化によって、ウエハ112の処理の特性や結果が影響を受けて変動してしまうことを抑制するために、ウエハ112の処理の前に、処理室104のプラズマに面する内壁の表面を所定の材料の皮膜で被覆(コーティング)することが考えられる。前述のような構造の膜にエッチングを行う場合には、膜種に応じて使用するエッチングガス系が異なり、場合によっては前述の例のように処理室104の内壁の付着物の膜が消失してしまい、内壁が露出してしまう虞があることから、処理室104の内部の表面を被覆する皮膜(以下、コーティング膜)は、上記膜構造の複数膜をエッチングする場合それが対象とする膜の処理の終了まで処理室104の内部の表面に残存している、少なくとも上記膜の切り替わりのステップの際に残存していることが望ましい。   In order to prevent the processing characteristics and results of the wafer 112 from being affected and fluctuated by such a change in the state of the inner wall of the processing chamber 104, the plasma in the processing chamber 104 is processed before the processing of the wafer 112. It is conceivable to coat the surface of the inner wall facing the surface with a film of a predetermined material. When etching a film having the above-described structure, the etching gas system to be used differs depending on the film type, and in some cases, the deposit film on the inner wall of the processing chamber 104 disappears as in the above example. Since the inner wall may be exposed, the film that covers the inner surface of the processing chamber 104 (hereinafter referred to as a coating film) is the film that is the target film when etching a plurality of films having the above film structure. It is desirable that it remains on the surface inside the processing chamber 104 until the end of this process, at least during the film switching step.

このため、本実施例では、エッチング処理中に消耗する膜厚以上の膜厚をあらかじめ堆積させている。さらに、上記膜構造のエッチング処理では、フッ素(F)系,塩素(Cl)系ガスを処理用ガスとして供給して形成されたプラズマが使用されるため、コーティング膜はこのようなプラズマに対するプラズマ耐性が高いことが必要である。   For this reason, in this embodiment, a film thickness greater than the film thickness that is consumed during the etching process is deposited in advance. Further, in the etching process of the above-described film structure, plasma formed by supplying fluorine (F) -based or chlorine (Cl) -based gas as a processing gas is used. Therefore, the coating film is plasma resistant to such plasma. Must be high.

図5は、図2(b)に示す膜構造をエッチング処理した際のSiを成分として含む(Si系)膜、炭素を成分として含む膜(C系)膜、SiOを成分として含む(SiO系)膜を処理室104内部の壁面上にコーティングした場合、これらの各コーティング膜の消耗量を示すグラフである。本図において、炭素(C)を主体とするコーティング膜は酸素を使用するステップ(たとえばin−situ Ashステップ)等での消耗量が大きく、このことからプラズマ耐性が低いと判断される。一方、Si又はSiO系のコーティング膜は相対的に消耗量が著しく小さく抑えられており、プラズマ耐性が高いと考えられることから、発明者らはSi又はSiO系の膜はコーティング膜として好適と判断した。   FIG. 5 shows a Si structure as a component (Si-based) film, a carbon-containing film (C-based) film, and SiO as a component (SiO-based) when the film structure shown in FIG. 2B is etched. ) When the film is coated on the wall surface inside the processing chamber 104, the consumption amount of each of these coating films is a graph. In this figure, the coating film mainly composed of carbon (C) has a large consumption amount in a step (for example, in-situ ash step) using oxygen, and therefore, it is determined that the plasma resistance is low. On the other hand, since the consumption amount of Si or SiO-based coating film is relatively small and the plasma resistance is considered to be high, the inventors determined that Si or SiO-based film is suitable as a coating film. did.

特に、Siを含有するコーティング膜では、Siと酸素(O)を含有する膜、およびSiと炭素(C)を含有する膜のプラズマ耐性が良好である。このようなコーティング膜を処理室104内の部材の表面に付着させるためにプラズマを形成する処理用ガスのガス種として、例えば、SiCl4とO2との混合ガス、又はSiCl4とメタン(CH4)の混合ガスが好適である。Ar等の希釈用ガスを添加しても同様のコーティング膜を形成することが可能である。 In particular, in a coating film containing Si, the plasma resistance of a film containing Si and oxygen (O) and a film containing Si and carbon (C) are good. For example, a mixed gas of SiCl 4 and O 2 , or a mixed gas of SiCl 4 and methane (CH 2) is used as a gas species of a processing gas that forms plasma in order to attach such a coating film to the surface of a member in the processing chamber 104. The mixed gas of 4 ) is preferred. A similar coating film can be formed by adding a dilution gas such as Ar.

図6は、SiCl4とO2の混合ガスを用いて形成したコーティング膜の表面をXPSにより測定したSi2pスペクトルを示すグラフである。本図において、石英の表面を分析した結果も合わせて示す。コーティング膜及び石英の両者において、ほぼ同じ結合エネルギー103eV付近にピークを有していることから、酸化膜(SiO2)が形成されていることが分かる。 FIG. 6 is a graph showing a Si2p spectrum obtained by measuring the surface of a coating film formed using a mixed gas of SiCl 4 and O 2 by XPS. In this figure, the result of analyzing the surface of quartz is also shown. Since both the coating film and quartz have a peak in the vicinity of substantially the same binding energy 103 eV, it can be seen that an oxide film (SiO 2 ) is formed.

また、図7は、SEM(Scanning electron microscopy)によりコーティング膜表面を測定して得られた像を示す図である。発明者らは、コーティング膜を形成する条件を変化させ得られたコーティング膜の表面とを比較して検討した結果、O2/SiCl4流量比を0.5より小さくすると、コーティング膜の表面に荒れが発生するという知見を得た。このようなコーティング膜の表面の荒れが存在すると、コーティング膜の不均一によるリアクタ内壁の被覆率の不均一や、荒れを起点としたクラックの発生による異物の発生等の問題が生起する虞が有る。このことから本実施例では、コーティング膜を形成するために処理室104内に導入される処理用ガスにおいてO2とSiCl4との流量比を0.5以上としている。 FIG. 7 is a view showing an image obtained by measuring the coating film surface by SEM (Scanning electron microscopy). The inventors have compared the surface of the coating film obtained by changing the conditions for forming the coating film, and as a result, when the O 2 / SiCl 4 flow rate ratio is smaller than 0.5, the surface of the coating film is roughened. The knowledge that occurs is obtained. If such surface roughness of the coating film exists, problems such as non-uniformity of the inner wall coverage of the reactor due to non-uniformity of the coating film and generation of foreign matter due to the occurrence of cracks originating from the roughness may occur. . Therefore, in this embodiment, the flow rate ratio of O 2 and SiCl 4 is set to 0.5 or more in the processing gas introduced into the processing chamber 104 to form the coating film.

ウエハ載置電極111の上面のウエハ112載置面は溶射膜で覆われているが、コーティング膜を形成するプラズマの処理中には、ウエハ112が載せられていない場合には載置面も同様にコーティング膜が形成される。このため、ウエハ112がウエハ載置電極111上に載せられて処理対象の膜構造の所定の膜がエッチング処理されている間コーティング膜はESC膜とウエハ間に存在する。   The wafer 112 mounting surface on the upper surface of the wafer mounting electrode 111 is covered with a sprayed film, but during the plasma processing for forming the coating film, the same applies to the mounting surface when the wafer 112 is not mounted. A coating film is formed. For this reason, the coating film exists between the ESC film and the wafer while the wafer 112 is placed on the wafer mounting electrode 111 and a predetermined film having a film structure to be processed is being etched.

発明者らの検討によれば、この際、溶射膜上に形成された直後のコーティング膜の表面には、図8(a)に示すように、微小な凸部による凹凸や表面の粗さの分布の不均一さが存在する。例えば、このような凸部はSiを含む材料による突起であるという知見が得られている。そして、このような凹凸や荒れのために溶射膜内に配置された静電吸着用の薄膜の電極に電圧を印加しウエハと溶射膜の間でコーティング膜に力が印加されると上記微小な荒れを起点にコーティング膜にクラックが発生してしまい、ウエハの処理の枚数の増大にともなってクラックから溶射皮膜を構成する材料が破片となって遊離してしまい、これが再度ウエハ112(別のウエハも含め)を汚染して異物が発生してしまうという問題が生じてしまう。   According to the study by the inventors, at this time, the surface of the coating film immediately after being formed on the sprayed film has irregularities or surface roughness due to minute protrusions as shown in FIG. There is a non-uniform distribution. For example, the knowledge that such a convex part is a processus | protrusion by the material containing Si is acquired. Then, due to such unevenness and roughness, when the voltage is applied to the electrode of the thin film for electrostatic adsorption arranged in the sprayed film and a force is applied to the coating film between the wafer and the sprayed film, the above minute Cracks occur in the coating film starting from the roughness, and as the number of wafers processed increases, the material constituting the sprayed coating is released from the cracks as fragments, which again becomes the wafer 112 (another wafer). A problem that foreign matter is generated due to contamination.

また、このような問題は、ウエハ載置電極111の表面のコーティング膜だけでなく、処理室104の内部に配置された部材の表面を被覆する膜においても同様に生起する可能性が有る。例えば、コーティング膜の形成後に製品用のウエハ112を処理室104内にプラズマを形成してエッチング処理する際に、このプラズマ内の荷電粒子や反応性粒子とコーティング膜を構成する材料とが相互作用を起こしクラックや損傷が生じてしまい、ひいては遊離した破片によって上記と同様に汚染,異物が生起する虞が有る。   Such a problem may occur not only in the coating film on the surface of the wafer mounting electrode 111 but also in the film covering the surface of the member disposed inside the processing chamber 104. For example, when a plasma is formed in the processing chamber 104 and etching is performed on the product wafer 112 after the coating film is formed, the charged particles and reactive particles in the plasma interact with the material constituting the coating film. As a result, cracks and damages may occur, and as a result, free fragments may cause contamination and foreign matter as described above.

これら異物がウエハ上に付着すると、製品の歩留まりを低下させる可能性がある。本実施例では、このような問題の発生を抑制するため、ウエハ112をウエハ載置電極111上面に載せていない状態で上記の通りプラズマ処理によってコーティング膜を処理室104内に形成後、上記突起や凹凸,荒れを低減する表面の処理を行う。本実施例ではこのような表面の処理をプラズマによりコーティング膜表面を所定のエッチングすることにより行っている。エッチング処理のためのプラズマに対する耐性を備えた皮膜を被覆した後このような皮膜のアフタートリートメントを行うことにより、図8(b)に示すように、コーティング膜の表面の荒れや突起,凹凸が低減され上記異物の発生を抑制することができる。   If these foreign substances adhere to the wafer, the product yield may be reduced. In this embodiment, in order to suppress the occurrence of such a problem, after the coating film is formed in the processing chamber 104 by the plasma processing as described above in a state where the wafer 112 is not placed on the upper surface of the wafer mounting electrode 111, the protrusion Surface treatment to reduce unevenness and roughness. In this embodiment, such surface treatment is performed by performing predetermined etching on the surface of the coating film with plasma. By coating a film with resistance against plasma for etching treatment, after-treatment of such a film is performed, as shown in FIG. 8B, the roughness, protrusions, and irregularities on the surface of the coating film are reduced. The generation of the foreign matter can be suppressed.

このようなコーティング膜に形成された後の処理を施す処理の条件として、フッ素を成分として含む(F系)ガスを用いたプラズマ、例えばSF6を用いたプラズマによる処理が有効である。また、この後処理(アフタートリートメント)を行う時間を変化させた場合のコーティング膜の表面から遊離する粒子の数の変化を図9に示す。   As a processing condition for performing the processing after being formed on such a coating film, processing using plasma using (F-based) gas containing fluorine as a component, for example, plasma using SF6 is effective. FIG. 9 shows the change in the number of particles released from the surface of the coating film when the time for performing this post-treatment (after-treatment) is changed.

本図に示すように、上記プラズマを用いた後処理を継続させた時間が約2秒までは粒子の数が急激に低減され、約2秒を経過した後にはその数が0に近い値に漸近する。発明者らはこのような知見を得て、約2秒以上のプラズマを用いた後処理によりコーティング膜の表面の荒れや欠陥を低減させて異物の発生を抑制することを想起した。以下、このようなコーティング膜を処理室104内に形成する処理の後にプラズマを用いて表面の荒れや欠陥を低減する処理をアフタートリートメントと呼ぶ。   As shown in the figure, the number of particles is drastically reduced until the time for which the post-treatment using the plasma is continued is about 2 seconds, and the number is close to 0 after about 2 seconds. Asymptotically. The inventors have obtained such knowledge and recalled that the post-treatment using plasma for about 2 seconds or more reduces the surface roughness and defects of the coating film and suppresses the generation of foreign matter. Hereinafter, after the process of forming such a coating film in the processing chamber 104, the process of reducing surface roughness and defects using plasma is referred to as after-treatment.

図10は、本実施例に係るプラズマ処理装置において実施される半導体ウエハの処理の流れを示すフローチャートである。なお、本図では、カセット等ウエハを収納可能な容器内に格納された所定の数のウエハを1ロットとして扱い、各ロット毎にプラズマ処理装置100内の処理室104の内部に配置された部材の表面を処理に適した状態にするエージング処理(ステップ1001)から開始する例を示している。   FIG. 10 is a flowchart showing the flow of processing of a semiconductor wafer performed in the plasma processing apparatus according to this embodiment. In this figure, a predetermined number of wafers stored in a container capable of storing wafers such as cassettes are handled as one lot, and members arranged in the processing chamber 104 in the plasma processing apparatus 100 for each lot. The example which starts from the aging process (step 1001) which makes the surface of this state suitable for a process is shown.

エージング処理は、処理室104内に処理対象のウエハ112が配置されていない状態で、所定のガス例えばAr等不活性ガスを導入してプラズマを形成し、処理室104の内部の部材の表面の温度や粗さ,材質等をその後行われるウエハ112の処理に適した状態に調整する処理である。この後、処理室104内にクリーニング用のガスが導入されてプラズマが形成され、処理室104内部の表面に付着,残存している粒子や皮膜,堆積物を取り除くクリーニング処理が行われる(ステップ1002)。   In the aging process, in a state where the wafer 112 to be processed is not arranged in the processing chamber 104, an inert gas such as Ar is introduced to form plasma, and the surface of the member inside the processing chamber 104 is formed. In this process, the temperature, roughness, material, etc. are adjusted to a state suitable for the subsequent processing of the wafer 112. Thereafter, a cleaning gas is introduced into the processing chamber 104 to form plasma, and a cleaning process is performed to remove particles, coatings, and deposits remaining on the surface inside the processing chamber 104 (step 1002). ).

クリーニング処理がなされて清掃がされた状態の処理室104の内表面にエッチング処理の特性を安定化するための皮膜を被覆するコーティング処理(ステップ1003)がされる。本実施例のコーティング処理は、上記の通り、コーティング膜を処理室104内の部材の表面に付着させるためにプラズマを形成する処理用ガスのガス種として、例えば、SiCl4とO2との混合ガス、又はSiCl4とメタン(CH4)の混合ガスと希釈用ガスとしてArとともに供給して行われる。これらのガスを用いてプラズマを形成することにより、処理室104の内部に配置された部材、例えは処理室104の内側側壁の表面やウエハ載置電極111の上面,側面がSiまたはSiOを含む材料から構成された皮膜により被覆される。 A coating process (step 1003) is performed in which the inner surface of the processing chamber 104 in a state where the cleaning process is performed and the cleaning process is performed is coated with a film for stabilizing the characteristics of the etching process. The coating process of this embodiment, as described above, as the gas species of the processing gas to form a plasma to deposit a coating film on the surface of the member in the process chamber 104, for example, mixed gas of SiCl4 and O 2 Alternatively, a mixed gas of SiCl 4 and methane (CH 4 ) and a dilution gas are supplied together with Ar. By forming plasma using these gases, members disposed inside the processing chamber 104, for example, the surface of the inner side wall of the processing chamber 104 and the upper and side surfaces of the wafer mounting electrode 111 contain Si or SiO. It is covered with a coating composed of material.

このコーティング処理(ステップ1003)は、ウエハ載置電極111上面の載置面上には処理対象の製品製造用のウエハ112が載置されていない状態で行われる。この後、導入されるガスが換えられて、例えばSF6に切替えられて処理室104内に導入されてプラズマが形成される。このプラズマによって先のステップにより形成されたコーティング膜の表面の荒れや欠陥を低減する後処理(アフタートリートメント)としてのエッチング処理が行われる(ステップ1004)。 This coating process (step 1003) is performed in a state where the wafer 112 for manufacturing the product to be processed is not placed on the placement surface of the wafer placement electrode 111. Thereafter, the introduced gas is changed, for example, switched to SF 6 and introduced into the processing chamber 104 to form plasma. Etching is performed as a post-treatment (after treatment) for reducing roughness and defects on the surface of the coating film formed in the previous step by this plasma (step 1004).

ステップ1004の後、上記処理用ガスが切替えられウエハ112が処理室104内に搬送されてウエハ載置電極111上の載置面上に載せられる(ステップ1005)。処理室104内部が図示しないゲートバルブによって気密に封止されて密封された後、上記の通り、処理対象の膜に適した処理用ガスが導入されて図2に示す膜構造がエッチング処理される(ステップ1006)。   After step 1004, the processing gas is switched and the wafer 112 is transferred into the processing chamber 104 and placed on the mounting surface on the wafer mounting electrode 111 (step 1005). After the inside of the processing chamber 104 is hermetically sealed and sealed by a gate valve (not shown), as described above, a processing gas suitable for the film to be processed is introduced, and the film structure shown in FIG. 2 is etched. (Step 1006).

ウエハ112表面の膜構造の処理が終了するとウエハ112が処理室102外に搬出される(ステップ1007)と、プラズマ処理装置100の図示しない制御装置は処理するウエハ112が存在するか否かの情報を通信手段を介して入手して、処理室104での処理対象の処理を継続するか否かを判定する(ステップ1008)。次に処理すべきウエハ112があると判定された場合には、ステップ1002に戻り、コーティング膜を含む堆積物,膜,残存した粒子をクリーニングを行う。   When the processing of the film structure on the surface of the wafer 112 is completed, when the wafer 112 is carried out of the processing chamber 102 (step 1007), the control device (not shown) of the plasma processing apparatus 100 indicates whether there is a wafer 112 to be processed. Is obtained through the communication means, and it is determined whether or not to continue the processing target processing in the processing chamber 104 (step 1008). If it is determined that there is a wafer 112 to be processed next, the process returns to step 1002 to clean the deposit including the coating film, the film, and the remaining particles.

処理すべきウエハ112が無いと判定された場合には、ステップ1009に移り、ステップ1002と同様に処理室104内を洗浄した後、ウエハ112の処理を終了する(ステップ1010)。なお、処理室104内の処理が終了しても、処理後のウエハ112は搬送の途中である場合もあるため、制御装置によってウエハ112が元のカセットの元の位置に収納されたことが判定された際に、制御装置からプラズマ処理装置100に備えられた表示モニタ,ブザー,ライト等の報知手段によって、処理の終了が報知される。   If it is determined that there is no wafer 112 to be processed, the process proceeds to step 1009, and the processing chamber 104 is cleaned in the same manner as in step 1002, and then the processing of the wafer 112 is ended (step 1010). Even after the processing in the processing chamber 104 is completed, the processed wafer 112 may be in the process of being transferred, so that the control device determines that the wafer 112 has been stored in the original position of the original cassette. When this is done, the end of the process is notified from the control device by a notification means such as a display monitor, buzzer, or light provided in the plasma processing apparatus 100.

本実施例に示すこれら処理が施されることにより、処理室104の内壁の状態が処理の枚数の増大に伴い、あるいは処理の進行に伴って時間的に変化してしまうことにより悪影響、例えば処理室104内壁からの異物の発生やウエハ112の処理の結果の均一性の低下,処理の速度や加工形状の再現性といった特性が変動してしまうことを抑制できる。また、コーティングの皮膜を形成した後にアフタートリートメント処理を行うことで、コーティング膜の表面の損傷や状態の変化を抑制し異物の発生やウエハ112の処理への影響を抑制することができる。   By performing these processes shown in the present embodiment, the state of the inner wall of the processing chamber 104 changes with time as the number of processes increases or as the process progresses, so that adverse effects such as processing Generation of foreign matter from the inner wall of the chamber 104, deterioration in uniformity of the processing result of the wafer 112, and fluctuations in characteristics such as processing speed and processing shape reproducibility can be suppressed. Further, by performing the after-treatment process after forming the coating film, it is possible to suppress the damage of the surface of the coating film and the change of the state, thereby suppressing the generation of foreign matter and the influence on the processing of the wafer 112.

このことにより、エッチング処理の再現性や歩留まりを向上させることができる。また、本実施例では図2(a)に示すような膜構造のエッチングについて説明したが、本実施例に含まれる発明は、図2(b)に示すような金属膜(例えばTiN)を含む膜構造をエッチング処理する場合においても、ステップ1002,ステップ1008に示したクリーニング処理によって、処理室104内部に残留するメタル材料の成分、例えばTiを組成として含む反応生成物をコーティング膜と共に除去できるため、再現性の良いプラズマ処理が可能となる。   Thereby, the reproducibility and yield of the etching process can be improved. Further, in this embodiment, the etching of the film structure as shown in FIG. 2A has been described. However, the invention included in this embodiment includes a metal film (for example, TiN) as shown in FIG. Even in the case of etching the film structure, the cleaning process shown in Step 1002 and Step 1008 can remove the component of the metal material remaining in the processing chamber 104, for example, a reaction product containing Ti as a composition together with the coating film. This makes it possible to perform plasma processing with good reproducibility.

これまでの実施例の説明では、図2(a)に示すメタル膜205を含まない膜構造をエッチングして半導体デバイスを製造する工程について説明した。次に、図2(b)に示すメタル膜205を含む処理対象の膜構造をエッチングする工程について説明する。これまでの実施例と同等の箇所については説明を省略する。   In the description of the embodiments so far, the process of manufacturing the semiconductor device by etching the film structure not including the metal film 205 shown in FIG. Next, a process of etching the film structure to be processed including the metal film 205 shown in FIG. A description of portions equivalent to those in the above-described embodiments is omitted.

図2(b)のようにメタル膜205を含む膜構造をエッチング処理する技術は、従来、ウェット洗浄等の処理室204の内部の部材の表面のクリーニングを実施した後、処理室104の内部をその後のウエハ112のエッチング処理に適した状態に馴染ませるため、Si,PR又は酸化膜等のメタル膜を有さない膜を表面に備えたウエハを処理室104内に配置してプラズマを形成して上記の馴染ませる処理(シーズニング処理)を実施した後に製品製造用のウエハを処理していた。   The technique for etching the film structure including the metal film 205 as shown in FIG. 2B is conventionally performed by cleaning the surface of the member inside the processing chamber 204 such as wet cleaning, and then performing the inside of the processing chamber 104. In order to adjust to a state suitable for the subsequent etching process of the wafer 112, a wafer having a film having no metal film such as Si, PR or oxide film on the surface thereof is disposed in the processing chamber 104 to form plasma. Then, the wafer for product manufacture was processed after the above-described process (seasoning process).

図11は、このような従来の技術によりウェット洗浄後に図2(b)に示すメタル膜/High−k膜を備えた膜構造を上面に備えた所定のロットのウエハ複数を処理した際のポリシリコン(Poly−Si)膜のエッチング速度(レート)の変化を示すグラフである。本図に示す通り、従来の技術ではウエハの処理を開始したロットの極初期ではエッチングレートが急激に増加し、その後エッチングレートは任意の値に漸近して安定となる。   FIG. 11 is a schematic diagram illustrating a process of processing a plurality of wafers in a predetermined lot having a film structure including a metal film / high-k film shown in FIG. It is a graph which shows the change of the etching rate (rate) of a silicon | silicone (Poly-Si) film | membrane. As shown in this figure, in the conventional technique, the etching rate increases rapidly at the very initial stage of the lot where wafer processing is started, and thereafter, the etching rate gradually approaches an arbitrary value and becomes stable.

発明者らは、このような現象が生じる原因は、処理室104内に残留するメタル膜の成分(例えばTi)にフッ素(F)や塩素(Cl)等の処理室104内に供給されたガスの成分が吸着するため、処理中のFやCl濃度が増加することであるという知見を得た。一般にTi−F,Ti−Oの結合は安定であり、従来はプラズマを用いたクリーニング処理条件では除去することが難しかった。一方、このようなプロセス変動を抑制するために、被処理材に含まれるメタル材料(例えばTiN)と同種のウエハを処理し、処理室104内部にTiを付着させるTiシーズニングを行うことで、処理室内部の雰囲気を安定化することも考えられている。   The inventors have found that such a phenomenon is caused by a gas supplied to the component (for example, Ti) of the metal film remaining in the processing chamber 104 such as fluorine (F) or chlorine (Cl) in the processing chamber 104. It was found that the concentration of F and Cl during the treatment increased due to the adsorption of these components. In general, the bond of Ti—F and Ti—O is stable, and conventionally, it has been difficult to remove under the cleaning treatment conditions using plasma. On the other hand, in order to suppress such process fluctuations, the same kind of wafer as the metal material (for example, TiN) contained in the material to be processed is processed, and Ti seasoning for attaching Ti to the inside of the processing chamber 104 is performed. It is also considered to stabilize the indoor atmosphere.

図12は、High−K膜206のエッチングステップとメタル(例えばTiN)膜205のエッチングステップの条件、例えば処理を継続する時間の変化に対するポリシリコン(Poly−Si)膜203のエッチングレートとチャンバー内に残留するTi量の変化とを示すグラフである。この図は、Ti量は処理室104内部にTiが残留した状態で清浄なSiウエハをウエハ載置電極111上に設置し、プラズマ形成用のガスとしてArを供給してプラズマを形成することにより処理室104内壁面に残留したTiをスパッタした結果ウエハ上に付着したTi量をTXRF(Total reflection x-ray fluorescence)により測定し求めたものである。   FIG. 12 shows the conditions of the etching step of the High-K film 206 and the etching step of the metal (for example, TiN) film 205, for example, the etching rate of the polysilicon (Poly-Si) film 203 and the inside of the chamber with respect to the change of the processing time. It is a graph which shows the change of the amount of Ti which remains in. This figure shows that the amount of Ti is obtained by placing a clean Si wafer on the wafer mounting electrode 111 with Ti remaining inside the processing chamber 104 and supplying Ar as a plasma forming gas to form plasma. The amount of Ti deposited on the wafer as a result of sputtering Ti remaining on the inner wall surface of the processing chamber 104 was measured by TXRF (Total reflection x-ray fluorescence).

メタル膜205のエッチング処理の時間に対して、High−K膜206のエッチング処理の時間が長いほど、ポリシリコン膜203のエッチングレートは低下していくこと、さらにこれらの時間の比率が所定の値以上になると当該エッチングレートは任意の値に漸近することが判る。同様に、処理室104内部に残留するTi量もHigh−K膜206のエッチング処理の時間の比率が増加すると共に低下することが判った。   The etching rate of the polysilicon film 203 decreases as the etching time of the High-K film 206 increases with respect to the etching time of the metal film 205, and the ratio of these times is a predetermined value. It can be seen that the etching rate gradually approaches an arbitrary value when the above is reached. Similarly, it has been found that the amount of Ti remaining in the processing chamber 104 also decreases as the ratio of the etching time of the High-K film 206 increases.

前述のように、処理室104内に残留するTiはTi−FやTi−Oのような安定な結合状態で残留すると推定されるので、High−K膜206のエッチング処理のステップでは処理用のガスとしてBCl3を成分として含むガスが使用される。発明者らは、この際にBが還元剤として作用し上記Ti−O,Ti−F等の結合が切れやすくなり、残留するTiが除去されていると考えた。 As described above, it is estimated that Ti remaining in the processing chamber 104 remains in a stable bonding state such as Ti—F or Ti—O. Therefore, in the step of etching the High-K film 206, the processing is performed. A gas containing BCl 3 as a component is used as the gas. At this time, the inventors considered that B acts as a reducing agent, the bonds such as Ti—O and Ti—F are easily broken, and the remaining Ti is removed.

つまり、本実施の例の膜構造のエッチング処理では、メタル膜205のエッチング処理のステップでは処理室104内にメタル膜205の金属成分(例えばTi)を供給し、一方High−K膜のエッチング処理のステップでは処理室104内に残留する金属成分(例えばTi)を除去している。それぞれのエッチング処理の時間は、製造する半導体デバイスの構造により各膜厚が異なるため製造対象の半導体デバイスに応じて処理室104内に残留するTi量は異なることになる。   That is, in the etching process of the film structure of the present embodiment, the metal component (for example, Ti) of the metal film 205 is supplied into the processing chamber 104 in the etching process step of the metal film 205, while the etching process of the High-K film is performed. In this step, the metal component (for example, Ti) remaining in the processing chamber 104 is removed. Each etching process has a different thickness depending on the structure of the semiconductor device to be manufactured, so that the amount of Ti remaining in the processing chamber 104 differs depending on the semiconductor device to be manufactured.

単一の半導体デバイスのみを製造する場合に前述のTiシーズニング等の手法により、処理室104内壁の表面の状態を安定化することで半導体デバイス製造のためのエッチング処理の特性を安定化することは可能である。しかし、異なるデバイスを複数、一度に作成する場合には、製品ごとに雰囲気のリセット(例えばウェット処理)とシーズニングを行う必要があり、装置のダウンタイムが長くなりスループットが低下するという問題がある。   In the case of manufacturing only a single semiconductor device, it is possible to stabilize the characteristics of the etching process for manufacturing the semiconductor device by stabilizing the surface state of the inner wall of the processing chamber 104 by the above-described technique such as Ti seasoning. Is possible. However, when a plurality of different devices are created at a time, it is necessary to reset the atmosphere (for example, wet processing) and seasoning for each product, resulting in a problem that the downtime of the apparatus becomes longer and the throughput is lowered.

前述のように、メタル、例えばTi系の反応生成物はTi−O,Ti−F等の強固な結合にて残留するため、従来のF系ガスプラズマ処理のみでの除去は難しい。そのため上記実施例に記載のように、処理対象のウエハ112を処理室104内のウエハ載置電極111上に設置する前に、処理室104内壁およびウエハ載置電極111上面の溶射膜上にコーティング膜を形成するためのプラズマ処理を施した後、ウエハ112を電極上に載置してウエハ112の上記膜構造をエッチングする処理を行う。そして、エッチング処理の終了後、コーティング膜を除去するクリーニング処理を行う。この際コーティング膜上に堆積したメタル膜205の成分を含む物質、例えばTi系反応生成物をコーティング膜と共に除去する。このような処理を行うことで、より安定なプラズマ処理が可能であるが、処理の条件等によっては、Ti系反応生成物といったメタル材料が処理室内に残留してしまい、この残留した物質によってその後のメタル膜205のエッチング処理に影響が及び処理の特性の変動が大きくなってしまうという問題が生じる。   As described above, a metal, for example, a Ti-based reaction product remains in a strong bond of Ti—O, Ti—F, and the like, so that it is difficult to remove only by the conventional F-based gas plasma treatment. Therefore, as described in the above embodiment, before the wafer 112 to be processed is placed on the wafer mounting electrode 111 in the processing chamber 104, coating is performed on the inner wall of the processing chamber 104 and the sprayed film on the upper surface of the wafer mounting electrode 111. After performing the plasma treatment for forming the film, the wafer 112 is placed on the electrode and the film structure of the wafer 112 is etched. Then, after the etching process is completed, a cleaning process for removing the coating film is performed. At this time, a substance containing a component of the metal film 205 deposited on the coating film, for example, a Ti-based reaction product is removed together with the coating film. By performing such treatment, more stable plasma treatment is possible. However, depending on the treatment conditions and the like, a metal material such as a Ti-based reaction product may remain in the treatment chamber, and the remaining substance may cause This causes a problem that the etching process of the metal film 205 is affected and the characteristics of the process increase greatly.

本実施例では、このようなコーティング膜形成の後にクリーニングの処理に加えて、処理室104に残留したメタル材料の成分を取り除くメタルクリーニングステップを備えている。このようなメタルクリーニングステップを挿入するタイミングとしては2つの場合があり、以下それぞれの場合について説明する。   In this embodiment, in addition to the cleaning process after the formation of the coating film, a metal cleaning step for removing the components of the metal material remaining in the processing chamber 104 is provided. There are two cases for inserting such a metal cleaning step, and each case will be described below.

第1の場合について図13を用いて説明する。図13は、図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れを示すフローチャートである。本実施例では、このような処理はウエハ毎に実施される例を示しているが、当該動作に係る処理をウエハの所定の枚数毎に行ってもよい。   The first case will be described with reference to FIG. FIG. 13 is a flowchart showing a flow of processing including a metal cleaning step in the plasma processing apparatus shown in FIG. In the present embodiment, an example is shown in which such processing is performed for each wafer, but the processing related to the operation may be performed for each predetermined number of wafers.

図13は、処理室104内部の部品交換等の定期的なメンテナンス後に処理室を密封して減圧を開始しプラズマ処理装置100を再度動作させる場合又は、新たなロットの処理を開始する場合の動作の流れを示している。まず、本例では、先ず、処理室104内部の雰囲気を整えるためのロット前のエージング処理を実施する(ステップ1301)。この後、通常の反応生成物を除去するためのクリーニング処理を実施する(ステップ1302)。このようなクリーニングは処理室104内に形成したプラズマを用いて処理室104内壁面上に付着,堆積して残存する物質を取り除くことで行われる。   FIG. 13 shows an operation when sealing the processing chamber after periodic maintenance such as replacement of parts inside the processing chamber 104 and starting depressurization to operate the plasma processing apparatus 100 again or when processing a new lot is started. Shows the flow. First, in this example, first, an aging process before a lot for adjusting the atmosphere inside the processing chamber 104 is performed (step 1301). Thereafter, a cleaning process for removing a normal reaction product is performed (step 1302). Such cleaning is performed by removing substances remaining on the inner wall surface of the processing chamber 104 by using plasma formed in the processing chamber 104.

なお、このエージング処理及びクリーニング処理は、図10のステップ1001,ステップ1002において実施されるものと同等の目的,条件,作用で行われる。このクリーニング処理(ステップ1302)においてメタル成分も取り除いても良い。   The aging process and the cleaning process are performed with the same purpose, condition, and action as those performed in Step 1001 and Step 1002 of FIG. The metal component may also be removed in this cleaning process (step 1302).

その後、図10のステップ1003と同等の目的,条件,作用を備えた上記コーティング膜を形成する処理により、処理室104内部の部材表面およびウエハ載置電極111の上面,側面上にコーティング膜を形成する(ステップ1303)。次に、このようなコーティング膜に含まれたメタル膜205の成分に起因するメタル材料を取り除くメタルクリーニングの処理を実施して処理室104内部に残存するメタルの除去を行う(ステップ1304)。さらに、この後、ステップ1302と同等の通常のクリーニング処理を実施し、残存するコーティング膜の除去を行った後(ステップ1305)、実際のウエハ112の処理を行う。   Thereafter, a coating film is formed on the surface of the member inside the processing chamber 104 and the upper surface and side surface of the wafer mounting electrode 111 by the process of forming the above-described coating film having the same purpose, conditions, and action as in Step 1003 of FIG. (Step 1303). Next, a metal cleaning process for removing the metal material resulting from the component of the metal film 205 contained in the coating film is performed to remove the metal remaining in the processing chamber 104 (step 1304). Further, after that, a normal cleaning process equivalent to step 1302 is performed, and after the remaining coating film is removed (step 1305), the actual wafer 112 is processed.

本図において、処理対象となるウエハ112を処理室104内に配置してウエハ112のエッチング処理を開始する前に、処理室104の内壁および溶射膜を有するウエハ載置電極111上にコーティング膜を堆積させるためのプラズマ処理およびアフタートリートメント処理を施す(ステップ1306)。この後ウエハ112をウエハ載置電極111上に載置しウエハ112上に配置された処理対象の図2(b)の膜構造を所定の条件を実現してエッチング処理を行う(ステップ1307)。   In this figure, before the wafer 112 to be processed is placed in the processing chamber 104 and the etching process of the wafer 112 is started, a coating film is formed on the wafer mounting electrode 111 having the inner wall of the processing chamber 104 and the sprayed film. Plasma treatment and after-treatment treatment for deposition are performed (step 1306). Thereafter, the wafer 112 is mounted on the wafer mounting electrode 111, and the film structure of FIG. 2B to be processed placed on the wafer 112 is subjected to an etching process by realizing a predetermined condition (step 1307).

ウエハ112上の処理対象の膜に対するエッチング処理が終了した後、ウエハ112を処理室104から搬出した後に処理室104の内部の部材の表面およびウエハ載置電極111の表面上にステップ1306において形成されたコーティング膜が残存する状態で、処理室104の内部に残留するメタル膜205を構成した成分、例えばTiをクリーニングするためのメタルクリーニング処理を行う(ステップ1308)。   After the etching process on the film to be processed on the wafer 112 is completed, the wafer 112 is unloaded from the processing chamber 104 and then formed on the surface of the member inside the processing chamber 104 and the surface of the wafer mounting electrode 111 in step 1306. In the state where the coating film remains, a metal cleaning process for cleaning a component, for example, Ti, constituting the metal film 205 remaining in the processing chamber 104 is performed (step 1308).

前述のように、TiはTi−O,Ti−F等の安定な結合状態となっている場合が多いと考えられることから、ステップ1308のメタルクリーニング処理においては、処理室104内に処理用ガスとしてボロン(B),水素(H),炭素(C),シリコン(Si)のいずれかのガス又はこれらを組み合わせから構成される物質を成分として含むものが供給される。さらには、これらの元素のいずれか又はこれらの組み合わせと塩素(Cl)又はフッ素(F)を併せて処理室104の内部に供給してプラズマを形成することにより、処理室104内に残留するTiが除去される。   As described above, it is considered that Ti is often in a stable bonding state such as Ti—O or Ti—F. Therefore, in the metal cleaning process in Step 1308, the processing gas is contained in the processing chamber 104. As a component, a gas containing any one of boron (B), hydrogen (H), carbon (C), and silicon (Si), or a material composed of a combination thereof is supplied. Furthermore, any of these elements or a combination thereof and chlorine (Cl) or fluorine (F) are combined and supplied into the processing chamber 104 to form plasma, thereby forming Ti remaining in the processing chamber 104. Is removed.

このようなメタルクリーニング処理に用いられる処理用ガスの例としては、HCl,SiCl4,BCl3,CH4とCl2又はFを含むガスSF6,CF4,CxHyFzとの混合ガスが考えられる。特に、発明者らの検討によれば、BCl3とCl2の混合ガス、SiCl4とCl2の混合ガスでは、Tiのクリーニング効果が高い。さらに、必要に応じて上記混合ガスを希釈ガス等により希釈しても同様の効果が得られる。 As an example of the processing gas used in such a metal cleaning process, a mixed gas of HCl, SiCl 4 , BCl 3 , CH 4 and Cl 2 or F containing gas SF 6 , CF 4, CxHyFz can be considered. In particular, according to the study by the inventors, a mixed gas of BCl 3 and Cl 2 and a mixed gas of SiCl 4 and Cl 2 have a high Ti cleaning effect. Further, the same effect can be obtained by diluting the mixed gas with a diluent gas or the like as necessary.

一般に、ウエハ112が載せられるウエハ載置電極111の載置面はセラミックス材で構成されており、例えば、Al23やY23等の材料が使用されていることが一般的である。このようなセラミックス材料により構成された部材にプラズマが面すると、セラミックスがプラズマ内の荷電粒子や反応性粒子との相互作用によりエッチングされ消耗してしまう。本例では、セラミックスで構成された載置面上にコーティング膜が配置された状態で、上記のアフタートリートメント処理やメタルクリーニング処理といったプラズマ処理を実施することができるため、プラズマによる上記載置面を構成するセラミックスの損傷が抑制される。 In general, the mounting surface of the wafer mounting electrode 111 on which the wafer 112 is mounted is made of a ceramic material, and for example, a material such as Al 2 O 3 or Y 2 O 3 is generally used. . When the plasma faces a member made of such a ceramic material, the ceramic is etched and consumed due to the interaction with charged particles and reactive particles in the plasma. In this example, since the plasma treatment such as the after treatment treatment or the metal cleaning treatment can be performed in a state where the coating film is arranged on the placement surface made of ceramics, the above placement surface by plasma is used. Damage to the ceramics to be configured is suppressed.

上記のメタルクリーニング処理を処理室104内に残留する、特にコーティング膜の成分あるいは処理室104の内壁の部材の成分と化合してコーティング膜内に存在するメタル成分の物質の量が十分減少するまで実施した後、コーティング膜および処理室内に残留するその他の反応生成物を除去するためのクリーニング処理を行う(ステップ1309)。このクリーニング処理は、コーティング膜がSiを含む成分で構成された膜である場合、例えば、コーティング膜形成のプラズマ処理(ステップ1306)をSiCl4,O2の混合ガス、又はSiCl4,O2,Arの混合ガスを用いて実施した場合には、フッ素(F)を含有またはフッ素(F)と酸素(O)を成分として含むガスを処理用ガスとして用いてプラズマを形成しクリーニングが実施される。 The above metal cleaning process remains in the processing chamber 104 until it is combined with the coating film component or the component of the inner wall member of the processing chamber 104 and the amount of the metal component substance existing in the coating film is sufficiently reduced. After the execution, a cleaning process is performed to remove the coating film and other reaction products remaining in the processing chamber (step 1309). The cleaning process, when the coating film is a film composed of a component containing Si, for example, a coating film formed of plasma processing a mixed gas of a (step 1306) SiCl 4, O 2, or SiCl 4, O 2, When implemented using a mixed gas of Ar, cleaning is carried out by forming plasma using a gas containing fluorine (F) or containing fluorine (F) and oxygen (O) as components as a processing gas. .

このことにより、クリーニング効果の高いクリーニングが可能となる。例えば、SF6,NF3やそれらとO2の混合ガスなどが好適である。このクリーニング処理の後、他のウエハ112を処理するか否かが判定されて、処理の要否に応じてステップ1306またはステップ1310に移動する。ステップ1310では次に処理するウエハ112は無いと判定されて処理室104内での処理が終了される。 This makes it possible to perform cleaning with a high cleaning effect. For example, SF 6 , NF 3 or a mixed gas of them and O 2 are suitable. After this cleaning process, it is determined whether or not another wafer 112 is to be processed, and the process moves to step 1306 or step 1310 depending on the necessity of the process. In step 1310, it is determined that there is no wafer 112 to be processed next, and the processing in the processing chamber 104 is ended.

上記のプラズマ処理を繰り返すことにより、ウエハ毎に処理室104の内部の部材の表面の状態が復元され、処理に適合したものに調整されることから、再現性良く、異物の発生の少ない処理が可能となる。また、これらの処理を行うことにより、処理室104内部の清掃や部品交換といった定期的なメンテナンス前後、およびロット間での処理室104内部の条件のばらつきが抑制されることから、再現性の良い処理が可能となる。   By repeating the above plasma processing, the state of the surface of the member inside the processing chamber 104 is restored for each wafer and is adjusted to be suitable for the processing. It becomes possible. Also, by performing these processes, the variation in conditions inside the processing chamber 104 before and after periodic maintenance such as cleaning and replacement of parts inside the processing chamber 104 and between lots is suppressed, so that reproducibility is good. Processing is possible.

次に、第2の場合を図14を用いて説明する。本図では、第1の場合と同一箇所は説明を省略し、異なる箇所のみ説明する。   Next, the second case will be described with reference to FIG. In this figure, description of the same parts as in the first case is omitted, and only different parts are described.

図14は、図1に示すプラズマ処理装置においてメタルクリーニングステップを含む処理の流れの別の例を示すフローチャートである。第2の場合では、メタルクリーニング処理を実施するタイミングが第1の場合と異なる。   FIG. 14 is a flowchart showing another example of a processing flow including a metal cleaning step in the plasma processing apparatus shown in FIG. In the second case, the timing for performing the metal cleaning process is different from that in the first case.

第1の場合ではメタルクリーニング処理はウエハ112の処理対象の膜構造のエッチング処理を終了した後に実施されていたのに対し、第2の場合ではコーティング膜を処理室104内側の部材表面およびウエハ載置電極111上に形成した後、ウエハ112を処理室104内に導入しエッチング処理を実施する前にメタルクリーニング処理を実施する(ステップ1404)。この第2の場合でも第1の場合と同様に、ウエハ112毎に処理室104内部の部材の表面の状態が復元され調整されることから、再現性良く、異物発生の少ない処理が実現される。   In the first case, the metal cleaning process is performed after the etching process of the film structure to be processed of the wafer 112 is completed. In the second case, the coating film is applied to the member surface inside the processing chamber 104 and the wafer mounting. After being formed on the placement electrode 111, a metal cleaning process is performed before the wafer 112 is introduced into the processing chamber 104 and an etching process is performed (step 1404). In the second case, as in the first case, the state of the surface of the member inside the processing chamber 104 is restored and adjusted for each wafer 112, so that processing with good reproducibility and less foreign matter is realized. .

次に、メタルクリーニング処理によるメタル成分を除去する効果を測定した例を示す。図15は、図14に示す変形例におけるコーティング膜の深さ位置に対するメタル成分の濃度の変化を示すグラフである。   Next, an example in which the effect of removing the metal component by the metal cleaning process is measured will be shown. FIG. 15 is a graph showing changes in the concentration of the metal component with respect to the depth position of the coating film in the modification shown in FIG.

本測定では、任意のウエハ112において図2(b)に示す膜構造のメタル膜205のエッチング処理が行われ処理室104内にTiが残留しており、その後のウエハ112の処理に際してその上にコーティング膜が堆積した状態を模擬するため、TiN膜上にSiO2膜をSiCl4,O2の混合ガスによるプラズマ処理により堆積させた。そのコーティング膜(本例ではSiO2膜)表面から内部のTiの分布をSIMS(Secondary ion mass spectrometry)により測定した結果を示している。 In this measurement, the etching process of the metal film 205 having the film structure shown in FIG. 2B is performed on an arbitrary wafer 112, and Ti remains in the processing chamber 104. to simulate the conditions in which the coating film is deposited, the SiO2 film deposited by plasma treatment with a mixed gas of SiCl 4, O 2 on the TiN film. The result of measuring the distribution of Ti inside from the surface of the coating film (SiO2 film in this example) by SIMS (Secondary ion mass spectrometry) is shown.

図中X軸の負側がSiO2膜、正側がTiN膜を示しており、Y軸はTi濃度である。本図より、SiO2膜中にもTiが存在していることが分かる。これは、処理室104内のTiがSiO2膜中へ拡散した、又は一旦エッチング処理されて処理室104内部に遊離したTiの粒子が再度SiO2の堆積と共にサンプル上に堆積した等の理由が考えられる。 In the figure, the negative side of the X-axis indicates the SiO2 film, the positive side indicates the TiN film, and the Y-axis indicates the Ti concentration. From this figure, it can be seen that Ti is also present in the SiO 2 film. This is because Ti in the processing chamber 104 diffuses into the SiO 2 film, or Ti particles once etched and released inside the processing chamber 104 are deposited on the sample together with the SiO 2 deposition. Conceivable.

発明者らは、このように、コーティング膜(本例ではSiO2膜)中にはメタル成分であるTiが組成として存在するため、この処理室104内に残留しているTiにより処理室104内部のガスの分圧や処理の条件、特性の経時的なばらつきが発生すると考えた。一方、残留するこのようなTiの影響を少なくするために、更にコーティング膜厚を増加させることも有効な手段と考えられるが、ウエハ処理毎に実施するコーティング膜形成に必要な時間も延長されることになり、スループットが低下してしまうという問題が生じる。 As described above, the inventors present Ti as a metal component as a composition in the coating film (in this example, SiO 2 film), so that the inside of the processing chamber 104 is formed by Ti remaining in the processing chamber 104. It was considered that there was a variation in the gas partial pressure, processing conditions, and characteristics over time. On the other hand, in order to reduce the influence of such residual Ti, it is considered that increasing the coating film thickness is also an effective means, but the time required for forming the coating film performed every wafer processing is also extended. As a result, there arises a problem that the throughput is lowered.

一方、図中の□は、コーティング膜を形成後メタルクリーニング処理としてBCl3,Cl2の混合ガスによるプラズマ処理を実施した場合の、コーティング膜内でのTi分布を示す。メタルクリーニング処理を実施した場合には、実施しない場合に比べて表面付近のTi濃度が減少していることが分かる。このように、メタルクリーニング処理を実施することにより、コーティング膜の表面及びその近傍の深さ位置に存在するメタル成分であるTiの濃度を低下させることが可能となる。これにより、コーティング膜内に残留するメタル成分、例えばTiによって処理室104内の雰囲気への悪影響を低減することでき、再現性良く、安定で、異物発生の少ないプラズマ処理が可能となる。 On the other hand, □ in the figure indicates the Ti distribution in the coating film when the plasma treatment with the mixed gas of BCl 3 and Cl 2 is performed as the metal cleaning treatment after forming the coating film. It can be seen that when the metal cleaning process is performed, the Ti concentration near the surface is reduced as compared with the case where the metal cleaning process is not performed. As described above, by performing the metal cleaning process, it is possible to reduce the concentration of Ti which is a metal component existing at the surface of the coating film and in the vicinity of the depth position thereof. As a result, the adverse effect on the atmosphere in the processing chamber 104 can be reduced by the metal component remaining in the coating film, for example, Ti, and plasma processing with high reproducibility, stability, and generation of foreign matter can be performed.

メタルクリーニング処理に処理用ガスとしてSiCl4とCl2の混合ガスを用いる場合、その混合比によってメタル成分の除去の効果が異なる。例えば、Cl2の流量に対してSiCl4流量を増加させるとTiの残留量が減少していく傾向がある。SiCl4/Cl2の流量比を0.2以上とすることで、処理の速度等の処理の特性の変動が抑制されることが発明者らの検討により知見として得られた。一方、SiCl4の流量をさらに増加させると処理室104内に過剰なSiを成分とする生成物が堆積するため、メタル成分(例えばTi)のクリーニング処理を行う上ではSiCl4/Cl2流量比は0.2〜1.0とすることが望ましい。 When a mixed gas of SiCl 4 and Cl 2 is used as a processing gas in the metal cleaning process, the effect of removing the metal component varies depending on the mixing ratio. For example, when the SiCl 4 flow rate is increased with respect to the Cl 2 flow rate, the residual amount of Ti tends to decrease. As a result of investigations by the inventors, it has been obtained by studying the inventors that the flow rate ratio of SiCl 4 / Cl 2 is 0.2 or more, thereby suppressing fluctuations in processing characteristics such as processing speed. On the other hand, if the flow rate of SiCl 4 is further increased, a product containing excess Si as a component is deposited in the processing chamber 104. Therefore, when performing a cleaning process for a metal component (for example, Ti), a flow rate ratio of SiCl 4 / Cl 2 is used. Is preferably 0.2 to 1.0.

本実施例では、メタルクリーニングを処理室内に残留するメタル量が十分減少するまで実施する。メタルクリーニング処理においてプラズマから得られた発光を検出することにより、メタルクリーニング処理の終点を判定することができる。   In this embodiment, metal cleaning is performed until the amount of metal remaining in the processing chamber is sufficiently reduced. The end point of the metal cleaning process can be determined by detecting the light emission obtained from the plasma in the metal cleaning process.

図16は、メタル膜を前もってエッチング処理した場合と処理しない場合の、メタルクリーニング処理におけるメタル成分に起因する発光強度の時間変化を示すグラフである。本測定では、メタル膜205の材料としてTiNを処理し、Tiの発光強度の変化を測定した。図中の点線が、メタルを処理しなかった場合の発光強度、実線がメタルを処理した場合の発光強度である。予めメタル膜205を処理した場合には、クリーニング処理の継続時間と共に発光強度が減少し、メタルを処理しなかった場合と同一レベルまで低下する。   FIG. 16 is a graph showing temporal changes in emission intensity caused by the metal component in the metal cleaning process when the metal film is etched in advance and when it is not processed. In this measurement, TiN was processed as the material of the metal film 205, and the change in the emission intensity of Ti was measured. The dotted line in the figure indicates the emission intensity when the metal is not processed, and the solid line indicates the emission intensity when the metal is processed. When the metal film 205 is processed in advance, the emission intensity decreases with the continuation time of the cleaning process and decreases to the same level as when the metal is not processed.

そこで、予めメタルを処理しなかった場合の発光強度の値をRAM等の記憶手段に記憶しておき、この値を設定値として当該設定値とメタル膜205を含む膜構造を処理した後のメタルクリーニング処理中に得られたプラズマの発光の強度を比較し、この設定値と同等であると判定された時刻をメタルクリーニング処理を終了させる時刻として処理の終了を判定させることができる。   Therefore, the value of the emission intensity when the metal is not processed in advance is stored in a storage means such as a RAM, and the metal after processing the film structure including the set value and the metal film 205 with this value as a set value. The intensity of plasma emission obtained during the cleaning process is compared, and the end of the process can be determined using the time determined to be equal to the set value as the time to end the metal cleaning process.

上記実施例によれば、処理室140内部の残留物による処理への悪影響が低減され、処理室104内部のガスの分圧や内部の部材の表面の状態が安定化されるため、処理の速度等の特性の変動が抑制され加工した結果としての形状の変動を抑制でき、ひいては加工の精度を向上させることができる。   According to the above embodiment, the adverse effect on the processing due to the residue in the processing chamber 140 is reduced, and the partial pressure of the gas in the processing chamber 104 and the surface state of the internal members are stabilized. Thus, the variation of the shape as a result of the processing can be suppressed by suppressing the variation of the characteristics, and the processing accuracy can be improved.

上記の実施例,変形例では、特にプラズマ処理装置としてECRプラズマを用いるものについて説明したが、本発明は、他のプラズマ装置、例えば誘導結合型プラズマ(ICP),容量結合型プラズマ装置(CCP)を用いたプラズマ処理に対しても同様の効果がある。   In the above-described embodiments and modifications, the plasma processing apparatus that uses ECR plasma has been described. However, the present invention is applicable to other plasma apparatuses such as inductively coupled plasma (ICP) and capacitively coupled plasma apparatus (CCP). The same effect can be obtained for the plasma treatment using.

101 真空容器
102 シャワープレート
103 誘電体窓
104 処理室
105 ガス供給装置
106 真空排気口
107 導波管
108 空洞共振器
109 電磁波発生用電源
110 磁場発生コイル
111 ウエハ載置電極
112 ウエハ
113 マッチング回路
114 高周波電源
115 フィルター
116 直流電源
117 冷媒用流路
118 温調器
119,122 ヒーター
120 ヒーター制御器
121 温度センサー
123 発光分光器
124 発光データ処理装置。
DESCRIPTION OF SYMBOLS 101 Vacuum vessel 102 Shower plate 103 Dielectric window 104 Processing chamber 105 Gas supply apparatus 106 Vacuum exhaust port 107 Waveguide 108 Cavity resonator 109 Electromagnetic wave generation power source 110 Magnetic field generation coil 111 Wafer mounting electrode 112 Wafer 113 Matching circuit 114 High frequency Power source 115 Filter 116 DC power source 117 Refrigerant flow path 118 Temperature controller 119, 122 Heater 120 Heater controller 121 Temperature sensor 123 Emission spectrometer 124 Emission data processing device.

Claims (1)

処理室にて被処理材をプラズマエッチングするプラズマ処理方法において、
SiClガスとOガスの混合ガスまたはSiClガスとメタンガスの混合ガスを用いたプラズマにより堆積膜を前記処理室内に堆積させ、
前記堆積膜を処理室内に堆積させた後、SF ガスを用いて前記堆積膜の表面をプラズマエッチングし、
前記堆積膜の表面をプラズマエッチングした後、前記処理室内に配置された試料台に前記被処理材を載置し、
前記試料台に前記被処理材を載置した後、前記被処理材をプラズマエッチングし、
前記被処理材をプラズマエッチングした後、NF ガスを用いて前記処理室内をプラズマクリーニングすることを特徴とするプラズマ処理方法。
In a plasma processing method of plasma etching a material to be processed in a processing chamber,
A deposition film is deposited in the processing chamber by plasma using a mixed gas of SiCl 4 gas and O 2 gas or a mixed gas of SiCl 4 gas and methane gas;
After the deposited film is deposited in the processing chamber, the surface of the deposited film is plasma etched using SF 6 gas,
After plasma etching the surface of the deposited film, the material to be processed is placed on a sample stage disposed in the processing chamber,
After placing the material to be processed on the sample stage, plasma-etching the material to be processed,
A plasma processing method comprising: plasma-etching the material to be processed and then plasma-cleaning the processing chamber using NF 3 gas.
JP2015205983A 2015-10-20 2015-10-20 Plasma processing method Active JP6169666B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015205983A JP6169666B2 (en) 2015-10-20 2015-10-20 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015205983A JP6169666B2 (en) 2015-10-20 2015-10-20 Plasma processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2014239440A Division JP5853087B2 (en) 2014-11-27 2014-11-27 Plasma processing method

Publications (2)

Publication Number Publication Date
JP2016066801A JP2016066801A (en) 2016-04-28
JP6169666B2 true JP6169666B2 (en) 2017-07-26

Family

ID=55805866

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015205983A Active JP6169666B2 (en) 2015-10-20 2015-10-20 Plasma processing method

Country Status (1)

Country Link
JP (1) JP6169666B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6630649B2 (en) 2016-09-16 2020-01-15 株式会社日立ハイテクノロジーズ Plasma processing method
WO2022269659A1 (en) 2021-06-21 2022-12-29 株式会社日立ハイテク Plasma treatment device

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2979681B2 (en) * 1991-03-20 1999-11-15 日本電気株式会社 Three-dimensional fine pattern forming method
JP3277209B2 (en) * 1994-06-08 2002-04-22 日本電信電話株式会社 Dry cleaning method for dry etching equipment
JPH11345874A (en) * 1998-06-01 1999-12-14 Seiko Epson Corp Manufacture of semiconductor device
US20050260354A1 (en) * 2004-05-20 2005-11-24 Varian Semiconductor Equipment Associates, Inc. In-situ process chamber preparation methods for plasma ion implantation systems
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
US20070006893A1 (en) * 2005-07-08 2007-01-11 Bing Ji Free radical initiator in remote plasma chamber clean
JP5124121B2 (en) * 2006-10-02 2013-01-23 株式会社アルバック Etching method of glass substrate
JP2008244292A (en) * 2007-03-28 2008-10-09 Hitachi High-Technologies Corp Processing performance stabilizing method of plasma treatment apparatus

Also Published As

Publication number Publication date
JP2016066801A (en) 2016-04-28

Similar Documents

Publication Publication Date Title
JP5450187B2 (en) Plasma processing apparatus and plasma processing method
JP6630649B2 (en) Plasma processing method
US8128831B2 (en) Plasma etching method and computer-readable storage medium
US8298957B2 (en) Plasma etchimg method and plasma etching apparatus
JP5750496B2 (en) Plasma processing method
US9607811B2 (en) Workpiece processing method
TWI442468B (en) Plasma processing device and plasma processing method
JP5853087B2 (en) Plasma processing method
US20180197720A1 (en) Plasma processing method and plasma processing apparatus
US11251052B2 (en) Plasma processing method and plasma processing apparatus
JP6169666B2 (en) Plasma processing method
KR20180032153A (en) Plasma processing method
US20220139719A1 (en) Etching method and plasma processing apparatus
US9633864B2 (en) Etching method
JP2011211135A (en) Plasma processing method
KR101066972B1 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160823

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161017

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170104

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20170126

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170530

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170628

R150 Certificate of patent or registration of utility model

Ref document number: 6169666

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350