JP6021977B2 - Substrate processing apparatus and semiconductor device manufacturing method - Google Patents

Substrate processing apparatus and semiconductor device manufacturing method Download PDF

Info

Publication number
JP6021977B2
JP6021977B2 JP2015062217A JP2015062217A JP6021977B2 JP 6021977 B2 JP6021977 B2 JP 6021977B2 JP 2015062217 A JP2015062217 A JP 2015062217A JP 2015062217 A JP2015062217 A JP 2015062217A JP 6021977 B2 JP6021977 B2 JP 6021977B2
Authority
JP
Japan
Prior art keywords
gas
processing chamber
source gas
flow rate
tma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015062217A
Other languages
Japanese (ja)
Other versions
JP2015164192A (en
Inventor
佐々木 伸也
伸也 佐々木
竹林 雄二
雄二 竹林
慎太郎 小倉
慎太郎 小倉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2015062217A priority Critical patent/JP6021977B2/en
Publication of JP2015164192A publication Critical patent/JP2015164192A/en
Application granted granted Critical
Publication of JP6021977B2 publication Critical patent/JP6021977B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、基板処理装置および半導体装置の製造方法に関する。   The present invention relates to a substrate processing apparatus and a semiconductor device manufacturing method.

ALD(Atomic Layer Deposition)法では、例えば膜の原料となる原料ガスと、原料ガスと反応する反応ガスと、が処理室内に交互に供給され、1原子層分の薄膜が順次積層されることにより基板上に所定の膜が形成される。ALD法により形成される膜としては、例えば、原料ガスとしてのTMA(Trimethylaluminium)ガスと、反応ガスとしてのオゾン(O)ガスと、により形成される酸化アルミニウム膜(AlO膜)等が挙げられる。この酸化アルミニウム膜は、高誘電率膜(High−k膜)として機能する。 In the ALD (Atomic Layer Deposition) method, for example, a raw material gas that is a raw material of a film and a reaction gas that reacts with the raw material gas are alternately supplied into a processing chamber, and thin films of one atomic layer are sequentially stacked. A predetermined film is formed on the substrate. Examples of the film formed by the ALD method include an aluminum oxide film (AlO film) formed by TMA (Trimethylaluminum) gas as a source gas and ozone (O 3 ) gas as a reaction gas. . This aluminum oxide film functions as a high dielectric constant film (High-k film).

TMAガスとオゾンガスとを用いたALD法は、処理室内の温度を200℃〜400℃程度に設定して実施される場合がある。ただし、このような処理温度では、原料ガスや反応ガスを構成する炭素(C)原子、水素(H)原子が不純物として膜中に残留することがあり、膜の誘電率が低下したり、リーク電流が増加したりするなど、高誘電率膜としての機能が低下してしまう場合があった。そこで、膜中の不純物を低減させるために、例えば550℃程度まで処理温度を上昇させて、基板処理が実施されることがあった。   The ALD method using TMA gas and ozone gas may be performed by setting the temperature in the processing chamber to about 200 ° C. to 400 ° C. However, at such processing temperatures, carbon (C) atoms and hydrogen (H) atoms constituting the source gas and reaction gas may remain as impurities in the film, resulting in a decrease in the dielectric constant of the film or leakage. In some cases, the function as a high dielectric constant film may be deteriorated due to an increase in current. Therefore, in order to reduce impurities in the film, the substrate processing may be performed by increasing the processing temperature to about 550 ° C., for example.

しかしながら、処理温度を高温にすると、ノズル内が高温高圧状態となり、原料ガスがノズル内で熱分解されることがあった。例えば、原料ガスとしてTMAガスを用いた場合、ノズル内では、アルミニウム(Al)原子同士のCVD(Chemical Vapor Deposition)反応による反応生成物が形成されることがあった。あるいは、反応生成物やTMAガスの分解物がノズル内壁に堆積し、ノズルが目詰まりすることがあった。また、このような反応生成物や分解物がノズル内壁から剥がれるなどして生成された異物が処理室内に飛散し、基板に付着することにより基板処理品質の低下を招いてしまうことがあった。   However, when the processing temperature is increased, the inside of the nozzle is in a high temperature and high pressure state, and the raw material gas may be thermally decomposed in the nozzle. For example, when TMA gas is used as the source gas, a reaction product may be formed in the nozzle by a CVD (Chemical Vapor Deposition) reaction between aluminum (Al) atoms. Alternatively, reaction products and decomposition products of TMA gas may be deposited on the inner wall of the nozzle and the nozzle may be clogged. In addition, foreign substances generated as a result of such reaction products and decomposition products being peeled off from the inner wall of the nozzle may scatter in the processing chamber and adhere to the substrate, leading to a reduction in substrate processing quality.

本発明は、反応生成物や分解物がノズル内壁に堆積するのを抑えるとともに、異物が処理室内に飛散するのを抑えることが可能な基板処理装置、半導体装置の製造方法を提供することを目的とする。また、このような基板処理装置、半導体装置の製造方法により、異物の混入が少なく高品質な薄膜を備えた半導体装置を提供することを目的とする。   It is an object of the present invention to provide a substrate processing apparatus and a semiconductor device manufacturing method capable of suppressing the deposition of reaction products and decomposition products on the inner wall of a nozzle and suppressing the scattering of foreign substances into a processing chamber. And Another object of the present invention is to provide a semiconductor device provided with a high-quality thin film with little foreign matter mixed in by such a substrate processing apparatus and semiconductor device manufacturing method.

本発明の一態様によれば、
複数枚の基板を積層して収容する処理室と、
前記処理室内を加熱する加熱ユニットと、
原料ガスを前記処理室内に供給する原料ガス供給ユニットであって、前記処理室内の温度が前記原料ガスの熱分解温度より高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設され、前記原料ガスを前記処理室内に供給する複数本の長さの異なる原料ガスノズルを備える原料ガス供給ユニットと、
反応ガスを前記処理室内に供給する反応ガス供給ユニットであって、前記処理室内に配設され、前記反応ガスを前記処理室内に供給する反応ガスノズルを有する反応ガス供給ユニットと、
前記加熱ユニット、前記原料ガス供給ユニット、前記反応ガス供給ユニットを制御して、複数の基板を積層して収容した前記処理室内を加熱する処理と、前記複数本の長さの異なる原料ガスノズルから前記処理室内に前記原料ガスを供給する処理と、前記反応ガスノズルから前記処理室内に前記反応ガスを供給する処理と、を含むサイクルを所定回数実施して前記基板上に膜を形成するよう構成される制御部と、
を有する基板処理装置が提供される。
According to one aspect of the invention,
A processing chamber for stacking and accommodating a plurality of substrates;
A heating unit for heating the processing chamber;
A raw material gas supply unit for supplying a raw material gas into the processing chamber, wherein the raw material gas is not decomposed inside even when a temperature in the processing chamber is higher than a thermal decomposition temperature of the raw material gas. A raw material gas supply unit including a plurality of raw material gas nozzles having different lengths, which are disposed at predetermined positions and supply the raw material gas into the processing chamber;
A reaction gas supply unit for supplying a reaction gas into the processing chamber, the reaction gas supply unit being disposed in the processing chamber and having a reaction gas nozzle for supplying the reaction gas into the processing chamber;
Controlling the heating unit, the source gas supply unit, and the reaction gas supply unit to heat the processing chamber in which a plurality of substrates are stacked and accommodated, and the plurality of source gas nozzles having different lengths A process including supplying the source gas into the processing chamber and supplying the reaction gas from the reaction gas nozzle into the processing chamber is performed a predetermined number of times to form a film on the substrate. A control unit;
A substrate processing apparatus is provided.

本発明によれば、反応生成物や分解物がノズル内壁に堆積するのを抑えるとともに、異物が処理室内に飛散するのを抑えることできる。また、基板への異物の付着を抑え基板処理品質の低下を抑えることができる。   According to the present invention, it is possible to suppress reaction products and decomposition products from being deposited on the inner wall of the nozzle and to prevent foreign matter from scattering into the processing chamber. In addition, it is possible to suppress adhesion of foreign matter to the substrate and to suppress deterioration in substrate processing quality.

本発明の第1の実施形態に係る基板処理装置の概略構成図である。1 is a schematic configuration diagram of a substrate processing apparatus according to a first embodiment of the present invention. 本発明の第1の実施形態に係る基板処理装置が備える処理炉の縦断面図である。It is a longitudinal cross-sectional view of the processing furnace with which the substrate processing apparatus which concerns on the 1st Embodiment of this invention is provided. 本発明の第1の実施形態に係る基板処理装置が備えるインナチューブの斜視図であり、ガス排気口が穴形状である場合を示している。It is a perspective view of the inner tube with which the substrate processing apparatus concerning a 1st embodiment of the present invention is provided, and shows the case where a gas exhaust port is a hole shape. 本発明の第1の実施形態に係る基板処理装置が備えるプロセスチューブの横断面図であり、インナチューブにノズル収容部が設けられている場合を示している。It is a cross-sectional view of the process tube with which the substrate processing apparatus which concerns on the 1st Embodiment of this invention is provided, and the case where the nozzle accommodating part is provided in the inner tube is shown. 本発明の第1の実施形態に係る基板処理装置が備えるプロセスチューブ内に生成されるガス流を例示する模式図である。It is a schematic diagram which illustrates the gas flow produced | generated in the process tube with which the substrate processing apparatus which concerns on the 1st Embodiment of this invention is provided. 本発明の第1の実施形態に係る基板処理工程のフローチャート図である。It is a flowchart figure of the substrate processing process which concerns on the 1st Embodiment of this invention. ウエハ上に酸化アルミニウム膜が形成される様子を模式的に示す断面図である。It is sectional drawing which shows typically a mode that the aluminum oxide film is formed on a wafer. 本発明の第1の実施形態に係るガス供給の様子を模式的に示す図である。It is a figure which shows typically the mode of the gas supply which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態のガス供給に係るタイミングチャート図である。It is a timing chart figure concerning gas supply of a 1st embodiment of the present invention. 本発明の第2の実施形態に係る基板処理工程のフローチャート図である。It is a flowchart figure of the substrate processing process which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施形態に係る原料ガス供給の様子を模式的に示す図であり、(a)原料ガスの流速を第1の流速とした場合、(b)原料ガスの流速を第1の流速より大きな第2の流速とした場合をそれぞれ示す。It is a figure which shows typically the mode of the raw material gas supply which concerns on the 2nd Embodiment of this invention, (a) When the flow velocity of raw material gas is made into the 1st flow velocity, (b) The flow velocity of raw material gas is 1st. The case where the second flow rate is larger than the flow rate of 1 is shown. 本発明の第2の実施形態に係る基板処理工程のフローチャート図である。It is a flowchart figure of the substrate processing process which concerns on the 2nd Embodiment of this invention. 本発明の第2の実施形態に係るTMAガス供給シーケンス、及び処理条件を従来と比較して示す図である。It is a figure which shows the TMA gas supply sequence which concerns on the 2nd Embodiment of this invention, and process conditions compared with the past. 本発明の第3の実施形態に係る基板処理工程のフローチャート図である。It is a flowchart figure of the substrate processing process which concerns on the 3rd Embodiment of this invention. 本発明の第3の実施形態のガス供給に係るタイミングチャート図である。It is a timing chart figure concerning gas supply of a 3rd embodiment of the present invention. 本発明のその他の実施形態に係る基板処理装置が備えるプロセスチューブの横断面図であり、処理室内にノズル収容部が設けられていない場合を示している。It is a cross-sectional view of the process tube with which the substrate processing apparatus which concerns on other embodiment of this invention is provided, and the case where the nozzle accommodating part is not provided in the process chamber is shown. 従来の基板処理装置の概略、及び内部に反応生成物や分解物が堆積した原料ガスノズルを模式的に示す断面図である。It is sectional drawing which shows typically the outline of the conventional substrate processing apparatus, and the raw material gas nozzle in which the reaction product and decomposition product deposited. 本発明の第2の実施形態の実施例と従来例とを対比させながら、ノズル内における分解物の堆積の有無と、酸化アルミニウム膜の膜特性とを示す図である。It is a figure which shows the presence or absence of deposition of the decomposition product in a nozzle, and the film | membrane characteristic of an aluminum oxide film, contrasting the Example of the 2nd Embodiment of this invention, and a prior art example. 内部に分解物が堆積した原料ガスノズルの例を示す説明図である。It is explanatory drawing which shows the example of the raw material gas nozzle which the decomposition product accumulated inside. 内部に分解物が堆積した原料ガスノズルの例を示す説明図である。It is explanatory drawing which shows the example of the raw material gas nozzle which the decomposition product accumulated inside.

<本発明の第1の実施形態>
(1)基板処理装置の構成
まず、本実施形態に係る基板処理装置101の構成例について、図1及び図5を用いて説明する。
<First Embodiment of the Present Invention>
(1) Configuration of Substrate Processing Apparatus First, a configuration example of the substrate processing apparatus 101 according to the present embodiment will be described with reference to FIGS. 1 and 5.

図1は、本実施形態に係る基板処理装置101の概略構成図である。図5は、本実施形態に係る基板処理装置が備えるプロセスチューブ内に生成されるガス流を例示する模式図である。   FIG. 1 is a schematic configuration diagram of a substrate processing apparatus 101 according to the present embodiment. FIG. 5 is a schematic view illustrating a gas flow generated in a process tube included in the substrate processing apparatus according to this embodiment.

図1に示すように、本実施形態に係る基板処理装置101は筐体111を備えている。シリコン等からなる基板としてのウエハ200を筐体111内外へ搬送するには、複数のウエハ200を収納するウエハキャリア(基板収納容器)としてのカセット110が使用される。筐体111内側の前方(図中の右側)には、カセットステージ(基板収納容器受渡し台)114が設けられている。カセット110は、図示しない工程内搬送装置によってカセットステージ114上に載置され、また、カセットステージ114上から筐体111外へ搬出されるように構成されている。   As shown in FIG. 1, the substrate processing apparatus 101 according to this embodiment includes a housing 111. In order to transfer the wafer 200 as a substrate made of silicon or the like into or out of the casing 111, a cassette 110 as a wafer carrier (substrate storage container) that stores a plurality of wafers 200 is used. A cassette stage (substrate storage container delivery table) 114 is provided in front of the housing 111 (on the right side in the drawing). The cassette 110 is placed on the cassette stage 114 by an in-process transfer device (not shown), and is carried out of the casing 111 from the cassette stage 114.

カセット110は、工程内搬送装置によって、カセット110内のウエハ200が垂直姿勢となり、カセット110のウエハ出し入れ口が上方向を向くように、カセットステージ114上に載置される。カセットステージ114は、カセット110を筐体111の後方に向けて縦方向に90°回転させ、カセット110内のウエハ200を水平姿勢とさせ、カセット110のウエハ出し入れ口を筐体111内の後方に向かせることが可能なように構成されている。   The cassette 110 is placed on the cassette stage 114 so that the wafer 200 in the cassette 110 is in a vertical posture and the wafer loading / unloading port of the cassette 110 faces upward by the in-process transfer device. The cassette stage 114 rotates the cassette 110 90 degrees in the vertical direction toward the rear of the casing 111 to bring the wafer 200 in the cassette 110 into a horizontal posture, and the wafer loading / unloading port of the cassette 110 is positioned in the rear of the casing 111. It is configured to be able to face.

筐体111内の前後方向の略中央部には、カセット棚(基板収納容器載置棚)105が設置されている。カセット棚105には、複数段、複数列にて複数個のカセット110が保管されるように構成されている。カセット棚105には、後述するウエハ移載機構125の搬送対象となるカセット110が収納される移載棚123が設けられている。また、カセットステージ114の上方には、予備カセット棚107が設けられ、予備的にカセット110を保管するように構成されている。   A cassette shelf (substrate storage container mounting shelf) 105 is installed at a substantially central portion in the front-rear direction in the housing 111. The cassette shelf 105 is configured to store a plurality of cassettes 110 in a plurality of rows and a plurality of rows. The cassette shelf 105 is provided with a transfer shelf 123 in which a cassette 110 to be transferred by a wafer transfer mechanism 125 described later is stored. Further, a preliminary cassette shelf 107 is provided above the cassette stage 114, and is configured to store the cassette 110 in a preliminary manner.

カセットステージ114とカセット棚105との間には、カセット搬送装置(基板収納容器搬送装置)118が設けられている。カセット搬送装置118は、カセット110を保持したまま昇降可能なカセットエレベータ(基板収納容器昇降機構)118aと、カセット110を保持したまま水平移動可能な搬送機構としてのカセット搬送機構(基板収納容器搬送機構)118bと、を備えている。これらカセットエレベータ118aとカセット搬送機構118bとの連携動作により、カセットステージ114、カセット棚105、予備カセット棚107、移載棚123の間で、カセット110を搬送するように構成されている。   A cassette transfer device (substrate container transfer device) 118 is provided between the cassette stage 114 and the cassette shelf 105. The cassette transport device 118 includes a cassette elevator (substrate storage container lifting mechanism) 118a that can be moved up and down while holding the cassette 110, and a cassette transport mechanism (substrate storage container transport mechanism) as a transport mechanism that can move horizontally while holding the cassette 110. 118b. The cassette 110 is transported between the cassette stage 114, the cassette shelf 105, the spare cassette shelf 107, and the transfer shelf 123 by the cooperative operation of the cassette elevator 118a and the cassette transport mechanism 118b.

カセット棚105の後方には、ウエハ移載機構(基板移載機構)125が設けられている。ウエハ移載機構125は、ウエハ200を水平方向に回転ないし直動可能なウエハ移載装置(基板移載装置)125aと、ウエハ移載装置125aを昇降させるウエハ移載装置エレベータ(基板移載装置昇降機構)125bと、を備えている。なお、ウエハ移載装置125aは、ウエハ200を水平姿勢で保持するツイーザ(基板移載用治具)125cを備えている。これらウエハ移載装置125aとウエハ移載装置エレベータ125bとの連携動作により、ウエハ200を移載棚123上のカセット110内からピックアップして後述するボート(基板保持具)217へ装填(チャージング)したり、ウエハ200をボート217から脱装(ディスチャージング)して移載棚123上のカセット110内へ収納したりするように構成されている。   A wafer transfer mechanism (substrate transfer mechanism) 125 is provided behind the cassette shelf 105. The wafer transfer mechanism 125 includes a wafer transfer device (substrate transfer device) 125a that can rotate or linearly move the wafer 200 in the horizontal direction, and a wafer transfer device elevator (substrate transfer device) that moves the wafer transfer device 125a up and down. Elevating mechanism) 125b. The wafer transfer device 125a includes a tweezer (substrate transfer jig) 125c that holds the wafer 200 in a horizontal posture. The wafer 200 is picked up from the cassette 110 on the transfer shelf 123 by the cooperative operation of the wafer transfer device 125a and the wafer transfer device elevator 125b, and is loaded into the boat (substrate holder) 217 described later (charging). Or the wafer 200 is unloaded (discharged) from the boat 217 and stored in the cassette 110 on the transfer shelf 123.

筐体111の後部上方には、処理炉202が設けられている。処理炉202の下端には開口(炉口)が設けられ、かかる開口は炉口シャッタ(炉口開閉機構)147により開閉されるように構成されている。なお、処理炉202の構成については後述する。   A processing furnace 202 is provided above the rear portion of the casing 111. An opening (furnace port) is provided at the lower end of the processing furnace 202, and the opening is opened and closed by a furnace port shutter (furnace port opening / closing mechanism) 147. The configuration of the processing furnace 202 will be described later.

処理炉202の下方には、ボート217を昇降させて処理炉202内外へ搬送する昇降機構としてのボートエレベータ(基板保持具昇降機構)115が設けられている。ボートエレベータ115の昇降台には、連結具としてのアーム128が設けられている。アーム128上には、ボート217を垂直に支持するとともに、ボートエレベータ115によりボート217が上昇したときに処理炉202の下端を気密に閉塞する蓋体としての円盤状のシールキャップ219が水平姿勢で設けられている。   Below the processing furnace 202, a boat elevator (substrate holder lifting mechanism) 115 is provided as a lifting mechanism that lifts and lowers the boat 217 and transports the boat 217 into and out of the processing furnace 202. The elevator 128 of the boat elevator 115 is provided with an arm 128 as a connecting tool. On the arm 128, a disc-shaped seal cap 219 as a lid that supports the boat 217 vertically and hermetically closes the lower end of the processing furnace 202 when the boat 217 is raised by the boat elevator 115 is in a horizontal posture. Is provided.

ボート217は複数本の保持部材を備えており、複数枚(例えば、50枚〜150枚程度)のウエハ200を、水平姿勢で、かつその中心を揃えた状態で垂直方向に整列させて多段に保持するように構成されている。ボート217の詳細な構成については後述する。   The boat 217 includes a plurality of holding members, and a plurality of (for example, about 50 to 150) wafers 200 are aligned in the vertical direction in a horizontal posture and in a state where the centers thereof are aligned in multiple stages. Configured to hold. The detailed configuration of the boat 217 will be described later.

カセット棚105の上方には、供給ファンと防塵フィルタとを備えたクリーンユニット134aが設けられている。クリーンユニット134aは、清浄化した雰囲気であるクリーンエアを筐体111の内部に流通させるように構成されている。   Above the cassette shelf 105, a clean unit 134a having a supply fan and a dustproof filter is provided. The clean unit 134a is configured to circulate clean air, which is a cleaned atmosphere, inside the casing 111.

また、ウエハ移載装置エレベータ125bおよびボートエレベータ115側と反対側である筐体111の左側端部には、クリーンエアを供給するよう供給ファンと防塵フィルタとを備えたクリーンユニット(図示せず)が設置されている。図示しない前記クリーンユニットから吹き出されたクリーンエアは、ウエハ移載装置125a及びボート217の周囲を流通した後に、図示しない排気装置に吸い込まれて、筐体111の外部に排気されるように構成されている。   Further, a clean unit (not shown) provided with a supply fan and a dustproof filter so as to supply clean air to the left end portion of the casing 111 opposite to the wafer transfer device elevator 125b and the boat elevator 115 side. Is installed. Clean air blown out from the clean unit (not shown) is configured to be sucked into an exhaust device (not shown) and exhausted to the outside of the casing 111 after circulating around the wafer transfer device 125a and the boat 217. ing.

(2)基板処理装置の動作
次に、本実施形態に係る基板処理装置101の動作について説明する。
(2) Operation of Substrate Processing Apparatus Next, the operation of the substrate processing apparatus 101 according to the present embodiment will be described.

まず、カセット110が、図示しない工程内搬送装置によって、ウエハ200が垂直姿勢となりカセット110のウエハ出し入れ口が上方向を向くように、カセットステージ114上に載置される。その後、カセット110は、カセットステージ114によって、筐体111の後方に向けて縦方向に90°回転させられる。その結果、カセット110内のウエハ200は水平姿勢となり、カセット110のウエハ出し入れ口は筐体111内の後方を向く。   First, the cassette 110 is placed on the cassette stage 114 by an in-process transfer device (not shown) so that the wafer 200 is in a vertical posture and the wafer loading / unloading port of the cassette 110 faces upward. Thereafter, the cassette 110 is rotated 90 ° in the vertical direction toward the rear of the casing 111 by the cassette stage 114. As a result, the wafer 200 in the cassette 110 assumes a horizontal posture, and the wafer loading / unloading port of the cassette 110 faces rearward in the housing 111.

カセット110は、カセット搬送装置118によって、カセット棚105ないし予備カセット棚107の指定された棚位置へ自動的に搬送されて受け渡されて一時的に保管された後、カセット棚105又は予備カセット棚107から移載棚123に移載されるか、もしくは直接移載棚123に搬送される。   The cassette 110 is automatically transported to the designated shelf position of the cassette shelf 105 or the spare cassette shelf 107 by the cassette transporting device 118, delivered, temporarily stored, and then stored in the cassette shelf 105 or the spare cassette shelf. The sample is transferred from 107 to the transfer shelf 123 or directly transferred to the transfer shelf 123.

カセット110が移載棚123に移載されると、ウエハ200は、ウエハ移載装置125aのツイーザ125cによって、ウエハ出し入れ口を通じてカセット110からピックアップされ、ウエハ移載装置125aとウエハ移載装置エレベータ125bとの連続動作によって移載棚123の後方にあるボート217に装填(チャージング)される。ボート217にウエハ200を受け渡したウエハ移載機構125は、カセット110に戻り、次のウエハ200をボート217に装填する。   When the cassette 110 is transferred to the transfer shelf 123, the wafer 200 is picked up from the cassette 110 through the wafer loading / unloading port by the tweezer 125c of the wafer transfer device 125a, and the wafer transfer device 125a and the wafer transfer device elevator 125b are picked up. Are loaded (charged) into the boat 217 behind the transfer shelf 123. The wafer transfer mechanism 125 that has transferred the wafer 200 to the boat 217 returns to the cassette 110 and loads the next wafer 200 into the boat 217.

予め指定された枚数のウエハ200がボート217に装填されると、炉口シャッタ147によって閉じられていた処理炉202の下端が、炉口シャッタ147によって開放される。続いて、シールキャップ219がボートエレベータ115によって上昇されることにより、ウエハ200群を保持したボート217が処理炉202内へ搬入(ローディング)される。ローディング後は、処理炉202にてウエハ200に任意の処理が実施される。かかる処理については後述する。処理後は、ウエハ200およびカセット110は、上述の手順とは逆の手順で筐体111の外部へ払出される。   When a predetermined number of wafers 200 are loaded into the boat 217, the lower end of the processing furnace 202 closed by the furnace port shutter 147 is opened by the furnace port shutter 147. Subsequently, when the seal cap 219 is raised by the boat elevator 115, the boat 217 holding the wafer 200 group is loaded into the processing furnace 202. After loading, arbitrary processing is performed on the wafer 200 in the processing furnace 202. Such processing will be described later. After the processing, the wafer 200 and the cassette 110 are discharged to the outside of the casing 111 by a procedure reverse to the above procedure.

(3)処理炉の構成
続いて、本実施形態に係る処理炉202の構成について、図2〜図4を参照しながら説明する。図2は、本実施形態に係る基板処理装置が備える処理炉202の縦断面図である。図3は、本実施形態に係る基板処理装置が備えるインナチューブ204の斜視図であり、ガス排気口204aが穴形状である場合を示している。図4は、本実施形態に係る基板処理装置が備えるプロセスチューブ205の横断面図であり、インナチューブ204にノズル収容部201aが設けられている場合を示している。
(3) Configuration of Processing Furnace Next, the configuration of the processing furnace 202 according to the present embodiment will be described with reference to FIGS. FIG. 2 is a longitudinal sectional view of the processing furnace 202 provided in the substrate processing apparatus according to the present embodiment. FIG. 3 is a perspective view of the inner tube 204 provided in the substrate processing apparatus according to the present embodiment, and shows a case where the gas exhaust port 204a has a hole shape. FIG. 4 is a cross-sectional view of the process tube 205 provided in the substrate processing apparatus according to the present embodiment, and shows a case where the inner tube 204 is provided with a nozzle accommodating portion 201a.

(処理室)
本実施形態に係る処理炉202は、反応管としてのプロセスチューブ205と、マニホールド209とを備えている。プロセスチューブ205は、複数枚のウエハ200を水平姿勢に積層した状態で収容する処理室201を内部空間に構成するインナチューブ204と、インナチューブ204を取り囲むアウタチューブ203と、から構成される。インナチューブ204及びアウタチューブ203は、それぞれ例えば石英(SiO)や炭化珪素(SiC)等の耐熱性を有する非金属材料から構成され、上端が閉塞され、下端が開放された構成となっている。インナチューブ204の側壁の一部は、ウエハ200が積載される方向(鉛直方向)に沿って、インナチューブ204の側壁よりもインナチューブ204の径方向外側(アウタチューブ203の側壁側)に突出している。処理室201のうち、インナチューブ204の径方向外側(アウタチューブ203の側壁側)に突出した空間は、後述の原料ガスノズル233a、反応ガスノズル233bを収容するノズル収容部201aとして構成されている。マニホールド209は、例えばSUS等の金属材料から構成され、上端及び下端が開放された円筒形状となっている。インナチューブ204及びアウタチューブ203は、マニホールド209により下端側から縦向きに支持されている。インナチューブ204、アウタチューブ203、及びマニホールド209は、互いに同心円状に配置されている。マニホールド209の下端(炉口)は、上述したボートエレベータ115が上昇した際に、シールキャップ219により気密に封止されるように構成されている。マニホールド209の下端とシールキャップ219との間には、インナチューブ204内を気密に封止するOリングなどの封止部材(図示しない)が設けられている。
(Processing room)
The processing furnace 202 according to this embodiment includes a process tube 205 as a reaction tube and a manifold 209. The process tube 205 includes an inner tube 204 that forms a processing chamber 201 that accommodates a plurality of wafers 200 stacked in a horizontal posture in an internal space, and an outer tube 203 that surrounds the inner tube 204. Each of the inner tube 204 and the outer tube 203 is made of a heat-resistant non-metallic material such as quartz (SiO 2 ) or silicon carbide (SiC), and has a configuration in which the upper end is closed and the lower end is opened. . A part of the side wall of the inner tube 204 protrudes radially outward of the inner tube 204 (side wall side of the outer tube 203) from the side wall of the inner tube 204 along the direction (vertical direction) in which the wafer 200 is loaded. Yes. In the processing chamber 201, a space protruding outward in the radial direction of the inner tube 204 (side wall side of the outer tube 203) is configured as a nozzle housing portion 201a that houses a raw material gas nozzle 233a and a reaction gas nozzle 233b described later. The manifold 209 is made of, for example, a metal material such as SUS, and has a cylindrical shape with an open upper end and a lower end. The inner tube 204 and the outer tube 203 are supported vertically by the manifold 209 from the lower end side. The inner tube 204, the outer tube 203, and the manifold 209 are arranged concentrically with each other. The lower end (furnace port) of the manifold 209 is configured to be hermetically sealed by a seal cap 219 when the above-described boat elevator 115 is raised. A sealing member (not shown) such as an O-ring that hermetically seals the inner tube 204 is provided between the lower end of the manifold 209 and the seal cap 219.

インナチューブ204内(処理室201内)には基板保持具としてのボート217が下方から挿入されるように構成されている。インナチューブ204及びマニホールド209の内径は、ウエハ200を装填したボート217の最大外径よりも大きくなるように構成されている。   A boat 217 as a substrate holder is inserted into the inner tube 204 (inside the processing chamber 201) from below. The inner diameter of the inner tube 204 and the manifold 209 is configured to be larger than the maximum outer diameter of the boat 217 loaded with the wafers 200.

ボート217は、上下で一対の端板217cと、一対の端板217cの間に垂直に架設された複数本(例えば3本)の支柱217aと、を備えている。端板217c及び支柱217aは、石英や炭化珪素等の耐熱性を有する非金属材料から構成されている。各支柱217aには、複数の保持溝217bが、支柱217aの長手方向に沿って等間隔に配列するようにそれぞれ形成されている。各支柱217aは、各支柱217aに形成された保持溝217bが互いに対向するようにそれぞれ配置されている。各保持溝217bにウエハ200の外周部を挿入することにより、複数枚のウエハ200が、略水平姿勢で所定の隙間(基板ピッチ間隔)をもって多段に保持されるように構成されている。ボート217は、熱伝導を遮断する断熱キャップ218上に搭載されている。断熱キャップ218は、回転軸255により下方から支持されている。回転軸255は、処理室201内の気密を保持しつつ、シールキャップ219の中心部を貫通するように設けられている。シールキャップ219の下方には、回転軸255を回転させる回転機構267が設けられている。回転機構267により回転軸255を回転させることにより、処理室201内の気密を保持したまま、複数枚のウエハ200を搭載したボート217を回転させることが出来るように構成されている。   The boat 217 includes a pair of end plates 217c at the top and bottom, and a plurality of (for example, three) support columns 217a that are vertically installed between the pair of end plates 217c. The end plate 217c and the support column 217a are made of a non-metallic material having heat resistance such as quartz or silicon carbide. A plurality of holding grooves 217b are formed in each column 217a so as to be arranged at equal intervals along the longitudinal direction of the column 217a. Each support column 217a is arranged so that the holding grooves 217b formed in each support column 217a face each other. By inserting the outer peripheral portion of the wafer 200 into each holding groove 217b, the plurality of wafers 200 are configured to be held in multiple stages with a predetermined gap (substrate pitch interval) in a substantially horizontal posture. The boat 217 is mounted on a heat insulating cap 218 that blocks heat conduction. The heat insulating cap 218 is supported from below by the rotating shaft 255. The rotation shaft 255 is provided so as to penetrate the center portion of the seal cap 219 while maintaining airtightness in the processing chamber 201. A rotation mechanism 267 that rotates the rotation shaft 255 is provided below the seal cap 219. By rotating the rotation shaft 255 by the rotation mechanism 267, the boat 217 on which a plurality of wafers 200 are mounted can be rotated while maintaining the airtightness in the processing chamber 201.

プロセスチューブ205(アウタチューブ203)の外周には、プロセスチューブ205と同心円状に加熱ユニットとしてのヒータ207が設けられている。ヒータ207は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。ヒータ207の外周部及び上端には、断熱材207aが設けられている。ヒータ207は、温度センサ(図示せず)により検出された温度情報に基づき、通電量が調整されるように構成されている。   On the outer periphery of the process tube 205 (outer tube 203), a heater 207 as a heating unit is provided concentrically with the process tube 205. The heater 207 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate. A heat insulating material 207 a is provided on the outer peripheral portion and the upper end of the heater 207. The heater 207 is configured to adjust the energization amount based on temperature information detected by a temperature sensor (not shown).

(ガスノズル)
ノズル収容部201a内には、原料ガスノズル233aと、反応ガスノズル233bとが、処理室201の周方向に沿ってそれぞれ配設されている。原料ガスノズル233a及び反応ガスノズル233bは、垂直部と水平部とを有するL字形状にそれぞれ構成されている。原料ガスノズル233aは、その垂直部が処理室201内のウエハ200の収容予定領域よりも下方の所定位置に配設されるショートノズルとして構成されている。また、反応ガスノズル233bは、その垂直部がウエハ200の積層方向に沿ってノズル収容部201a内に配設(延在)されるロングノズルとして構成されている。原料ガスノズル233a及び反応ガスノズル233bの水平部は、マニホールド209の側壁を貫通するようにそれぞれ設けられている。
(Gas nozzle)
A raw material gas nozzle 233 a and a reaction gas nozzle 233 b are respectively disposed in the nozzle housing portion 201 a along the circumferential direction of the processing chamber 201. The source gas nozzle 233a and the reaction gas nozzle 233b are each configured in an L shape having a vertical portion and a horizontal portion. The raw material gas nozzle 233 a is configured as a short nozzle whose vertical portion is disposed at a predetermined position below a region where the wafer 200 is to be accommodated in the processing chamber 201. The reactive gas nozzle 233b is configured as a long nozzle whose vertical portion is disposed (extended) in the nozzle accommodating portion 201a along the stacking direction of the wafers 200. The horizontal portions of the source gas nozzle 233a and the reaction gas nozzle 233b are provided so as to penetrate the side wall of the manifold 209, respectively.

上述したように、原料ガスノズル233aの垂直部は、処理室201内のウエハ200の収容予定領域よりも下方の所定位置に配設されるように構成されている。すなわち、原料ガスノズル233aの垂直部は、処理室201内のウエハ200の収容予定領域の温度が原料ガスの熱分解温度よりも高い場合であっても、内部で原料ガスが分解しないよう、ウエハ200の収容予定領域よりも下方のノズル収容部201a内の所定位置に配設されている。例えば、原料ガスノズル233aの垂直部は、処理室201内の下部であって、ヒータ207が取り囲む空間の下方に配設されている。原料ガスノズル233aの下流端(上端)には、処理室201内の上部に向けて原料ガスを供給するように原料ガス噴出口248aが開設されている。このように、原料ガスノズル233aをショートノズルとして構成することで、基板処理時における原料ガスノズル233a内部の温度上昇を抑えることができ、原料ガスノズル233a内部での原料ガスの熱分解を起こり難くすることができる。   As described above, the vertical portion of the source gas nozzle 233 a is configured to be disposed at a predetermined position below the planned storage area of the wafer 200 in the processing chamber 201. That is, the vertical portion of the source gas nozzle 233a is arranged so that the source gas is not decomposed inside even when the temperature of the region where the wafer 200 is to be accommodated in the processing chamber 201 is higher than the thermal decomposition temperature of the source gas. Is disposed at a predetermined position in the nozzle accommodating portion 201a below the planned accommodating region. For example, the vertical portion of the source gas nozzle 233a is disposed in the lower part of the processing chamber 201 and below the space surrounded by the heater 207. At the downstream end (upper end) of the source gas nozzle 233a, a source gas outlet 248a is provided so as to supply the source gas toward the upper part in the processing chamber 201. In this way, by configuring the source gas nozzle 233a as a short nozzle, it is possible to suppress the temperature rise inside the source gas nozzle 233a during the substrate processing, and it is difficult to cause thermal decomposition of the source gas inside the source gas nozzle 233a. it can.

上述したように、反応ガスノズル233bの垂直部は、下流端がボート217の上端付近まで到達するようノズル収容部201aの上部まで延びた構成となっている。反応ガスノズル233bの垂直部側面には、複数の反応ガス噴出口248bが、ウエハ200の積層方向(鉛直方向)に沿って、それぞれのウエハ200に対応する位置(高さ位置)に開設されている。また、反応ガス噴出口248bの開口径は、処理室201内の反応ガスの流量分布や速度分布を適正化するように適宜調整することができ、下部から上部にわたって同一としてもよく、下部から上部にわたって徐々に大きくしてもよい。このように、反応ガスノズル233bを多孔式のロングノズルとして構成することで、ウエハ200への反応ガスの供給量をウエハ200間で均一化させることが可能となる。なお、反応ガスが熱分解し易い性質を有する場合には、反応ガスノズル233bを、原料ガスノズル233aと同様にショートノズルとして構成してもよい。   As described above, the vertical portion of the reactive gas nozzle 233b is configured to extend to the upper portion of the nozzle accommodating portion 201a so that the downstream end reaches the vicinity of the upper end of the boat 217. On the side surface of the vertical portion of the reactive gas nozzle 233b, a plurality of reactive gas ejection ports 248b are provided at positions (height positions) corresponding to the respective wafers 200 along the stacking direction (vertical direction) of the wafers 200. . Further, the opening diameter of the reactive gas outlet 248b can be adjusted as appropriate so as to optimize the flow rate distribution and velocity distribution of the reactive gas in the processing chamber 201, and may be the same from the lower part to the upper part. It may be gradually increased over time. As described above, by configuring the reactive gas nozzle 233b as a porous long nozzle, it becomes possible to make the supply amount of the reactive gas to the wafers 200 uniform among the wafers 200. In addition, when the reaction gas has the property of being easily pyrolyzed, the reaction gas nozzle 233b may be configured as a short nozzle similarly to the source gas nozzle 233a.

(原料ガス供給ユニット)
マニホールド209の側壁から突出した原料ガスノズル233aの水平部の上流端には、原料ガス供給管240aの下流端が接続されている。原料ガス供給管240aの上流端には、液体原料としての液体TMAを気化して原料ガスとしてのTMAガスを生成するTMAタンク260が接続されている。具体的には、原料ガス供給管240aの上流端は、TMAタンク260内であって液体TMAの液面の上方に配置されている。原料ガス供給管240aには開閉バルブ241aが設けられている。開閉バルブ241aを開けることにより、TMAタンク260内にて生成されたTMAガスが、原料ガスノズル233aを介して処理室201内へ供給されるように構成されている。なお、気化されたTMAガスが配管内で再液化されないよう、原料ガス供給管240aは例えば40℃以上130℃以下に加熱され、マニホールド209は例えば40℃以上150℃以下に加熱されるように構成されている。
(Raw gas supply unit)
The downstream end of the source gas supply pipe 240a is connected to the upstream end of the horizontal portion of the source gas nozzle 233a protruding from the side wall of the manifold 209. Connected to the upstream end of the source gas supply pipe 240a is a TMA tank 260 that vaporizes liquid TMA as a liquid source and generates TMA gas as a source gas. Specifically, the upstream end of the source gas supply pipe 240a is disposed in the TMA tank 260 and above the liquid surface of the liquid TMA. The source gas supply pipe 240a is provided with an open / close valve 241a. By opening the opening / closing valve 241a, the TMA gas generated in the TMA tank 260 is supplied into the processing chamber 201 through the source gas nozzle 233a. The source gas supply pipe 240a is heated to, for example, 40 ° C. or higher and 130 ° C. or lower, and the manifold 209 is heated, for example, to 40 ° C. or higher and 150 ° C. or lower, so that the vaporized TMA gas is not reliquefied in the pipe. Has been.

TMAタンク260の上流側には、TMAタンク260内に不活性ガス等のキャリアガスを供給するキャリアガス供給管240fの下流端が接続されている。具体的には、キャリアガス供給管240fの下流端は、TMAタンク260内の液体TMA内に浸されている。キャリアガス供給管240fの上流端は、アルゴンガス(Arガス)等の不活性ガス(キャリアガス)を供給する図示しないキャリアガス供給源に接続されている。キャリアガス供給管240fには、上流から順に、流量コントローラ(MFC)242f、開閉バルブ241fが設けられている。開閉バルブ241fを開けることによりTMAタンク260内の液体TMA内にキャリアガスが供給され、液体TMAがバブリングされる。液体TMAがバブリングされることにより、TMAタンク260内にTMAガスが生成される。開閉バルブ241aを開けることにより、TMAタンク260内で生成されたTMAガスとキャリアガスとを含む混合ガスが原料ガス供給管240a及び原料ガスノズル233aを介して処理室201内に供給されるように構成されている。キャリアガスをTMAタンク260内に供給することにより、液体TMAを気化させるとともに、TMAタンク260内からのTMAガスの排出及び処理室201内への原料ガスの供給を促すことが可能となる。TMAタンク260内へのキャリアガスの供給流量(すなわち、処理室201内へのキャリアガスの供給流量)は、流量コントローラ242fによって制御可能なように構成されている。   Connected to the upstream side of the TMA tank 260 is a downstream end of a carrier gas supply pipe 240 f that supplies a carrier gas such as an inert gas into the TMA tank 260. Specifically, the downstream end of the carrier gas supply pipe 240f is immersed in the liquid TMA in the TMA tank 260. The upstream end of the carrier gas supply pipe 240f is connected to a carrier gas supply source (not shown) that supplies an inert gas (carrier gas) such as argon gas (Ar gas). The carrier gas supply pipe 240f is provided with a flow rate controller (MFC) 242f and an opening / closing valve 241f in order from the upstream. By opening the opening / closing valve 241f, the carrier gas is supplied into the liquid TMA in the TMA tank 260, and the liquid TMA is bubbled. As the liquid TMA is bubbled, TMA gas is generated in the TMA tank 260. By opening the opening / closing valve 241a, the mixed gas containing the TMA gas generated in the TMA tank 260 and the carrier gas is supplied into the processing chamber 201 through the source gas supply pipe 240a and the source gas nozzle 233a. Has been. By supplying the carrier gas into the TMA tank 260, the liquid TMA can be vaporized, and the discharge of the TMA gas from the TMA tank 260 and the supply of the raw material gas into the processing chamber 201 can be promoted. The supply flow rate of the carrier gas into the TMA tank 260 (that is, the supply flow rate of the carrier gas into the processing chamber 201) is configured to be controllable by the flow rate controller 242f.

主に、原料ガス供給管240a、TMAタンク260、開閉バルブ241a、キャリアガス供給管240f、図示しないキャリアガス供給源、流量コントローラ242f、開閉バルブ241fにより、原料ガスノズル233aを介して処理室201内に原料ガスを供給する原料ガス供給ユニットが構成される。   Mainly, a raw material gas supply pipe 240a, a TMA tank 260, an opening / closing valve 241a, a carrier gas supply pipe 240f, a carrier gas supply source (not shown), a flow rate controller 242f, and an opening / closing valve 241f are inserted into the processing chamber 201 via the raw material gas nozzle 233a. A source gas supply unit for supplying source gas is configured.

(反応ガス供給ユニット)
マニホールド209の側壁から突出した反応ガスノズル233bの水平部の上流端には、反応ガス供給管240bの下流端が接続されている。反応ガス供給管240bの上流端には、反応ガス(酸化剤)としてのオゾン(O)ガスを生成するオゾナイザ270が接続されている。反応ガス供給管240bには、上流側から順に、流量コントローラ(MFC)242b、開閉バルブ241bが設けられている。オゾナイザ270には、酸素ガス供給管240eの下流端が接続されている。酸素ガス供給管240eの上流端は、酸素(O)ガスを供給する図示しない酸素ガス供給源に接続されている。酸素ガス供給管240eには開閉バルブ241eが設けられている。開閉バルブ241eを開けることによりオゾナイザ270に酸素ガスが供給され、開閉バルブ241bを開けることによりオゾナイザ270にて生成されたオゾンガスが反応ガス供給管240bを介して処理室201内へ供給されるように構成されている。なお、処理室201内へのオゾンガスの供給流量は、流量コントローラ242bによって制御することが可能なように構成されている。
(Reactive gas supply unit)
The downstream end of the reaction gas supply pipe 240b is connected to the upstream end of the horizontal portion of the reaction gas nozzle 233b protruding from the side wall of the manifold 209. An ozonizer 270 that generates ozone (O 3 ) gas as a reaction gas (oxidant) is connected to the upstream end of the reaction gas supply pipe 240b. The reactive gas supply pipe 240b is provided with a flow rate controller (MFC) 242b and an opening / closing valve 241b in order from the upstream side. The downstream end of the oxygen gas supply pipe 240e is connected to the ozonizer 270. The upstream end of the oxygen gas supply pipe 240e is connected to an oxygen gas supply source (not shown) that supplies oxygen (O 2 ) gas. The oxygen gas supply pipe 240e is provided with an opening / closing valve 241e. Oxygen gas is supplied to the ozonizer 270 by opening the opening / closing valve 241e, and ozone gas generated in the ozonizer 270 by opening the opening / closing valve 241b is supplied into the processing chamber 201 through the reaction gas supply pipe 240b. It is configured. Note that the supply flow rate of ozone gas into the processing chamber 201 can be controlled by the flow rate controller 242b.

主に、反応ガス供給管240b、オゾナイザ270、流量コントローラ(MFC)242b、開閉バルブ241b、酸素ガス供給管240e、図示しない酸素ガス供給源、開閉バルブ241eにより、反応ガスノズル233bを介して処理室201内にオゾンガスを供給する反応ガス供給ユニットが構成される。   The processing chamber 201 is mainly connected to the processing chamber 201 through the reaction gas nozzle 233b by the reaction gas supply pipe 240b, the ozonizer 270, the flow rate controller (MFC) 242b, the open / close valve 241b, the oxygen gas supply pipe 240e, the oxygen gas supply source (not shown), and the open / close valve 241e. A reaction gas supply unit for supplying ozone gas is formed.

(ベント管)
原料ガス供給管240aにおけるTMAタンク260と開閉バルブ241aとの間には、原料ガスベント管240iの上流端が接続されている。原料ガスベント管240iの下流端は、後述する排気管231の下流側(後述するAPCバルブ231aと真空ポンプ231bとの間)に接続されている。原料ガスベント管240iには開閉バルブ241iが設けられている。開閉バルブ241aを閉め、開閉バルブ241iを開けることにより、TMAタンク260における原料ガスの生成を継続したまま、処理室201内への原料ガスの供給を停止することが可能なように構成されている。原料ガスを安定して生成するには所定の時間を要するが、開閉バルブ241a、開閉バルブ241iの切り替え動作によって、処理室201内への原料ガスの供給・停止をごく短時間で切り替えることが可能なように構成されている。
(Vent pipe)
The upstream end of the source gas vent pipe 240i is connected between the TMA tank 260 and the open / close valve 241a in the source gas supply pipe 240a. The downstream end of the source gas vent pipe 240i is connected to the downstream side of an exhaust pipe 231 described later (between an APC valve 231a and a vacuum pump 231b described later). The raw material gas vent pipe 240i is provided with an open / close valve 241i. By closing the opening / closing valve 241a and opening the opening / closing valve 241i, the supply of the source gas into the processing chamber 201 can be stopped while the generation of the source gas in the TMA tank 260 is continued. . Although a predetermined time is required to stably generate the source gas, the supply / stop of the source gas into the processing chamber 201 can be switched in a very short time by the switching operation of the opening / closing valve 241a and the opening / closing valve 241i. It is configured as follows.

同様に、反応ガス供給管240bにおけるオゾナイザ270と流量コントローラ242bとの間には、反応ガスベント管240jの上流端が接続されている。反応ガスベント管240jの下流端は、排気管231の下流側(APCバルブ231aと真空ポンプ231bとの間)に接続されている。反応ガスベント管240jには、上流から順に、開閉バルブ241j、オゾン除害装置242jが設けられている。開閉バルブ241bを閉め、開閉バルブ241jを開けることにより、オゾナイザ270によるオゾンガスの生成を継続したまま、処理室201内へのオゾンガスの供給を停止することが可能なように構成されている。オゾンガスを安定して生成するには所定の時間を要するが、開閉バルブ241b、開閉バルブ241jの切り替え動作によって、処理室201内へのオゾンガスの供給・停止をごく短時間で切り替えることが可能なように構成されている。   Similarly, the upstream end of the reaction gas vent pipe 240j is connected between the ozonizer 270 and the flow rate controller 242b in the reaction gas supply pipe 240b. The downstream end of the reaction gas vent pipe 240j is connected to the downstream side of the exhaust pipe 231 (between the APC valve 231a and the vacuum pump 231b). The reaction gas vent pipe 240j is provided with an open / close valve 241j and an ozone detoxifying device 242j in this order from upstream. By closing the opening / closing valve 241b and opening the opening / closing valve 241j, the supply of ozone gas into the processing chamber 201 can be stopped while the generation of ozone gas by the ozonizer 270 is continued. Although it takes a predetermined time to stably generate ozone gas, the supply / stop of ozone gas into the processing chamber 201 can be switched in a very short time by the switching operation of the opening / closing valve 241b and the opening / closing valve 241j. It is configured.

(不活性ガス供給管)
原料ガス供給管240aにおける開閉バルブ241aの下流側には、第1不活性ガス供給管240gの下流端が接続されている。第1不活性ガス供給管240gには、上流側から順に、Nガス等の不活性ガスを供給する図示しない不活性ガス供給源、流量コントローラ(MFC)242g、開閉バルブ241gが設けられている。同様に、反応ガス供給管240bにおける開閉バルブ241bの下流側には、第2不活性ガス供給管240hの下流端が接続されている。第2不活性ガス供給管240hには、上流側から順に、Nガス等の不活性ガスを供給する図示しない不活性ガス供給源、流量コントローラ(MFC)242h、開閉バルブ241hが設けられている。
(Inert gas supply pipe)
The downstream end of the first inert gas supply pipe 240g is connected to the downstream side of the open / close valve 241a in the source gas supply pipe 240a. The first inert gas supply pipe 240g is provided with an inert gas supply source (not shown) for supplying an inert gas such as N 2 gas, a flow rate controller (MFC) 242g, and an opening / closing valve 241g in order from the upstream side. . Similarly, the downstream end of the second inert gas supply pipe 240h is connected to the downstream side of the open / close valve 241b in the reaction gas supply pipe 240b. The second inert gas supply pipe 240h is provided with an inert gas supply source (not shown) for supplying an inert gas such as N 2 gas, a flow rate controller (MFC) 242h, and an opening / closing valve 241h in order from the upstream side. .

第1不活性ガス供給管240g及び第2不活性ガス供給管240hから供給される不活性ガスは、原料ガスや反応ガスを希釈する希釈ガス、及び処理室201内をパージするパージガスとしての機能を有する。   The inert gas supplied from the first inert gas supply pipe 240g and the second inert gas supply pipe 240h functions as a dilution gas for diluting the source gas and the reaction gas and a purge gas for purging the inside of the processing chamber 201. Have.

例えば、開閉バルブ241iを閉め、開閉バルブ241a及び開閉バルブ241gを開けることにより、第1不活性ガス供給管240gからの不活性ガス(希釈ガス)により希釈しながら、TMAタンク260からの混合ガスを処理室201内に供給することが可能なように構成されている。また、開閉バルブ241b及び開閉バルブ241hを開けることにより、第2不活性ガス供給管240hからの不活性ガス(希釈ガス)により希釈しながら、オゾナイザ270からのオゾンガスを処理室201内に供給することが可能なように構成されている。このように、希釈ガスにより希釈することで、処理室201内へ供給する原料ガスやオゾンガスの濃度を自在に調整することができる。   For example, by closing the opening / closing valve 241i and opening the opening / closing valve 241a and the opening / closing valve 241g, the mixed gas from the TMA tank 260 is diluted with the inert gas (diluted gas) from the first inert gas supply pipe 240g. It is configured so that it can be supplied into the processing chamber 201. Further, by opening the opening / closing valve 241b and the opening / closing valve 241h, the ozone gas from the ozonizer 270 is supplied into the processing chamber 201 while being diluted with the inert gas (diluted gas) from the second inert gas supply pipe 240h. Is configured to be possible. Thus, by diluting with the dilution gas, the concentration of the raw material gas and ozone gas supplied into the processing chamber 201 can be freely adjusted.

また、開閉バルブ241aを閉めて開閉バルブ241iを開けることにより、TMAタンク260による原料ガスの生成を継続したまま処理室201内への原料ガスの供給を停止すると共に、開閉バルブ241g及び開閉バルブ241hを開けることにより、第1不活性ガス供給管240g及び第2不活性ガス供給管240hからの不活性ガス(パージガス)を処理室201内へ供給することが可能なように構成されている。同様に、開閉バルブ241bを閉めて開閉バルブ241jを開けることにより、オゾナイザ270によるオゾンガスの生成を継続したまま処理室201内へのオゾンガスの供給を停止すると共に、開閉バルブ241g及び開閉バルブ241hを開けることにより、第1不活性ガス供給管240g及び第2不活性ガス供給管240hからの不活性ガス(パージガス)を処理室201内へ供給することが可能なように構成されている。このように、処理室201内へ不活性ガス(パージガス)を供給することにより、処理室201内からの原料ガス、オゾンガス等の排出を促すことができる。   Further, by closing the opening / closing valve 241a and opening the opening / closing valve 241i, the supply of the source gas into the processing chamber 201 is stopped while the generation of the source gas by the TMA tank 260 is continued, and the opening / closing valve 241g and the opening / closing valve 241h. Is opened so that the inert gas (purge gas) from the first inert gas supply pipe 240g and the second inert gas supply pipe 240h can be supplied into the processing chamber 201. Similarly, by closing the opening / closing valve 241b and opening the opening / closing valve 241j, the supply of ozone gas into the processing chamber 201 is stopped while the generation of ozone gas by the ozonizer 270 is continued, and the opening / closing valve 241g and the opening / closing valve 241h are opened. Thus, the inert gas (purge gas) from the first inert gas supply pipe 240g and the second inert gas supply pipe 240h can be supplied into the processing chamber 201. In this way, by supplying the inert gas (purge gas) into the processing chamber 201, discharge of the source gas, ozone gas, and the like from the processing chamber 201 can be promoted.

(ガス排気部及びガス排気口)
インナチューブ204の側壁には、ウエハ200が積載される方向に沿って、インナチューブ204の側壁の一部を構成するガス排気部204bが設けられている。ガス排気部204bは、処理室201内に収容されたウエハ200を挟み、ノズル収容部201aと対向する位置に設けられている。
(Gas exhaust part and gas exhaust port)
On the side wall of the inner tube 204, a gas exhaust part 204b constituting a part of the side wall of the inner tube 204 is provided along the direction in which the wafer 200 is stacked. The gas exhaust unit 204b is provided at a position facing the nozzle accommodating unit 201a with the wafer 200 accommodated in the processing chamber 201 interposed therebetween.

ガス排気部204bにはガス排気口204aが開設されている。ガス排気口204aは、ウエハ200を挟んで反応ガス噴出口248bと対向する位置(例えば、反応ガス噴出口248bと約180度反対側の位置)に開設されている。本実施形態に係るガス排気口204aは、穴形状であって、複数枚のウエハ200のそれぞれに対応する位置(高さ位置)に開設されている。従って、アウタチューブ203とインナチューブ204とに挟まれる空間203aは、ガス排気口204aを介して処理室201に連通することとなる。なお、ガス排気口204aの穴径は、処理室201内のガスの流量分布や速度分布を適正化するように適宜調整することができ、例えば、下部から上部にわたって同一としてもよく、下部から上部にわたって徐々に大きくしてもよい。   A gas exhaust port 204a is opened in the gas exhaust unit 204b. The gas exhaust port 204a is opened at a position facing the reaction gas ejection port 248b with the wafer 200 in between (for example, a position opposite to the reaction gas ejection port 248b by about 180 degrees). The gas exhaust port 204 a according to the present embodiment has a hole shape and is opened at a position (height position) corresponding to each of the plurality of wafers 200. Accordingly, the space 203a sandwiched between the outer tube 203 and the inner tube 204 communicates with the processing chamber 201 through the gas exhaust port 204a. The hole diameter of the gas exhaust port 204a can be adjusted as appropriate so as to optimize the flow rate distribution and velocity distribution of the gas in the processing chamber 201. For example, the hole diameter may be the same from the lower part to the upper part. It may be gradually increased over time.

また、ガス排気部204bの下端の高さ位置は、処理室201内に搬入されるウエハ200のうち最下端のウエハ200の高さ位置に対応させることが好ましい。同様に、ガス排気部204bの上端の高さ位置は、処理室201内に搬入されるウエハ200のうち最上端のウエハ200の高さ位置に対応させることが好ましい。ウエハ200の存在しない領域にまでガス排気部204bが設けられていると、ウエハ200間を流れるべきガスがウエハ200の存在しない領域に流れてしまう場合があるからである。   Further, it is preferable that the height position of the lower end of the gas exhaust unit 204 b corresponds to the height position of the lowermost wafer 200 among the wafers 200 loaded into the processing chamber 201. Similarly, the height position of the upper end of the gas exhaust unit 204 b preferably corresponds to the height position of the uppermost wafer 200 among the wafers 200 loaded into the processing chamber 201. This is because if the gas exhaust unit 204b is provided even in a region where the wafer 200 does not exist, the gas that should flow between the wafers 200 may flow to a region where the wafer 200 does not exist.

(排気ユニット)
マニホールド209の側壁には排気管231の上流端が接続されている。排気管231には、上流側から順に、圧力検出器としての圧力センサ245、圧力調整器としてのAPC(Auto Pressure Controller)バルブ231a、真空排気装置としての真空ポンプ231b、排気ガス中から有害成分を除去する除害設備231cが設けられている。真空ポンプ231bを作動させつつ、圧力センサ245からの圧力情報に基づいてAPCバルブ231aの開閉弁の開度を調整することにより、処理室201内の圧力を所望の圧力とすることが可能なように構成されている。
(Exhaust unit)
The upstream end of the exhaust pipe 231 is connected to the side wall of the manifold 209. In order from the upstream side, the exhaust pipe 231 includes a pressure sensor 245 as a pressure detector, an APC (Auto Pressure Controller) valve 231a as a pressure regulator, a vacuum pump 231b as a vacuum exhaust device, and harmful components from the exhaust gas. An abatement facility 231c for removal is provided. By adjusting the opening degree of the opening / closing valve of the APC valve 231a based on the pressure information from the pressure sensor 245 while operating the vacuum pump 231b, the pressure in the processing chamber 201 can be set to a desired pressure. It is configured.

主に、排気管231、圧力センサ245、APCバルブ231a、真空ポンプ231b、除害設備231cにより、排気ユニットが構成される。   An exhaust unit is mainly constituted by the exhaust pipe 231, the pressure sensor 245, the APC valve 231a, the vacuum pump 231b, and the abatement equipment 231c.

上述したように、アウタチューブ203とインナチューブ204とに挟まれる空間203aは、ガス排気口204aを介して処理室201内に連通している。そのため、原料ガスノズル233aあるいは反応ガスノズル233bから処理室201内に原料ガス、反応ガスを供給しつつ、排気ユニットによりアウタチューブ203とインナチューブ204とに挟まれる空間203aを排気することにより、原料ガス噴出口248a、反応ガス噴出口248bからガス排気口204aへと向かう実質的に水平方向のガス流10が処理室201内に生成される。かかる様子を、原料ガスを例にして図5に示す。   As described above, the space 203a sandwiched between the outer tube 203 and the inner tube 204 communicates with the inside of the processing chamber 201 through the gas exhaust port 204a. Therefore, while supplying the source gas and the reaction gas into the processing chamber 201 from the source gas nozzle 233a or the reaction gas nozzle 233b, the exhaust gas discharges the space 203a sandwiched between the outer tube 203 and the inner tube 204 by the exhaust unit. A substantially horizontal gas flow 10 is generated in the processing chamber 201 from the outlet 248a and the reactive gas outlet 248b to the gas exhaust port 204a. Such a state is shown in FIG.

(コントローラ)
制御部としてのコントローラ280は、ヒータ207、圧力センサ245、APCバルブ231a、真空ポンプ231b、除害設備231c、回転機構267、ボートエレベータ115、開閉バルブ241a,241b,241e,241f,241g,241h,241i,241j、流量コントローラ242b,242f,242g,242h、TMAタンク260、オゾナイザ270、オゾン除害装置242j、温度センサ(図示は省略)等にそれぞれ接続されている。コントローラ280により、ヒータ207の温度調整動作、APCバルブ231aの開閉及び圧力調整動作、真空ポンプ231bの起動・停止、回転機構267の回転速度調節、ボートエレベータ115の昇降動作、開閉バルブ241a,241b,241e,241f,241g,241h,241i,241jの開閉動作、流量コントローラ242b,242f,242g,242hの流量調整等の制御が行われる。
(controller)
The controller 280 as a control unit includes a heater 207, a pressure sensor 245, an APC valve 231a, a vacuum pump 231b, an abatement equipment 231c, a rotation mechanism 267, a boat elevator 115, open / close valves 241a, 241b, 241e, 241f, 241g, 241h, 241i, 241j, flow rate controllers 242b, 242f, 242g, 242h, a TMA tank 260, an ozonizer 270, an ozone detoxifying device 242j, a temperature sensor (not shown), and the like are connected. The controller 280 adjusts the temperature of the heater 207, opens and closes the APC valve 231a and adjusts the pressure, starts and stops the vacuum pump 231b, adjusts the rotation speed of the rotating mechanism 267, moves the boat elevator 115 up and down, and opens and closes the valves 241a and 241b. Controls such as opening / closing operations of 241e, 241f, 241g, 241h, 241i, 241j, and flow rate adjustment of the flow rate controllers 242b, 242f, 242g, 242h are performed.

(4)基板処理工程
続いて、本実施形態に係る基板処理工程について、図面を参照しながら説明する。図6は、本実施形態に係る基板処理工程のフローチャート図である。図7は、ウエハ200上に酸化アルミニウム膜(AlO膜)500が形成される様子を模式的に示す断面図である。図8は、本実施形態に係るガス供給の様子を模式的に示す図である。図9は、本実施形態の基板処理工程に係るガス供給に係るタイミングチャート図である。なお、本実施形態では、原料ガスとしてTMAガスを、反応ガスとしてオゾンガスを用い、ALD法によりウエハ200上に所定の膜、すなわち高誘電率膜としての酸化アルミニウム膜500を成膜する方法を例示して説明する。ここで実施されるALD法は、半導体装置の製造工程の一工程として実施される。なお、以下の説明において、基板処理装置101を構成する各部の動作はコントローラ280により制御される。
(4) Substrate Processing Step Next, the substrate processing step according to the present embodiment will be described with reference to the drawings. FIG. 6 is a flowchart of the substrate processing process according to this embodiment. FIG. 7 is a cross-sectional view schematically showing how an aluminum oxide film (AlO film) 500 is formed on the wafer 200. FIG. 8 is a diagram schematically showing a state of gas supply according to the present embodiment. FIG. 9 is a timing chart relating to gas supply according to the substrate processing process of the present embodiment. In this embodiment, TMA gas is used as a source gas, ozone gas is used as a reaction gas, and a predetermined film, that is, an aluminum oxide film 500 as a high dielectric constant film is formed on the wafer 200 by an ALD method. To explain. The ALD method carried out here is carried out as one step of the semiconductor device manufacturing process. In the following description, the operation of each part constituting the substrate processing apparatus 101 is controlled by the controller 280.

本実施形態に係る基板処理工程では、図6に示すように、基板搬入工程S10、減圧・昇温工程S20、酸化アルミニウム膜形成工程S30、大気圧復帰工程S40、基板搬出工程S50を実施する。   In the substrate processing step according to the present embodiment, as shown in FIG. 6, a substrate carry-in step S10, a pressure reduction / temperature rise step S20, an aluminum oxide film formation step S30, an atmospheric pressure return step S40, and a substrate carry-out step S50 are performed.

[基板搬入工程S10]
まず、複数枚のウエハ200をボート217に装填(ウエハチャージ)する。そして、複数枚のウエハ200を保持したボート217を、ボートエレベータ115によって持ち上げて処理室201内に収容(ボートロード)する。この状態で、シールキャップ219はOリング(図示は省略)を介してマニホールド209の下端を気密に封止した状態となる。なお、ウエハチャージ、ボートロード時においては、開閉バルブ241g、開閉バルブ241hを開けて、処理室201内にパージガスを供給し続けることが好ましい。
[Substrate loading step S10]
First, a plurality of wafers 200 are loaded into the boat 217 (wafer charge). Then, the boat 217 holding the plurality of wafers 200 is lifted by the boat elevator 115 and accommodated in the processing chamber 201 (boat loading). In this state, the seal cap 219 is airtightly sealed at the lower end of the manifold 209 via an O-ring (not shown). During wafer charging and boat loading, it is preferable to continue to supply the purge gas into the processing chamber 201 by opening the opening / closing valve 241g and the opening / closing valve 241h.

[減圧・昇温工程S20]
続いて、開閉バルブ241g、開閉バルブ241hを閉め、処理室201内が所望の処理圧力(真空度)となるように、真空ポンプ231bにより排気する。この際、圧力センサ245で測定した圧力情報に基づき、APCバルブ231aの開度をフィードバック制御する。また、ウエハ200表面が所望の処理温度となるようにヒータ207への通電量を調整する。この際、温度センサ(図示は省略)が検出した温度情報に基づき、ヒータ207への通電具合をフィードバック制御する。そして、回転機構267により、ボート217及びウエハ200の回転を開始させる。なお、温度調整、圧力調整、ウエハ200の回転は、後述する酸化アルミニウム膜形成工程S30の完了時まで継続する。
[Decompression / Temperature raising step S20]
Subsequently, the opening / closing valve 241g and the opening / closing valve 241h are closed, and the processing chamber 201 is evacuated by the vacuum pump 231b so as to have a desired processing pressure (degree of vacuum). At this time, the opening degree of the APC valve 231a is feedback-controlled based on the pressure information measured by the pressure sensor 245. Further, the energization amount to the heater 207 is adjusted so that the surface of the wafer 200 has a desired processing temperature. At this time, feedback control of the power supply to the heater 207 is performed based on temperature information detected by a temperature sensor (not shown). Then, the rotation mechanism 267 starts rotation of the boat 217 and the wafer 200. The temperature adjustment, the pressure adjustment, and the rotation of the wafer 200 are continued until the aluminum oxide film forming step S30 described later is completed.

なお、圧力・温度安定時の処理室201内の条件としては、例えば、
処理圧力:1〜100Pa、好ましくは40Pa、
処理温度:450〜650℃、好ましくは550℃
が例示される。
In addition, as conditions in the processing chamber 201 when the pressure and temperature are stable, for example,
Processing pressure: 1 to 100 Pa, preferably 40 Pa,
Treatment temperature: 450-650 ° C, preferably 550 ° C
Is exemplified.

[酸化アルミニウム膜形成工程S30]
続いて、ウエハ200上に、所望の厚さの高誘電率膜としての酸化アルミニウム膜500を形成する(図7を参照)。
[Aluminum oxide film forming step S30]
Subsequently, an aluminum oxide film 500 as a high dielectric constant film having a desired thickness is formed on the wafer 200 (see FIG. 7).

(原料ガス供給工程S31)
まず、開閉バルブ241fを開けてTMAタンク260内にキャリアガスとしてのArガスを供給し、TMAタンク260内の液体TMAをバブリングする。これにより、TMAタンク260内にて液体TMAを気化させて原料ガスとしてのTMAガスを生成する。TMAガスが安定して生成されるまでは、開閉バルブ241aが閉じた状態で、開閉バルブ241iを開けて、TMAガスとArガスとの混合ガスを原料ガスベント管240iから排出しておく。なお、TMAガスの生成は、上述の減圧・昇温工程S20と並行して実施することとし(予備気化)、減圧・昇温工程S20の完了時にはTMAガスの生成量を安定させておくことが好ましい。
(Raw gas supply step S31)
First, the opening / closing valve 241f is opened to supply Ar gas as a carrier gas into the TMA tank 260, and the liquid TMA in the TMA tank 260 is bubbled. Thereby, the liquid TMA is vaporized in the TMA tank 260 to generate TMA gas as a raw material gas. Until the TMA gas is stably generated, the open / close valve 241i is opened with the open / close valve 241a closed, and the mixed gas of TMA gas and Ar gas is discharged from the source gas vent pipe 240i. It should be noted that the generation of TMA gas is performed in parallel with the above-described pressure reduction / temperature increase step S20 (preliminary vaporization), and the amount of TMA gas generated should be stabilized when the pressure reduction / temperature increase step S20 is completed. preferable.

TMAガスが安定して生成されるようになったら、開閉バルブ241iを閉め、開閉バルブ241aを開けて、TMAガスとArガスとの混合ガスの、原料ガスノズル233aを介した処理室201内への供給を開始する。その際、開閉バルブ241gを開け、第1不活性ガス供給管240gからNガス(希釈ガス)を供給し、処理室201内でTMAガスを希釈するようにしてもよい。また、第1不活性ガス供給管240gから供給されるNガスにより混合ガスを押し出し、処理室201内への混合ガスの供給を促すようにしてもよい(Nプッシュ)。 When the TMA gas is stably generated, the on-off valve 241i is closed, the on-off valve 241a is opened, and the mixed gas of TMA gas and Ar gas is introduced into the processing chamber 201 via the source gas nozzle 233a. Start supplying. At that time, the opening / closing valve 241g may be opened, N 2 gas (dilution gas) may be supplied from the first inert gas supply pipe 240g, and the TMA gas may be diluted in the processing chamber 201. Further, the mixed gas may be pushed out by the N 2 gas supplied from the first inert gas supply pipe 240g to promote the supply of the mixed gas into the processing chamber 201 (N 2 push).

原料ガスノズル233aから処理室201内に供給された混合ガスは、図8に示すように、ボート217の下端側のウエハ200から上端側のウエハ200にそれぞれ供給される。そして、処理室201内に供給された混合ガスは、図5に示すように、各ウエハ200上をそれぞれ通過してガス排気口204aへと向かう実質的に水平方向のガス流10となり、ガス排気口204aを介して排気管231から排気される。その際、積層された各ウエハ200に供給されたTMAガスは、各ウエハ200の表面、あるいはウエハ200上にすでに吸着しているTMA分子の吸着層等の表面と化学吸着(表面反応)を起こして、ウエハ200上にTMA分子の吸着層またはAl層を形成する。さらに、各ウエハ200に供給されたTMAガスは、その一部が熱分解することでALD反応のみならずCVD反応を生じさせ、ウエハ200上にアルミニウム原子同士の結合(Al−Al結合)を含むAl層を形成する。ここで、TMA分子の吸着層とは、TMA分子の連続的な吸着層の他、不連続な吸着層、及び不連続な層が重なって出来る連続的な層をも含む。また、Al層とは、Alにより構成される連続的な層の他、不連続な層、及び不連続な層が重なって出来る連続的な層をも含む。なお、Alはそれ単独で固体となる元素である。以下、ウエハ200上に形成されるTMA分子の吸着層及びAl層を、Al含有層500aとも呼ぶ。図7(b)に、各ウエハ200上にAl含有層500aがそれぞれ形成された様子を示す。   As shown in FIG. 8, the mixed gas supplied from the source gas nozzle 233a into the processing chamber 201 is supplied from the lower end wafer 200 of the boat 217 to the upper end wafer 200, respectively. Then, as shown in FIG. 5, the mixed gas supplied into the processing chamber 201 becomes a substantially horizontal gas flow 10 that passes through each wafer 200 toward the gas exhaust port 204a. The exhaust pipe 231 is exhausted through the port 204a. At that time, the TMA gas supplied to each laminated wafer 200 causes chemical adsorption (surface reaction) with the surface of each wafer 200 or the surface of an adsorption layer of TMA molecules already adsorbed on the wafer 200. Then, an adsorption layer or an Al layer of TMA molecules is formed on the wafer 200. Further, the TMA gas supplied to each wafer 200 is thermally decomposed partly to cause not only an ALD reaction but also a CVD reaction, and includes a bond between aluminum atoms (Al—Al bond) on the wafer 200. An Al layer is formed. Here, the adsorption layer of TMA molecules includes a continuous adsorption layer of TMA molecules, a discontinuous adsorption layer, and a continuous layer formed by overlapping discontinuous layers. In addition, the Al layer includes a discontinuous layer and a continuous layer formed by overlapping discontinuous layers in addition to a continuous layer composed of Al. In addition, Al is an element which becomes a solid by itself. Hereinafter, the TMA molecule adsorption layer and the Al layer formed on the wafer 200 are also referred to as an Al-containing layer 500a. FIG. 7B shows a state in which the Al-containing layer 500 a is formed on each wafer 200.

混合ガスの供給を所定時間継続した後、開閉バルブ241aを閉め、開閉バルブ241iを開けて、TMAガスの生成を継続したまま処理室201内へのTMAガスの供給を停止する。なお、開閉バルブ241fは開けたままとし、TMAタンク260内へのArガスの供給は継続する。   After the supply of the mixed gas is continued for a predetermined time, the open / close valve 241a is closed, the open / close valve 241i is opened, and the supply of the TMA gas into the processing chamber 201 is stopped while the generation of the TMA gas is continued. Note that the open / close valve 241f is kept open, and the supply of Ar gas into the TMA tank 260 is continued.

(排気工程S32)
続いて、開閉バルブ241a,241bを閉めた状態で、開閉バルブ241g及び開閉バルブ241hを開けてNガス(パージガス)を供給して処理室201内をパージしつつ、処理室201内を排気することにより、処理室201内に残留するTMAガス(残留ガス)等を除去する。所定時間が経過して処理室201内の雰囲気がNガスに置換(パージ)されたら、開閉バルブ241g及び開閉バルブ241hを閉めて処理室201内へのNガスの供給を停止する。排気工程S32を実施することにより、図9に示すように、原料ガス供給工程S31で供給したTMAガスと、後述の反応ガス供給工程S33で供給するオゾンガスとを、互いに混合させないようにできる。なお、パージは所定回数繰り返すようにしてもよい(サイクルパージ)。この場合、処理室201内に残留するTMAガス等をより確実に除去することができる。
(Exhaust process S32)
Subsequently, with the open / close valves 241a and 241b closed, the open / close valve 241g and the open / close valve 241h are opened to supply the N 2 gas (purge gas) to purge the inside of the process chamber 201 while exhausting the inside of the process chamber 201. Thus, TMA gas (residual gas) remaining in the processing chamber 201 is removed. When the atmosphere in the processing chamber 201 is replaced (purged) with the N 2 gas after a predetermined time has elapsed, the opening / closing valve 241g and the opening / closing valve 241h are closed to stop the supply of N 2 gas into the processing chamber 201. By performing the exhaust process S32, as shown in FIG. 9, the TMA gas supplied in the source gas supply process S31 and the ozone gas supplied in the reaction gas supply process S33 described later can be prevented from being mixed with each other. The purge may be repeated a predetermined number of times (cycle purge). In this case, the TMA gas remaining in the processing chamber 201 can be removed more reliably.

(反応ガス供給工程S33)
続いて、処理室201内に反応ガスとしてのオゾンガスを供給し、ウエハ200上のAl含有層500aを酸化させる。まず、開閉バルブ241eを開けてオゾナイザ270に酸素ガスを供給し、反応ガスとしてのオゾンガス(酸化剤)を生成する。オゾンガスが安定して生成されるまでは、開閉バルブ241bを閉めた状態で、開閉バルブ241jを開けて、オゾンガスを反応ガスベント管240jから排出しておく。なお、オゾンガスの生成は、上述の減圧・昇温工程S20と並行して実施することとし、減圧・昇温工程S20の完了時にはオゾンガスの生成量を安定させておくことが好ましい。
(Reactive gas supply step S33)
Subsequently, ozone gas as a reaction gas is supplied into the processing chamber 201 to oxidize the Al-containing layer 500 a on the wafer 200. First, the open / close valve 241e is opened and oxygen gas is supplied to the ozonizer 270 to generate ozone gas (oxidant) as a reaction gas. Until the ozone gas is stably generated, the open / close valve 241j is opened with the open / close valve 241b closed, and the ozone gas is discharged from the reaction gas vent pipe 240j. The generation of ozone gas is preferably performed in parallel with the above-described pressure reduction / temperature increase step S20, and the amount of ozone gas generated is preferably stabilized when the pressure reduction / temperature increase step S20 is completed.

オゾンガスが安定して生成されるようになったら、開閉バルブ241jを閉め、開閉バルブ241bを開けて、反応ガスノズル233bを介した処理室201内へのオゾンガスの供給を開始する。この際、開閉バルブ241gを開け、第2不活性ガス供給管240hからNガス(希釈ガス)を供給し、反応ガスノズル233b内でオゾンガスを希釈するようにしてもよい。また、第2不活性ガス供給管240hから供給されるNガスによりオゾンガスを押し出し、処理室201内へのオゾンガスの供給を促すようにしてもよい(Nプッシュ)。 When the ozone gas is stably generated, the opening / closing valve 241j is closed, the opening / closing valve 241b is opened, and supply of ozone gas into the processing chamber 201 via the reaction gas nozzle 233b is started. At this time, the open / close valve 241g may be opened, N 2 gas (dilution gas) may be supplied from the second inert gas supply pipe 240h, and ozone gas may be diluted in the reaction gas nozzle 233b. Further, the ozone gas may be pushed out by the N 2 gas supplied from the second inert gas supply pipe 240h to promote the supply of the ozone gas into the processing chamber 201 (N 2 push).

反応ガスノズル233bから処理室201内に供給されたオゾンガスは、図5に示す原料ガスと同様に、反応ガス噴出口248bからガス排気口204aへと向かう水平方向のガス流10となり、排気管231から排気される。その際、積層された各ウエハ200に供給されたオゾンガスは、各ウエハ200上のAl含有層500aに接触してこれらをそれぞれ酸化させる。図7(c)に、各ウエハ200上に酸化アルミニウム層500bがそれぞれ形成された様子を示す。   The ozone gas supplied from the reaction gas nozzle 233b into the processing chamber 201 becomes a horizontal gas flow 10 from the reaction gas outlet 248b to the gas exhaust port 204a, as in the case of the source gas shown in FIG. Exhausted. At that time, the ozone gas supplied to each laminated wafer 200 comes into contact with the Al-containing layer 500a on each wafer 200 and oxidizes them. FIG. 7C shows a state in which the aluminum oxide layer 500 b is formed on each wafer 200.

オゾンガスの供給を所定時間継続した後、開閉バルブ241bを閉め、開閉バルブ241jを開けて、オゾンガスの生成を継続したまま処理室201内へのオゾンガスの供給を停止する。   After the ozone gas supply is continued for a predetermined time, the open / close valve 241b is closed and the open / close valve 241j is opened to stop the supply of the ozone gas into the processing chamber 201 while the generation of the ozone gas is continued.

(排気工程S34)
続いて、排気工程S32と同様の手順及び処理条件により、処理室201内に残留するオゾンガス(残留ガス)等を除去する。排気工程S34を実施することにより、図9に示すように、反応ガス供給工程S33で供給したオゾンガスと、次のサイクルの原料ガス供給工程S31で供給するTMAガスとを、互いに混合させないようにできる。
(Exhaust process S34)
Subsequently, ozone gas (residual gas) remaining in the processing chamber 201 is removed by the same procedure and processing conditions as those in the exhaust process S32. By performing the exhaust process S34, as shown in FIG. 9, the ozone gas supplied in the reaction gas supply process S33 and the TMA gas supplied in the source gas supply process S31 of the next cycle can be prevented from being mixed with each other. .

以後、原料ガス供給工程S31〜排気工程S34を1サイクルとして、このサイクルを所定回数実施して酸化アルミニウム層500bを積層させることにより、ウエハ200上に所望の厚さの酸化アルミニウム膜500を形成する(図7(d))。サイクルの実施回数を調整することで、酸化アルミニウム膜500の膜厚を制御できる。なお、各工程の処理条件としては、例えば以下に示すような条件とすることができる。   Thereafter, the source gas supply step S31 to the exhaust step S34 are set as one cycle, and this cycle is performed a predetermined number of times to form the aluminum oxide layer 500b, thereby forming the aluminum oxide film 500 having a desired thickness on the wafer 200. (FIG. 7D). The film thickness of the aluminum oxide film 500 can be controlled by adjusting the number of cycles. In addition, as processing conditions of each process, it can be set as the conditions as shown below, for example.

<原料ガス供給工程S31の処理条件>
処理圧力:50〜150Pa、好ましくは100Pa、
キャリアガス(Arガス)の流量:0.1〜2slm、好ましくは0.5slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:5〜20秒、好ましくは10秒
希釈ガス(Nガス)の流量:10〜20slm、好ましくは17slm
<Processing conditions of source gas supply process S31>
Processing pressure: 50 to 150 Pa, preferably 100 Pa,
Carrier gas (Ar gas) flow rate: 0.1-2 slm, preferably 0.5 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 5 to 20 seconds, preferably 10 seconds Flow rate of dilution gas (N 2 gas): 10 to 20 slm, preferably 17 slm

<反応ガス供給工程S33の処理条件>
処理圧力:50〜200Pa、好ましくは70Pa、
反応ガス(オゾンガス)の流量:3〜20slm、好ましくは6slm、
希釈ガス(Nガス)の流量:0〜2slm、好ましくは0.5slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:10〜60秒、好ましくは20秒
<Processing conditions of reaction gas supply process S33>
Processing pressure: 50 to 200 Pa, preferably 70 Pa,
Reaction gas (ozone gas) flow rate: 3 to 20 slm, preferably 6 slm,
Flow rate of dilution gas (N 2 gas): 0 to 2 slm, preferably 0.5 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 10 to 60 seconds, preferably 20 seconds

<排気工程S32,S34の処理条件>
処理圧力:50〜200Pa、好ましくは100Pa、
パージガス(Nガス)の流量(第1不活性ガス供給管):1〜10slm、好ましくは5slm、
パージガス(Nガス)の流量(第2不活性ガス供給管):1〜10slm、好ましくは5slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:5〜60秒、好ましくは10秒
<Processing conditions of exhaust steps S32 and S34>
Processing pressure: 50 to 200 Pa, preferably 100 Pa,
Flow rate of purge gas (N 2 gas) (first inert gas supply pipe): 1 to 10 slm, preferably 5 slm,
Flow rate of purge gas (N 2 gas) (second inert gas supply pipe): 1 to 10 slm, preferably 5 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 5 to 60 seconds, preferably 10 seconds

[大気圧復帰工程S40]
ウエハ200上に所望の厚さの酸化アルミニウム膜500を形成した後、APCバルブ231aの弁の開度を小さくし、開閉バルブ241g、開閉バルブ241hを開けて、プロセスチューブ205内(処理室201内及びアウタチューブ203内)の圧力が大気圧になるまで処理室201内にNガス(パージガス)を供給する。
[Atmospheric pressure return step S40]
After the aluminum oxide film 500 having a desired thickness is formed on the wafer 200, the opening degree of the APC valve 231a is reduced, the opening / closing valve 241g and the opening / closing valve 241h are opened, and the inside of the process tube 205 (inside the processing chamber 201). N 2 gas (purge gas) is supplied into the processing chamber 201 until the pressure in the outer tube 203 becomes atmospheric pressure.

[基板搬出工程S50]
そして、基板搬入工程S10と逆の手順で、処理室201内からボート217を搬出し(ボートアンロード)、成膜済のウエハ200をボート217から脱装する(ウエハディスチャージ)。なお、ボートアンロード、ウエハディスチャージ時においては、開閉バルブ241g、開閉バルブ241hを開けて、処理室201内にパージガスを供給し続けることが好ましい。
[Substrate unloading step S50]
Then, the boat 217 is unloaded from the processing chamber 201 (boat unloading) and the film-formed wafers 200 are detached from the boat 217 (wafer discharge) in the reverse order of the substrate loading step S10. Note that, at the time of boat unloading and wafer discharging, it is preferable that the opening / closing valve 241g and the opening / closing valve 241h are opened and the purge gas is continuously supplied into the processing chamber 201.

その後、酸化アルミニウム膜500が形成されたウエハ200に対して、例えば、配線や電極等の導電膜を形成する工程、その他の絶縁膜を形成する工程、熱処理工程等を順次実施し、酸化アルミニウム膜500を有する半導体装置をする。   Thereafter, for example, a process of forming a conductive film such as a wiring or an electrode, a process of forming another insulating film, a heat treatment process, and the like are sequentially performed on the wafer 200 on which the aluminum oxide film 500 is formed. A semiconductor device having 500;

(5)本実施形態に係る効果
本実施形態によれば、以下に示す1つ又は複数の効果を奏する。
(5) Effects according to the present embodiment According to the present embodiment, the following one or more effects are achieved.

(a)本実施形態に係る原料ガスノズル233aの垂直部は、処理室201内のウエハ200の収容予定領域よりも下方の所定位置に配設されるように構成されている。この構成によれば、処理温度を上昇させても原料ガスノズル233a内部の温度上昇が抑えられるので、原料ガスノズル233a内部でのTMAガスの熱分解が抑えられる。これにより、原料ガスノズル233a内でのアルミニウム原子同士のCVD反応が抑えられる。また、原料ガスノズル233aの内壁への反応生成物やTMAガスの分解物の堆積を抑え、原料ガスノズル233aの目詰まりを抑えることができる。   (A) The vertical portion of the source gas nozzle 233 a according to the present embodiment is configured to be disposed at a predetermined position below the planned storage area of the wafer 200 in the processing chamber 201. According to this configuration, even if the processing temperature is raised, the temperature rise inside the source gas nozzle 233a can be suppressed, so that the thermal decomposition of the TMA gas inside the source gas nozzle 233a can be suppressed. Thereby, CVD reaction between aluminum atoms in the source gas nozzle 233a is suppressed. Further, it is possible to suppress deposition of reaction products and decomposition products of TMA gas on the inner wall of the source gas nozzle 233a, and to prevent clogging of the source gas nozzle 233a.

(b)また、本実施形態によれば、原料ガスノズル233aに反応生成物や分解物が堆積し難く、TMAガスの流路が狭められ難くなるので、安定した流量及び流速で処理室201内にTMAガスを供給することができる。   (B) Also, according to the present embodiment, reaction products and decomposition products are difficult to deposit on the raw material gas nozzle 233a, and the flow path of the TMA gas is difficult to be narrowed. TMA gas can be supplied.

(c)また、本実施形態によれば、処理温度を高くして基板処理を行うことが可能であるので、TMAガスを構成する炭素原子や水素原子等が酸化アルミニウム膜500中に残留してしまうことを抑制でき、酸化アルミニウム膜500中の不純物量を低減させることができる。これにより、不純物に起因する誘電率の低下が抑えられ、リーク電流が低減される等、高誘電率膜としての機能を向上させることができる。   (C) Further, according to the present embodiment, since it is possible to perform the substrate processing at a higher processing temperature, carbon atoms, hydrogen atoms, etc. constituting the TMA gas remain in the aluminum oxide film 500. The amount of impurities in the aluminum oxide film 500 can be reduced. Thereby, the function as a high dielectric constant film can be improved, for example, a decrease in dielectric constant due to impurities can be suppressed and leakage current can be reduced.

(d)また、本実施形態のように原料ガスノズル233aに分解物が堆積しにくい場合には、原料ガスノズル233aのクリーニング周期を長くすることができる。これにより、メンテナンスにより基板処理装置101を停止させる期間が短縮されるので、基板処理装置101を効率的に稼働させることができ、生産性を向上させることができる。また、分解物が堆積すると、原料ガスノズル233aと分解物との熱応力の差により原料ガスノズル233aが破損する場合があるが、本実施形態によれば、このような原料ガスノズル233aの破損が起こりにくくなる。   (D) Moreover, when it is difficult to deposit decomposition products on the source gas nozzle 233a as in this embodiment, the cleaning cycle of the source gas nozzle 233a can be lengthened. As a result, the period during which the substrate processing apparatus 101 is stopped by maintenance is shortened, so that the substrate processing apparatus 101 can be operated efficiently and productivity can be improved. Further, when the decomposition product accumulates, the material gas nozzle 233a may be damaged due to a difference in thermal stress between the material gas nozzle 233a and the decomposition product. However, according to the present embodiment, such a material gas nozzle 233a is hardly damaged. Become.

ここで、本実施形態の基板処理装置101と比較するために、従来の基板処理装置の原料ガスノズルの構成について簡単に説明する。図17は、従来の基板処理装置の概略、及び内部に分解物950が堆積した原料ガスノズル933aを模式的に示す断面図である。図19、図20は、内部に分解物950が堆積した原料ガスノズル933aの例を示す説明図である。図17に示すように、従来の基板処理装置では、複数の原料ガス噴出口948aを備えた原料ガスノズル933aがボート917の上端付近まで延びる構成となっていた。また、原料ガスノズル933aの垂直部は、処理室901内のヒータ(図示せず)が取り囲む空間内に設置されていた。そのため、基板処理時には、原料ガスノズル933a内部の温度がTMAガスの熱分解温度以上に上昇してしまい、処理室901内に供給される前にTMAガスが熱分解されてしまう場合があった。そして、図17に示すように、原料ガスノズル933aの内壁にTMAガスから生成された分解物950が堆積してしまうことがあった。内部に分解物950が堆積した原料ガスノズル933aの具体例を、図19(a)、図19(b)、図20(a)、図20(b)にそれぞれ示す。また、原料ガスノズル933a内で原料ガスが熱分解しないように基板処理を低下させると、形成される薄膜中に原料ガス分子に含まれる炭素原子や水素原子等が残留してしまい、薄膜中の不純物量が増加してしまう場合があった。本実施形態よれば、原料ガスノズル233aをショートノズルとして構成し、処理温度を比較的高くしているので、これらの課題を解決できる。   Here, in order to compare with the substrate processing apparatus 101 of this embodiment, the structure of the raw material gas nozzle of the conventional substrate processing apparatus is demonstrated easily. FIG. 17 is a sectional view schematically showing a conventional substrate processing apparatus and a source gas nozzle 933a in which a decomposition product 950 is deposited. 19 and 20 are explanatory views showing an example of the source gas nozzle 933a in which the decomposition product 950 is deposited. As shown in FIG. 17, in the conventional substrate processing apparatus, the raw material gas nozzle 933 a having a plurality of raw material gas outlets 948 a extends to the vicinity of the upper end of the boat 917. Further, the vertical portion of the source gas nozzle 933a is installed in a space surrounded by a heater (not shown) in the processing chamber 901. Therefore, at the time of substrate processing, the temperature inside the raw material gas nozzle 933a has risen above the thermal decomposition temperature of the TMA gas, and the TMA gas may be thermally decomposed before being supplied into the processing chamber 901. And as shown in FIG. 17, the decomposition product 950 produced | generated from TMA gas may accumulate on the inner wall of the source gas nozzle 933a. Specific examples of the source gas nozzle 933a in which the decomposition product 950 is accumulated are shown in FIGS. 19A, 19B, 20A, and 20B, respectively. Moreover, if the substrate processing is lowered so that the source gas is not thermally decomposed in the source gas nozzle 933a, carbon atoms and hydrogen atoms contained in the source gas molecules remain in the formed thin film, and impurities in the thin film In some cases, the amount increased. According to this embodiment, since the source gas nozzle 233a is configured as a short nozzle and the processing temperature is relatively high, these problems can be solved.

<本発明の第2の実施形態>
第1の実施形態では、原料ガスノズル233aを、反応ガスノズル233bのような多孔式のロングノズルとして構成せず、その垂直部がウエハ200の収容予定領域よりも下方に配置されるショートノズルとして構成していた。しかしながら、原料ガスノズル233aをショートノズルとして構成すると、原料ガスノズル233a内部での原料ガスの熱分解を抑えることはできるものの、TMAガスの供給量が処理室201内で(ウエハ200間で)局所的にばらついてしまう場合があった。例えば、ウエハ200に対するサイクル毎のTMAガスの供給量が、処理室201内の上部側のウエハ200では多くなり、下部側のウエハ200では少なくなってしまう等の場合があった。その結果、ウエハ200上に形成される酸化アルミニウム膜500の膜厚が、ウエハ200間でばらついてしまうことがあった。
<Second Embodiment of the Present Invention>
In the first embodiment, the source gas nozzle 233a is not configured as a porous long nozzle like the reaction gas nozzle 233b, but as a short nozzle whose vertical portion is disposed below the region where the wafer 200 is to be accommodated. It was. However, if the source gas nozzle 233a is configured as a short nozzle, the thermal decomposition of the source gas inside the source gas nozzle 233a can be suppressed, but the supply amount of TMA gas is locally within the processing chamber 201 (between the wafers 200). There was a case where it fluctuated. For example, the supply amount of the TMA gas for each cycle to the wafer 200 increases in the upper wafer 200 in the processing chamber 201 and decreases in the lower wafer 200 in some cases. As a result, the film thickness of the aluminum oxide film 500 formed on the wafer 200 may vary between the wafers 200.

ウエハ200間での膜厚のばらつきは、特に、酸化アルミニウム膜500中の不純物量を低減させようとして処理温度を上昇させると増大する傾向があった。これは、処理温度を上昇させると、処理室201内ではALD反応のみならずCVD反応も生じることに一要因があるものと考えられる。上述したように、処理温度を上昇させると、各ウエハ200に供給されたTMAガスは、その一部が熱分解することでALD反応のみならずCVD反応を生じさせ、ウエハ200上にはアルミニウム原子同士の結合を含むAl層が形成される。このCVD反応により形成されるAl層の厚さは、ガス分子の吸着が飽和することによってセルフリミットがかかるALD反応の場合と異なり、TMAガスの供給量に大きく依存する。このため、TMAガスの供給量が処理室201内で局所的にばらついてしまうと、Al含有層500aの厚さ、すなわち酸化アルミニウム膜500の膜厚も伴ってばらついてしまうのである。   The variation in film thickness between the wafers 200 tended to increase especially when the processing temperature was raised in an attempt to reduce the amount of impurities in the aluminum oxide film 500. This is considered to be caused by the fact that when the processing temperature is raised, not only the ALD reaction but also the CVD reaction occurs in the processing chamber 201. As described above, when the processing temperature is increased, a part of the TMA gas supplied to each wafer 200 is thermally decomposed to cause not only an ALD reaction but also a CVD reaction. An Al layer including a bond between them is formed. The thickness of the Al layer formed by this CVD reaction greatly depends on the supply amount of TMA gas, unlike the case of the ALD reaction in which self-limitation is caused by saturation of gas molecule adsorption. For this reason, if the supply amount of the TMA gas locally varies in the processing chamber 201, the thickness of the Al-containing layer 500a, that is, the thickness of the aluminum oxide film 500 also varies.

そこで、本実施形態では、異なる流速でTMAガスを供給する工程を同一サイクル内で複数設けることにより、原料ガスノズル233aをショートノズルとして構成した場合であっても、処理室201内(ウエハ200間)におけるTMAガスの供給量の局所的なばらつきを低減させるようにしている。具体的には、第1の流速での処理室201内へのTMAの供給処理と、第1の流速とは異なる第2の流速での処理室201内へのTMAガスの供給処理と、を順次行うことで、ウエハ200間におけるTMAガスの供給量の局所的なばらつきを低減させることとしている。例えば、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを局所的に供給する工程と、第1の流速より大きな第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを局所的に供給する工程とを、サイクル内で順次実施するようにすれば、1サイクル全体で見たときのTMAガス供給量をウエハ200間でより均一化することができる。すなわち、本実施形態では、1回の原料ガス供給工程で全てのウエハ200に対しTMAガスを均等に供給しようとするのではなく、1回の原料ガス供給工程では意図的に一部のウエハ200にのみTMAガスが局所的に供給されるようにしつつ、その後、流速を異ならせることでTMAガスが主に供給される場所を切り替えるようにしながら、サイクル毎に複数の原料ガス供給工程を順次実施することで、TMAガスの供給量の局所的なばらつきを低減させるようにしている。   Therefore, in this embodiment, even if the source gas nozzle 233a is configured as a short nozzle by providing a plurality of processes for supplying TMA gas at different flow rates in the same cycle, the inside of the processing chamber 201 (between the wafers 200). The local variation in the supply amount of TMA gas is reduced. Specifically, TMA supply processing into the processing chamber 201 at the first flow rate and TMA gas supply processing into the processing chamber 201 at a second flow rate different from the first flow rate. By sequentially performing the process, local variations in the supply amount of the TMA gas between the wafers 200 are reduced. For example, a process of locally supplying TMA gas mainly to the lower wafer 200 in the processing chamber 201 at a first flow rate, and an upper portion in the processing chamber 201 mainly at a second flow rate larger than the first flow rate. If the step of locally supplying the TMA gas to the wafer 200 on the side is performed sequentially within the cycle, the TMA gas supply amount when viewed in the entire cycle can be made more uniform between the wafers 200. Can do. That is, in this embodiment, the TMA gas is not uniformly supplied to all the wafers 200 in one source gas supply process, but a part of the wafers 200 is intentionally used in one source gas supply process. The TMA gas is supplied locally only, and then the flow of the flow rate is changed to switch the place where the TMA gas is mainly supplied. By doing so, local variations in the supply amount of the TMA gas are reduced.

以下に、本実施形態について説明する。なお、以下では、第1の実施形態と重複する内容を適宜省略しながら説明する。   Hereinafter, the present embodiment will be described. In addition, below, it demonstrates, omitting the content which overlaps with 1st Embodiment suitably.

(1)処理炉の構成
本実施形態に係るコントローラ280は、異なる流速で互いに混合させないよう処理室201内に原料ガスを供給する処理を含むサイクルを所定回数実施させるように構成されている。例えば、コントローラ280は、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給する処理と、第1の流速よりも大きい第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給する処理と、を含むサイクルを所定回数実施させるように構成されている。
(1) Configuration of Processing Furnace The controller 280 according to the present embodiment is configured to perform a predetermined number of cycles including a process of supplying a source gas into the processing chamber 201 so as not to mix with each other at different flow rates. For example, the controller 280 mainly supplies the TMA gas to the lower wafer 200 in the processing chamber 201 at the first flow rate, and mainly in the processing chamber 201 at the second flow rate higher than the first flow rate. And a process of supplying TMA gas to the wafer 200 on the upper side of the wafer.

TMAガスの流速を第1の流速と第2の流速とで切り替えるには、例えば、原料ガスとしてのTMAガス、キャリアガスとしてのArガスの流量をそれぞれ一定にしつつ、第1不活性ガス供給管240gから供給されるNガスの流量を変更すればよい。具体的には、第1不活性ガス供給管240gから供給されるNガスの流量を多くすることで、TMAガスの流量を変更することなく、流速を増大させることができる。また、第1不活性ガス供給管240gから供給されるNガスの流量を少なくすることで、TMAガスの流量を変更することなく、流速を減少させることができる。このように、本実施形態では、第1不活性ガス供給管240gから供給される不活性ガスは、上述の希釈ガス及びパージガスとしての機能に加え、処理室201内に供給されるTMAガスの流速を異ならせる流速調整ガスとしての機能も有する。 In order to switch the flow rate of the TMA gas between the first flow rate and the second flow rate, for example, the first inert gas supply pipe while keeping the flow rates of the TMA gas as the source gas and the Ar gas as the carrier gas constant, respectively. it may be changed the flow rate of N 2 gas supplied from 240 g. Specifically, the flow rate can be increased without changing the flow rate of the TMA gas by increasing the flow rate of the N 2 gas supplied from the first inert gas supply pipe 240g. Further, by reducing the flow rate of the N 2 gas supplied from the first inert gas supply pipe 240g, the flow velocity can be reduced without changing the flow rate of the TMA gas. As described above, in the present embodiment, the inert gas supplied from the first inert gas supply pipe 240g has the flow rate of the TMA gas supplied into the processing chamber 201 in addition to the functions as the dilution gas and the purge gas described above. It also has a function as a flow rate adjusting gas that makes the difference between the two.

(2)基板処理工程
図10は、本実施形態に係る基板処理工程のフローチャート図である。図11は、本実施形態に係る原料ガス供給の様子を模式的に示す図であり、(a)原料ガスの流速を第1の流速とした場合、(b)原料ガスの流速を第1の流速より大きな第2の流速とした場合をそれぞれ示す。図12は、本実施形態のガス供給に係るタイミングチャート図である。これらの図面を参照しつつ、本実施形態に係る基板処理工程について説明する。図13は、本実施形態に係るTMAガス供給シーケンス、及び処理条件を従来と比較して示す図である。
(2) Substrate Processing Step FIG. 10 is a flowchart of the substrate processing step according to this embodiment. FIG. 11 is a diagram schematically showing the state of the raw material gas supply according to the present embodiment. (A) When the flow rate of the raw material gas is the first flow rate, (b) the flow rate of the raw material gas is the first flow rate. The cases where the second flow rate is larger than the flow rate are shown. FIG. 12 is a timing chart according to the gas supply of the present embodiment. With reference to these drawings, the substrate processing process according to the present embodiment will be described. FIG. 13 is a diagram showing a TMA gas supply sequence and processing conditions according to this embodiment in comparison with the conventional one.

本実施形態の基板処理工程では、図10に示すように、基板搬入工程S10、減圧・昇温工程S20、酸化アルミニウム膜形成工程S130、大気圧復帰工程S40、基板搬出工程S50を実施する。なお、酸化アルミニウム膜形成工程S130以外の工程は、第1の実施形態と同様の処理手順及び処理条件で行うので、ここでは詳細な説明を省略する。   In the substrate processing step of this embodiment, as shown in FIG. 10, a substrate carry-in step S10, a pressure reduction / temperature rise step S20, an aluminum oxide film formation step S130, an atmospheric pressure return step S40, and a substrate carry-out step S50 are performed. Since steps other than the aluminum oxide film forming step S130 are performed in the same processing procedure and processing conditions as those in the first embodiment, detailed description thereof is omitted here.

[酸化アルミニウム膜形成工程S130]
本実施形態では、以下に示す第1の原料ガス供給工程S131〜排気工程S136を1サイクルとして、このサイクルを所定回数実施することにより、ウエハ200上に所望の厚さの酸化アルミニウム膜500を形成する。なお、ここでは、減圧・昇温工程S20の完了時にはTMAタンク260からTMAガスが安定して生成され、オゾナイザ270からオゾンガスが安定して生成されているものとする。
[Aluminum oxide film forming step S130]
In the present embodiment, the first source gas supply step S131 to the exhaust step S136 shown below is set as one cycle, and the aluminum oxide film 500 having a desired thickness is formed on the wafer 200 by performing this cycle a predetermined number of times. To do. Here, it is assumed that TMA gas is stably generated from the TMA tank 260 and ozone gas is stably generated from the ozonizer 270 when the decompression / temperature raising step S20 is completed.

(第1の原料ガス供給工程S131)
第1の原料ガス供給工程S131では、第1の実施形態に係る原料ガス供給工程S31とほぼ同様の処理手順により処理室201内にTMAガスを供給する。このとき、例えば、図11(a)に示すように、主に処理室201内の下部側のウエハ200にTMAガスが供給されるよう、TMAガスの流速を比較的小さな第1の流速に調整する。具体的には、第1不活性ガス供給管240gから供給されるNガス(流量調整ガス)の流量を少なくして、TMAガスの流速を第1の流速に調整する。
(First source gas supply step S131)
In the first source gas supply step S131, the TMA gas is supplied into the processing chamber 201 by a processing procedure substantially similar to that of the source gas supply step S31 according to the first embodiment. At this time, for example, as shown in FIG. 11A, the flow rate of the TMA gas is adjusted to a relatively small first flow rate so that the TMA gas is mainly supplied to the lower wafer 200 in the processing chamber 201. To do. Specifically, the flow rate of the TMA gas is adjusted to the first flow rate by decreasing the flow rate of the N 2 gas (flow rate adjusting gas) supplied from the first inert gas supply pipe 240g.

原料ガスノズル233aから供給されたTMAガスは、原料ガス噴出口248aからガス排気口204aへと向かいつつ、主に処理室201内の下部側のウエハ200上で実質的に水平方向のガス流となる。ウエハ200を通過したTMAガスは、その後、排気管231から排気される。各ウエハ200に供給されたTMAガスは、各ウエハ200の表面、あるいはウエハ200上にすでに吸着しているTMA分子の吸着層等の表面と化学吸着(表面反応)を起こす。その結果、主に処理室201内の下部側のウエハ200上に、図7(b)に示すAl含有層500aが形成される。   The TMA gas supplied from the source gas nozzle 233a becomes a gas flow in a substantially horizontal direction mainly on the lower wafer 200 in the processing chamber 201 while moving from the source gas outlet 248a to the gas exhaust port 204a. . The TMA gas that has passed through the wafer 200 is then exhausted from the exhaust pipe 231. The TMA gas supplied to each wafer 200 causes chemical adsorption (surface reaction) with the surface of each wafer 200 or the surface of an adsorption layer of TMA molecules already adsorbed on the wafer 200. As a result, an Al-containing layer 500 a shown in FIG. 7B is formed mainly on the lower wafer 200 in the processing chamber 201.

(排気工程S132)
続いて、排気工程S132では、第1の実施形態に係る排気工程S32とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S132を行うことにより、図12(a)に示すように、第1の原料ガス供給工程S131で供給したTMAガスと、後述の第2の原料ガス供給工程S133で供給するTMAガスとが、互いに混合しないようにしている。
(Exhaust process S132)
Subsequently, in the evacuation step S132, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S32 according to the first embodiment. By performing the exhaust step S132, as shown in FIG. 12A, the TMA gas supplied in the first source gas supply step S131 and the TMA gas supplied in the second source gas supply step S133 described later are Try not to mix with each other.

(第2の原料ガス供給工程S133)
続いて、第1の実施形態に係る原料ガス供給工程S31とほぼ同様の処理手順により処理室201内にTMAガスを供給する。このとき、例えば、図11(b)に示すように、主に処理室201内の下部側のウエハ200にTMAガスが供給されるよう、TMAガスの流速を第1の流速よりも大きな第2の流速に調整する。具体的には、第1不活性ガス供給管240gから供給されるNガスの流量(流量調整ガス)を多くして、TMAガスの流量を工程S131から変更することなく、TMAガスの流速を第2の流量に切り替える。
(Second source gas supply step S133)
Subsequently, TMA gas is supplied into the processing chamber 201 by a processing procedure substantially similar to that of the source gas supply step S31 according to the first embodiment. At this time, for example, as shown in FIG. 11B, the second flow rate of the TMA gas is larger than the first flow rate so that the TMA gas is mainly supplied to the lower wafer 200 in the processing chamber 201. Adjust to the flow rate of. Specifically, the flow rate of TMA gas is increased without changing the flow rate of TMA gas from step S131 by increasing the flow rate (flow rate adjusting gas) of N 2 gas supplied from the first inert gas supply pipe 240g. Switch to the second flow rate.

原料ガスノズル233aから供給されたTMAガスは、原料ガス噴出口248aからガス排気口204aへと向かいつつ、主に処理室201内の上部のウエハ200上で実質的に水平方向のガス流となる。ウエハ200を通過したTMAガスは、その後、排気管231から排気される。各ウエハ200に供給されたTMAガスは、各ウエハ200の表面、あるいはウエハ200上にすでに吸着しているTMA分子の吸着層等の表面と化学吸着(表面反応)を起こす。その結果、主に処理室201内の上部側のウエハ200上に、図7(b)に示すAl含有層500aが形成される。この際、TMAガスの流量は工程S131と工程S133とで変更されていないため、ウエハ200へのTMAガスの供給量は、工程S131と工程S133とで均等となる。そのため、工程S131及び工程S133の処理時間を揃えることで、ウエハ200上に形成されるAl含有層500aの厚さを、ウエハ200間で容易に均一化することができる。   The TMA gas supplied from the source gas nozzle 233a becomes a gas flow in a substantially horizontal direction mainly on the upper wafer 200 in the processing chamber 201 while moving from the source gas outlet 248a to the gas exhaust port 204a. The TMA gas that has passed through the wafer 200 is then exhausted from the exhaust pipe 231. The TMA gas supplied to each wafer 200 causes chemical adsorption (surface reaction) with the surface of each wafer 200 or the surface of an adsorption layer of TMA molecules already adsorbed on the wafer 200. As a result, an Al-containing layer 500 a shown in FIG. 7B is formed mainly on the upper wafer 200 in the processing chamber 201. At this time, since the flow rate of the TMA gas is not changed in the steps S131 and S133, the supply amount of the TMA gas to the wafer 200 is equal in the steps S131 and S133. Therefore, the thickness of the Al-containing layer 500a formed on the wafers 200 can be easily made uniform between the wafers 200 by aligning the processing times of the process S131 and the process S133.

(排気工程S134)
続いて、排気工程S134では、第1の実施形態に係る排気工程S32とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S134を行うことにより、図11(a)に示すように、第2の原料ガス供給工程S133で供給したTMAガスと、後述の反応ガス供給工程S135で供給するオゾンガスとが、互いに混合しないようにしている。
(Exhaust process S134)
Subsequently, in the evacuation step S134, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S32 according to the first embodiment. By performing the exhaust process S134, as shown in FIG. 11A, the TMA gas supplied in the second source gas supply process S133 and the ozone gas supplied in the reaction gas supply process S135 described later do not mix with each other. I am doing so.

(反応ガス供給工程S135)
続いて、反応ガス供給工程S135では、第1の実施形態に係る反応ガス供給工程S33とほぼ同様の処理手順及び処理条件により処理室201内にオゾンガスを供給する。反応ガスノズル233bから処理室201内に供給されたオゾンガスは、図5に示す原料ガスと同様に反応ガス噴出口248bからガス排気口204aへと向かう水平方向のガス流10となり、排気管231から排気される。その際、積層された各ウエハ200にオゾンガスが供給され、オゾンガスは、各ウエハ200上のAl含有層500aを酸化させ、酸化アルミニウム層500bが形成される(図7(c))。なお、反応ガスノズル233bは、上述のように多孔式のロングノズルとして構成されているため、ウエハ200へのオゾンガスの供給量はウエハ200間で均等となる。
(Reactive gas supply step S135)
Subsequently, in the reactive gas supply step S135, ozone gas is supplied into the processing chamber 201 by substantially the same processing procedure and processing conditions as the reactive gas supply step S33 according to the first embodiment. The ozone gas supplied from the reaction gas nozzle 233b into the process chamber 201 becomes a horizontal gas flow 10 from the reaction gas outlet 248b to the gas exhaust port 204a, as in the case of the source gas shown in FIG. Is done. At that time, ozone gas is supplied to each laminated wafer 200, and the ozone gas oxidizes the Al-containing layer 500a on each wafer 200 to form an aluminum oxide layer 500b (FIG. 7C). Since the reactive gas nozzle 233b is configured as a porous long nozzle as described above, the supply amount of ozone gas to the wafers 200 is uniform among the wafers 200.

(排気工程S136)
続いて、排気工程S136では、第1の実施形態に係る排気工程S34と同様の処理手順及び処理条件により処理室201内をパージする。排気工程S136を行うことにより、図11(a)に示すように反応ガス供給工程S135で供給されたオゾンガスと、次のサイクルの第1の原料ガス供給工程S131で供給されるTMAガスとが、互いに混合しないようにしている。
(Exhaust process S136)
Subsequently, in the exhaust process S136, the inside of the processing chamber 201 is purged by the same processing procedure and processing conditions as in the exhaust process S34 according to the first embodiment. By performing the exhaust process S136, the ozone gas supplied in the reactive gas supply process S135 and the TMA gas supplied in the first source gas supply process S131 of the next cycle as shown in FIG. Try not to mix with each other.

以後、第1の原料ガス供給工程S131〜排気工程S136を1サイクルとして、このサイクルを所定回数実施することによりウエハ200上に所望の厚さの酸化アルミニウム膜500(図7(d))を形成する。なお、各工程の処理条件としては、例えば以下に示すような条件とすることができる。   Thereafter, the first source gas supply step S131 to the exhaust step S136 are set as one cycle, and this cycle is performed a predetermined number of times to form an aluminum oxide film 500 (FIG. 7D) having a desired thickness on the wafer 200. To do. In addition, as processing conditions of each process, it can be set as the conditions as shown below, for example.

<第1の原料ガス供給工程S131の処理条件>
処理圧力:20〜100Pa、好ましくは50Pa、
キャリアガス(Arガス)の流量:0.1〜2slm、好ましくは0.5slm、
流量調整ガス(Nガス)の流量:1〜5slm、好ましくは3slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:2〜10秒、好ましくは5秒
<Processing conditions of 1st source gas supply process S131>
Processing pressure: 20 to 100 Pa, preferably 50 Pa,
Carrier gas (Ar gas) flow rate: 0.1-2 slm, preferably 0.5 slm,
Flow rate of flow rate adjusting gas (N 2 gas): 1 to 5 slm, preferably 3 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 2 to 10 seconds, preferably 5 seconds

<第2の原料ガス供給工程S133の処理条件>
処理圧力:50〜200Pa、好ましくは120Pa、
キャリアガス(Arガス)の流量:0.1〜2slm、好ましくは0.5slm、
流量調整ガス(Nガス)の流量:10〜30slm、好ましくは20slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:2〜10秒、好ましくは5秒
<Processing conditions of second source gas supply step S133>
Processing pressure: 50 to 200 Pa, preferably 120 Pa,
Carrier gas (Ar gas) flow rate: 0.1-2 slm, preferably 0.5 slm,
Flow rate of flow rate adjusting gas (N 2 gas): 10-30 slm, preferably 20 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 2 to 10 seconds, preferably 5 seconds

<反応ガス供給工程S135の処理条件>
処理圧力:50〜200Pa、好ましくは70Pa、
反応ガス(オゾンガス)の流量:3〜20slm、好ましくは6slm、
希釈ガス(Nガス)の流量:0〜2slm、好ましくは0.5slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:10〜60秒、好ましくは20秒
<Processing conditions of reaction gas supply process S135>
Processing pressure: 50 to 200 Pa, preferably 70 Pa,
Reaction gas (ozone gas) flow rate: 3 to 20 slm, preferably 6 slm,
Flow rate of dilution gas (N 2 gas): 0 to 2 slm, preferably 0.5 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 10 to 60 seconds, preferably 20 seconds

<排気工程S132,S134,S136の処理条件>
処理圧力:50〜200Pa、好ましくは100Pa、
流量調整ガス(Nガス)の流量(第1不活性ガス供給管):1〜10slm、好ましくは5slm、
流量調整ガス(Nガス)の流量(第2不活性ガス供給管):1〜10slm、好ましくは5slm、
処理温度:450〜650℃、好ましくは550℃、
実施時間:5〜60秒、好ましくは10秒
<Processing conditions of exhaust steps S132, S134, S136>
Processing pressure: 50 to 200 Pa, preferably 100 Pa,
The flow rate of the flow rate adjusting gas (N 2 gas) (first inert gas supply pipe): 1 to 10 slm, preferably 5 slm,
The flow rate of the flow rate adjusting gas (N 2 gas) (second inert gas supply pipe): 1 to 10 slm, preferably 5 slm,
Process temperature: 450-650 degreeC, Preferably it is 550 degreeC,
Implementation time: 5 to 60 seconds, preferably 10 seconds

なお、上述の実施形態では、第1の流速でTMAガスを供給した後、第1の流速よりも大きい第2の流速でTMAガスを供給しているが、本実施形態はこのような場合に限定されない。例えば、図12(b)に示すように、第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給した後、第2の流速よりも小さな第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給するようにしてもよい。   In the above-described embodiment, after the TMA gas is supplied at the first flow rate, the TMA gas is supplied at a second flow rate that is larger than the first flow rate. It is not limited. For example, as shown in FIG. 12B, after the TMA gas is mainly supplied to the upper wafer 200 in the processing chamber 201 at the second flow rate, the main flow rate at the first flow rate smaller than the second flow rate is obtained. Alternatively, TMA gas may be supplied to the lower wafer 200 in the processing chamber 201.

また、上述の実施形態では、TMAガスの流速を第1の流速、第2の流速の2段階で切り替えながら処理室201内の2つの領域にTMAガスを順次供給しているが、本実施形態はこのような場合に限定されない。例えば、TMAガスの流速を3段階以上で切り替えながら、処理室201内の3つ以上の領域にTMAガスを順次供給するようにしてもよい。流速の段階を増やすことで、1サイクル全体で見たときのTMAガス供給量をウエハ200間でさらに均一化することができる。   In the above-described embodiment, the TMA gas is sequentially supplied to the two regions in the processing chamber 201 while switching the flow rate of the TMA gas at two stages of the first flow rate and the second flow rate. Is not limited to such a case. For example, the TMA gas may be sequentially supplied to three or more regions in the processing chamber 201 while switching the flow rate of the TMA gas at three or more stages. By increasing the flow rate step, the TMA gas supply amount as seen in one cycle can be made more uniform between the wafers 200.

(3)本実施形態に係る効果
本実施形態によれば、第1の実施形態に係る効果に加え、以下に示す1つ又は複数の効果を奏する。
(3) Effects according to this embodiment According to this embodiment, in addition to the effects according to the first embodiment, the following one or more effects are achieved.

(a)本実施形態によれば、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを局所的に供給する第1の原料ガス供給工程S131と、第1の流速より大きな第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを局所的に供給する第2の原料ガス供給工程S133とを、サイクル毎に順次実施するようにしている。すなわち、1回の原料ガス供給工程で全てのウエハ200に対しTMAガスを均等に供給しようとするのではなく、1回の原料ガス供給工程では意図的に一部のウエハ200にのみTMAガスが局所的に供給されるようにしつつ、その後、流速を異ならせることでTMAガスが主に供給される場所を切り替えるようにしながら、サイクル毎に複数の原料ガス供給工程を順次実施するようにしている。これにより、原料ガスノズル233aをショートノズルとして構成したとしても、1サイクル全体で見たときのTMAガスの供給量をウエハ200間で均一化させることができる。そして、ウエハ200間での酸化アルミニウム膜500の膜厚均一性を向上させることができる。   (A) According to the present embodiment, the first source gas supply step S131 for locally supplying the TMA gas to the lower wafer 200 in the processing chamber 201 mainly at the first flow rate, and the first flow rate. A second source gas supply step S133 for locally supplying TMA gas to the upper wafer 200 in the processing chamber 201 at a larger second flow rate is sequentially performed for each cycle. That is, instead of trying to supply the TMA gas evenly to all the wafers 200 in one source gas supply process, the TMA gas is intentionally applied only to some of the wafers 200 in one source gas supply process. A plurality of raw material gas supply steps are sequentially performed for each cycle while switching the place where the TMA gas is mainly supplied by changing the flow velocity while locally supplying it. . Thereby, even if the source gas nozzle 233a is configured as a short nozzle, the supply amount of the TMA gas can be made uniform between the wafers 200 when viewed in one cycle. Then, the film thickness uniformity of the aluminum oxide film 500 between the wafers 200 can be improved.

(b)本実施形態によれば、第1の原料ガス供給工程S131と第2の原料ガス供給工程S133とでTMAガス及びArガスの流量をそれぞれ一定としつつ、流量調整ガスとしてのNガスの流量のみを異ならせることで、TMAガスの流速を変化させている。これにより、TMAガスの流速の切り替えに係る制御が簡略化される。 (B) According to the present embodiment, the N 2 gas as the flow rate adjusting gas is maintained while the flow rates of the TMA gas and the Ar gas are constant in the first source gas supply step S131 and the second source gas supply step S133, respectively. The flow rate of TMA gas is changed by changing only the flow rate of. This simplifies the control related to switching the flow rate of the TMA gas.

(c)また、本実施形態によれば、TMAガス及びArガスの流量をそれぞれ一定としつつ、TMAガス流量調整ガスとしてのNガスの流量を異ならせることのみで、TMAガスの流速を変化させている。すなわち、各ウエハ200へのTMAガスの供給量は、第1の原料ガス供給工程S131と第2の原料ガス供給工程S133とで均等となる。そのため、第1の原料ガス供給工程S131及び第2の原料ガス供給工程S133の処理時間や実施回数をそれぞれ揃えることで、ウエハ200上に形成されるAl含有層500aの厚さ、すなわち、酸化アルミニウム膜500の厚さを、ウエハ200間で容易に均一化することができる。 (C) Further, according to this embodiment, the flow rate of the TMA gas is changed only by changing the flow rate of the N 2 gas as the TMA gas flow rate adjusting gas while keeping the flow rates of the TMA gas and the Ar gas constant. I am letting. That is, the supply amount of TMA gas to each wafer 200 is equal in the first source gas supply step S131 and the second source gas supply step S133. Therefore, the thickness of the Al-containing layer 500a formed on the wafer 200, that is, the aluminum oxide, is adjusted by aligning the processing time and the number of execution times of the first source gas supply step S131 and the second source gas supply step S133. The thickness of the film 500 can be easily made uniform between the wafers 200.

<本発明の第3の実施形態>
次に、本発明の第3の実施形態について説明する。第3の実施形態は、第1の流速での処理室201内へのTMAガスの供給処理を実施した後、第2の流量での処理室201内へのTMAガスの供給処理を実施する前に、処理室201内へのオゾンガスの供給処理を行うようにした点が第2の実施形態とは異なる。すなわち、本実施形態では、異なる流速で処理室201内にTMAガスを供給する毎に、処理室201内にオゾンガスを供給してウエハ200上のAl含有層500aを酸化する。本実施形態は、反応ガスが熱分解し易い性質を有する場合には、特に有効である。すなわち、反応ガスノズル233bを、原料ガスノズル233aと同様にショートノズルとして構成した場合に特に有効である。なお、以下では、第1,第2の実施形態と重複する内容を適宜省略しながら説明する。
<Third Embodiment of the Present Invention>
Next, a third embodiment of the present invention will be described. In the third embodiment, after the supply process of TMA gas into the process chamber 201 at the first flow rate is performed, before the supply process of TMA gas into the process chamber 201 at the second flow rate is performed. Further, the point that the supply process of ozone gas into the processing chamber 201 is performed is different from the second embodiment. That is, in this embodiment, every time TMA gas is supplied into the processing chamber 201 at different flow rates, ozone gas is supplied into the processing chamber 201 to oxidize the Al-containing layer 500a on the wafer 200. This embodiment is particularly effective when the reaction gas has the property of being easily pyrolyzed. That is, it is particularly effective when the reaction gas nozzle 233b is configured as a short nozzle in the same manner as the source gas nozzle 233a. In addition, below, it demonstrates, omitting suitably the content which overlaps with 1st, 2nd embodiment.

(1)処理炉の構成
本実施形態においては、制御部としてのコントローラ280は、第1の流速で主に処理室201内の下部側のウエハ200へのTMAガスの供給処理と、処理室201内へのオゾンガスの供給処理と、第1の流速よりも大きい第2の流速で主に処理室201内の上部側のウエハ200へのTMAガスの供給処理と、処理室201内へのオゾンガスの供給処理と、を1サイクルとして、このサイクルを所定回数実施させるように構成されている。なお、TMAガスの流速の切り替えは、第2の実施形態とほぼ同様の手法で行うことができる。
(1) Configuration of Processing Furnace In the present embodiment, the controller 280 as the control unit mainly supplies TMA gas to the lower wafer 200 in the processing chamber 201 at the first flow rate, and the processing chamber 201. The supply process of ozone gas into the inside, the supply process of TMA gas to the upper wafer 200 in the process chamber 201 mainly at the second flow rate larger than the first flow rate, and the ozone gas into the process chamber 201 The supply process is defined as one cycle, and this cycle is performed a predetermined number of times. Note that switching of the flow rate of the TMA gas can be performed by a method almost similar to that of the second embodiment.

(2)基板処理工程
次に、本実施形態に係る基板処理工程について説明する。図14は、本実施形態に係る基板処理工程のフローチャート図である。図15は、本実施形態のガス供給に係るタイミングチャート図である。これらの図面を参照しつつ、本実施形態に係る基板処理工程について説明する。
(2) Substrate Processing Step Next, the substrate processing step according to the present embodiment will be described. FIG. 14 is a flowchart of the substrate processing process according to this embodiment. FIG. 15 is a timing chart according to the gas supply of the present embodiment. With reference to these drawings, the substrate processing process according to the present embodiment will be described.

本実施形態の基板処理工程では、図14に示すように、基板搬入工程S10、減圧・昇温工程S20、酸化アルミニウム膜形成工程S230、大気圧復帰工程S40、基板搬出工程S50を実施する。なお、酸化アルミニウム膜形成工程S230以外の工程は、第1,第2の実施形態と同様の処理手順及び処理条件で行うので、ここでは詳細な説明を省略する。   In the substrate processing step of this embodiment, as shown in FIG. 14, a substrate carry-in step S10, a pressure reduction / temperature rise step S20, an aluminum oxide film formation step S230, an atmospheric pressure return step S40, and a substrate carry-out step S50 are performed. Since steps other than the aluminum oxide film formation step S230 are performed in the same processing procedure and processing conditions as those in the first and second embodiments, detailed description thereof is omitted here.

[酸化アルミニウム膜形成工程S230]
本実施形態では、以下に示す第1の原料ガス供給工程S231〜排気工程S238を1サイクルとして、このサイクルを所定回数実施することにより、ウエハ200上に所望の厚さの酸化アルミニウム膜500を形成する。
[Aluminum oxide film forming step S230]
In the present embodiment, a first source gas supply step S231 to an exhaust step S238 described below is set as one cycle, and this cycle is performed a predetermined number of times to form an aluminum oxide film 500 having a desired thickness on the wafer 200. To do.

(第1の原料ガス供給工程S231)
第1の原料ガス供給工程S231では、第2の実施形態の第1の原料ガス供給工程S131とほぼ同様の手順及び処理条件により、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給し(図11(a)を参照)、ウエハ200上に図7(b)に示すAl含有層500aを形成する。
(First source gas supply step S231)
In the first source gas supply step S231, the lower wafer in the processing chamber 201 is mainly used at the first flow rate according to substantially the same procedure and processing conditions as the first source gas supply step S131 of the second embodiment. 200 is supplied with TMA gas (see FIG. 11A), and an Al-containing layer 500a shown in FIG.

(排気工程S232)
続いて、排気工程S232では、第1の実施形態に係る排気工程S32とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S232を行うことにより、図15(a)に示すように、第1の原料ガス供給工程S231で供給したTMAガスと、後述の反応ガス供給工程S233で供給するオゾンガスとが、互いに混合しないようにしている。
(Exhaust process S232)
Subsequently, in the evacuation step S232, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S32 according to the first embodiment. By performing the exhaust process S232, as shown in FIG. 15A, the TMA gas supplied in the first source gas supply process S231 and the ozone gas supplied in the reaction gas supply process S233 described later do not mix with each other. I am doing so.

(反応ガス供給工程S233)
続いて、反応ガス供給工程S233では、第1の実施形態に係る反応ガス供給工程S33とほぼ同様の手順及び処理条件に基づき処理室201内にオゾンガスを供給し、ウエハ200上のAl含有層500aを酸化させ、ウエハ200上に図7(c)に示す酸化アルミニウム層500bを形成する。なお、反応ガスノズル233bを、原料ガスノズル233aと同様にショートノズルとして構成した場合には、第2不活性ガス供給管240hからのNガス(流量調整ガス)の流量やオゾンガスの流量を調整すること等によってオゾンガスの流速を調整し、主に処理室201内の下部側のウエハ200(第1の原料ガス供給工程S231でTMAガスが供給されたウエハ200)にオゾンガスが供給されるようにするとよい。
(Reactive gas supply step S233)
Subsequently, in the reactive gas supply step S233, ozone gas is supplied into the processing chamber 201 based on substantially the same procedure and processing conditions as the reactive gas supply step S33 according to the first embodiment, and the Al-containing layer 500a on the wafer 200 is supplied. The aluminum oxide layer 500b shown in FIG. 7C is formed on the wafer 200. When the reactive gas nozzle 233b is configured as a short nozzle like the source gas nozzle 233a, the flow rate of N 2 gas (flow rate adjusting gas) and the flow rate of ozone gas from the second inert gas supply pipe 240h are adjusted. The ozone gas flow rate may be adjusted by such as to supply ozone gas mainly to the lower wafer 200 in the processing chamber 201 (wafer 200 to which TMA gas has been supplied in the first source gas supply step S231). .

(排気工程S234)
続いて、排気工程S234では、第1の実施形態に係る排気工程S34とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S234を行うことにより、図15(a)に示すように、反応ガス供給工程S233で供給したオゾンガスと、後述の第1の原料ガス供給工程S231で供給するTMAガスとが、互いに混合しないようにしている。
(Exhaust process S234)
Subsequently, in the evacuation step S234, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S34 according to the first embodiment. By performing the exhaust process S234, as shown in FIG. 15A, the ozone gas supplied in the reaction gas supply process S233 and the TMA gas supplied in the first source gas supply process S231 described later do not mix with each other. I am doing so.

(第2の原料ガス供給工程S235)
続いて、第2の原料ガス供給工程S235では、第2の実施形態の第2の原料ガス供給工程S133とほぼ同様の手順及び処理条件により、第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給し(図11(b)を参照)、ウエハ200上に図7(b)に示すAl含有層500aを形成する。この際、TMAガスの流量は工程S231と工程S235とで変更されていないため、ウエハ200へのTMAガスの供給量は、工程S231と工程S235とで均等となる。そのため、工程S231及び工程S235の処理時間を揃えることで、ウエハ200上に形成されるAl含有層500aの厚さを、ウエハ200間で均一化することができる。
(Second source gas supply step S235)
Subsequently, in the second raw material gas supply step S235, the upper part of the processing chamber 201 is mainly formed at the second flow rate according to substantially the same procedure and processing conditions as the second raw material gas supply step S133 of the second embodiment. A TMA gas is supplied to the wafer 200 on the side (see FIG. 11B), and an Al-containing layer 500a shown in FIG. At this time, since the flow rate of the TMA gas is not changed between the step S231 and the step S235, the supply amount of the TMA gas to the wafer 200 is equal in the step S231 and the step S235. Therefore, the thickness of the Al-containing layer 500a formed on the wafers 200 can be made uniform between the wafers 200 by aligning the processing times of the process S231 and the process S235.

(排気工程S236)
続いて、排気工程S236では、第1の実施形態に係る排気工程S34とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S236を行うことにより、図15(a)に示すように、第2の原料ガス供給工程S235で供給したTMAガスと、後述の反応ガス供給工程S237で供給するオゾンガスとが、互いに混合しないようにしている。
(Exhaust process S236)
Subsequently, in the evacuation step S236, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S34 according to the first embodiment. By performing the exhaust process S236, as shown in FIG. 15A, the TMA gas supplied in the second source gas supply process S235 and the ozone gas supplied in the reaction gas supply process S237 described later do not mix with each other. I am doing so.

(反応ガス供給工程S237)
続いて、反応ガス供給工程S237では、第1の実施形態に係る反応ガス供給工程S33とほぼ同様の手順及び処理条件により処理室201内にオゾンガスを供給し、ウエハ200上のAl含有層500aを酸化させ、ウエハ200上に図7(c)に示す酸化アルミニウム層500bを形成する。なお、反応ガスノズル233bを、原料ガスノズル233aと同様にショートノズルとして構成した場合には、反応ガス供給工程S233と同様にオゾンガスの流速を調整し、主に処理室201内の上部側のウエハ200(第2の原料ガス供給工程S235でTMAガスが供給されたウエハ200)にオゾンガスが供給されるようにするとよい。
(Reactive gas supply step S237)
Subsequently, in the reactive gas supply step S237, ozone gas is supplied into the processing chamber 201 by substantially the same procedure and processing conditions as in the reactive gas supply step S33 according to the first embodiment, and the Al-containing layer 500a on the wafer 200 is formed. The aluminum oxide layer 500b shown in FIG. 7C is formed on the wafer 200 by oxidation. When the reaction gas nozzle 233b is configured as a short nozzle like the source gas nozzle 233a, the flow rate of ozone gas is adjusted in the same manner as in the reaction gas supply step S233, and the wafer 200 ( The ozone gas may be supplied to the wafer 200) to which the TMA gas has been supplied in the second source gas supply step S235.

(排気工程S238)
続いて、排気工程S238では、第1の実施形態に係る排気工程S34とほぼ同様の処理手順及び処理条件により処理室201内をパージする。排気工程S238を行うことにより、図15(a)に示すように、反応ガス供給工程S237で供給したオゾンガスと、次のサイクルの第1の原料ガス供給工程S231で供給するTMAガスとが、互いに混合しないようにしている。
(Exhaust process S238)
Subsequently, in the evacuation step S238, the inside of the processing chamber 201 is purged by the processing procedure and processing conditions substantially the same as those in the evacuation step S34 according to the first embodiment. By performing the exhaust process S238, as shown in FIG. 15A, the ozone gas supplied in the reactive gas supply process S237 and the TMA gas supplied in the first source gas supply process S231 of the next cycle are mutually connected. Try not to mix.

なお、上述の実施形態においても、第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給した後、第2の流速よりも小さな第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給するようにしてもよい。また、TMAガスの流速を3段階以上で切り替えながら、処理室201内の3つ以上の領域にTMAガスを順次供給するようにしてもよい。   In the embodiment described above, the TMA gas is mainly supplied to the upper wafer 200 in the processing chamber 201 at the second flow rate, and then the processing chamber is mainly used at the first flow rate smaller than the second flow rate. The TMA gas may be supplied to the lower wafer 200 in the 201. Alternatively, the TMA gas may be sequentially supplied to three or more regions in the processing chamber 201 while switching the flow rate of the TMA gas in three or more stages.

(3)本実施形態に係る効果
本実施形態によれば、第1,第2の実施形態に係る効果に加え、以下に示す効果を奏する。
(3) Effects according to this embodiment According to this embodiment, in addition to the effects according to the first and second embodiments, the following effects can be obtained.

本実施形態では、第1の原料ガス供給工程S231で主にボート217の下部側のウエハ200にTMAガスを供給した後、反応ガス供給工程S233で処理室201内にオゾンガスを供給し、その後、第2の原料ガス供給工程S235で主にボート217の上部側のウエハ200にTMAガスを供給するようにしている。これにより、特に第1の原料ガス供給工程S231においてTMAガスが供給される領域と、第2の原料ガス供給工程S235においてTMAガスが供給される領域との境界付近のウエハ200では、膜厚が厚くなる前にAl含有層500aは酸化されるので、酸化むらを抑え、酸化アルミニウム層500bにおける膜質のばらつきを抑えることができる。   In this embodiment, after supplying TMA gas mainly to the wafer 200 on the lower side of the boat 217 in the first source gas supply step S231, ozone gas is supplied into the processing chamber 201 in the reaction gas supply step S233, and then In the second source gas supply step S235, TMA gas is mainly supplied to the wafer 200 on the upper side of the boat 217. Thus, the film thickness is particularly large in the wafer 200 near the boundary between the region where the TMA gas is supplied in the first source gas supply step S231 and the region where the TMA gas is supplied in the second source gas supply step S235. Since the Al-containing layer 500a is oxidized before being thickened, uneven oxidation can be suppressed, and variations in film quality in the aluminum oxide layer 500b can be suppressed.

また、本実施形態は、反応ガスが熱分解し易い性質を有する場合には、特に有効である。すなわち、反応ガスの熱分解を避けるため、反応ガスノズル233bを原料ガスノズル233aと同様にショートノズルとして構成した場合でも、反応ガス供給工程S233及び反応ガス供給工程S237で反応ガスの流速を互いに異ならせることで、原料ガスと同様に、1サイクル全体で見たときの反応ガス供給量をウエハ200間で均一化させることができる。   In addition, this embodiment is particularly effective when the reaction gas has the property of being easily thermally decomposed. That is, in order to avoid thermal decomposition of the reaction gas, even when the reaction gas nozzle 233b is configured as a short nozzle like the source gas nozzle 233a, the flow rates of the reaction gas are different in the reaction gas supply step S233 and the reaction gas supply step S237. Thus, similarly to the raw material gas, the reaction gas supply amount when viewed in one cycle can be made uniform between the wafers 200.

<本発明の第4の実施形態>
上述の実施形態では、TMAガスの流量、Arガス(キャリアガス)をそれぞれ一定にしつつ、第1不活性ガス供給管240gから供給されるNガス(流速調整ガス)の流量を異ならせることにより、TMAガスの流速を第1の流速と第2の流速とで切り替えていた。しかしながら、本発明はこのような実施形態に限定されない。例えば、本実施形態のように、第1不活性ガス供給管240gから供給されるNガス(流速調整ガス)の流量を一定にしつつ(或いは供給することなく)、TMAガス自体の流量を変えることで、TMAガスの流速を第1の流速と第2の流速とで切り替えるようにしてもよい。例えば、処理室201内の下部側のウエハ200へTMAガスを供給する場合にはTMAガスの流量を少なくしてTMAガスの流速を小さく(第1の流速)し、処理室201内の上部側のウエハ200へTMAガスを供給する場合には、TMAガスの流量を多くしてTMAガスの流速を大きく(第2の流速)するとよい。
<Fourth Embodiment of the Present Invention>
In the above-described embodiment, the flow rate of N 2 gas (flow rate adjusting gas) supplied from the first inert gas supply pipe 240g is made different while keeping the flow rate of TMA gas and Ar gas (carrier gas) constant. The flow rate of TMA gas was switched between the first flow rate and the second flow rate. However, the present invention is not limited to such an embodiment. For example, as in the present embodiment, the flow rate of the TMA gas itself is changed while keeping the flow rate of the N 2 gas (flow rate adjusting gas) supplied from the first inert gas supply pipe 240g constant (or without supply). Thus, the flow rate of the TMA gas may be switched between the first flow rate and the second flow rate. For example, when the TMA gas is supplied to the lower wafer 200 in the processing chamber 201, the flow rate of the TMA gas is decreased to reduce the flow rate of the TMA gas (first flow rate), and the upper side in the processing chamber 201. When the TMA gas is supplied to the wafer 200, the flow rate of the TMA gas is increased to increase the flow rate of the TMA gas (second flow rate).

なお、TMAガス自体の流量を変えると、処理室201内へのTMAガスの供給量、すなわちウエハ200へのTMAガスの供給量も変化してしまう。そのため、TMAガス自体の流量を変えることでTMAガスの流速を変える場合には、1サイクル当りのTMAガスの供給時間や供給回数を調整するとよい。例えば、TMAガス自体の流量を増やすことでTMAガスの流速を大きくした場合には、TMAガスの供給時間や供給回数を減らすとよい。同様に、TMAガス自体の流量を減らすことでTMAガスの流速を小さくした場合には、TMAガスの供給時間や供給回数を増やすとよい。   Note that when the flow rate of the TMA gas itself is changed, the supply amount of the TMA gas into the processing chamber 201, that is, the supply amount of the TMA gas to the wafer 200 is also changed. Therefore, when the flow rate of the TMA gas itself is changed to change the flow rate of the TMA gas, the supply time and the number of times of supply of the TMA gas per cycle may be adjusted. For example, when the flow rate of the TMA gas is increased by increasing the flow rate of the TMA gas itself, the supply time and the number of times of supply of the TMA gas may be reduced. Similarly, when the flow rate of the TMA gas is reduced by reducing the flow rate of the TMA gas itself, the supply time and the number of times of supply of the TMA gas may be increased.

<本発明の第5の実施形態>
TMAガスの流速は、ガス噴射口の口径によっても調整できる。例えば、ガス噴射口の口径が広いと処理室201内に供給されるTMAガスの流速は遅くなり、ガス噴射口の口径が狭いと処理室201内に供給されるTMAガスの流速は速くなる。そこで、本実施形態では、ノズル収容部201a内におけるガス噴射口の高さ位置を揃えつつ、ウエハ200の収容予定領域よりも下方のノズル収容部201a内の所定位置に、ガス噴射口の口径が異なる複数の原料ガスノズルを備えるようにしている。ガス噴射口の口径が大きい原料ガスノズルは、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給するように構成されている。これに対して、ガス噴射口の口径が小さい原料ガスノズルは、第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給するように構成されている。
<Fifth Embodiment of the Present Invention>
The flow rate of TMA gas can also be adjusted by the diameter of the gas injection port. For example, when the diameter of the gas injection port is wide, the flow rate of TMA gas supplied into the processing chamber 201 is slow, and when the diameter of the gas injection port is narrow, the flow rate of TMA gas supplied into the processing chamber 201 is high. Therefore, in the present embodiment, the diameter of the gas injection port is set at a predetermined position in the nozzle accommodating portion 201a below the planned accommodation region of the wafer 200 while aligning the height positions of the gas injection ports in the nozzle accommodating portion 201a. A plurality of different source gas nozzles are provided. The raw material gas nozzle having a large diameter of the gas injection port is configured to supply the TMA gas mainly to the lower wafer 200 in the processing chamber 201 at the first flow rate. On the other hand, the raw material gas nozzle having a small diameter of the gas injection port is configured to supply the TMA gas mainly to the upper wafer 200 in the processing chamber 201 at the second flow rate.

本実施形態では、Nガス(流量調整ガス)やTMAガスの流量を変化させることなく、TMAガスの流速を調整することができる。なお、本実施形態に係る原料ガスノズルの上流端は、例えば、複数に分岐された原料ガス供給管240aの下流端にそれぞれ接続されるようにすればよい。その場合、原料ガスノズルに接続される原料ガス供給管240aの各分岐部分に、開閉バルブをそれぞれ設けるようにすればよい。また、原料ガスノズルの開閉バルブは、コントローラ280により開閉動作が制御されるようにすればよい。このような構成とすれば、原料ガス供給管240aから供給されるTMAガスの流速を、原料ガスノズルの開閉バルブの開閉動作のみで切り替えることが可能となる。これにより、TMAガスの流速に係る制御が簡略化される。 In this embodiment, the flow rate of TMA gas can be adjusted without changing the flow rate of N 2 gas (flow rate adjusting gas) or TMA gas. Note that the upstream end of the source gas nozzle according to the present embodiment may be connected to the downstream end of the source gas supply pipe 240a branched into a plurality of branches, for example. In that case, an open / close valve may be provided at each branch portion of the source gas supply pipe 240a connected to the source gas nozzle. Further, the opening / closing operation of the opening / closing valve of the source gas nozzle may be controlled by the controller 280. With such a configuration, the flow rate of the TMA gas supplied from the source gas supply pipe 240a can be switched only by the opening / closing operation of the opening / closing valve of the source gas nozzle. This simplifies the control related to the flow rate of the TMA gas.

<本発明の第6の実施形態>
TMAガスの流速は、原料ガスノズルの長さ(或いはノズル内壁の表面粗さ)によっても調整できる。TMAガスは原料ガスノズルの内壁と摩擦を起こしながらノズル内を流通するため、例えば、原料ガスノズルが長いと(或いはノズル内壁の表面粗さが大きいと)摩擦が大きく、処理室201内に供給されるTMAガスの流速は遅くなり、原料ガスノズルが短いと(或いはノズル内壁の表面粗さが小さいと)摩擦が小さく、処理室201内に供給されるTMAガスの流速は速くなる。そこで、本実施形態では、ノズル収容部201a内におけるガス噴射口の高さ位置を揃えつつ、ウエハ200の収容予定領域よりも下方のノズル収容部201a内の所定位置に、長さが異なる(或いはノズル内壁の表面粗さが異なる)複数の原料ガスノズルを備えるようにしている。長い原料ガスノズル(或いは内壁の表面粗さが大きいノズル)は、第1の流速で主に処理室201内の下部側のウエハ200にTMAガスを供給するように構成されている。これに対して、短い原料ガスノズル(或いは内壁の表面粗さが小さいノズル)は、第2の流速で主に処理室201内の上部側のウエハ200にTMAガスを供給するように構成されている。
<Sixth Embodiment of the Present Invention>
The flow rate of TMA gas can also be adjusted by the length of the source gas nozzle (or the surface roughness of the nozzle inner wall). Since the TMA gas flows through the nozzle while causing friction with the inner wall of the source gas nozzle, for example, if the source gas nozzle is long (or if the surface roughness of the nozzle inner wall is large), the friction is large and is supplied into the processing chamber 201. The flow rate of the TMA gas is slow, and if the raw material gas nozzle is short (or if the surface roughness of the nozzle inner wall is small), the friction is small and the flow rate of the TMA gas supplied into the processing chamber 201 is fast. Therefore, in the present embodiment, the lengths of the gas injection ports in the nozzle accommodating portion 201a are aligned to a predetermined position in the nozzle accommodating portion 201a below the region where the wafer 200 is to be accommodated (or the length is different) (or A plurality of source gas nozzles (with different surface roughness on the inner wall of the nozzle) are provided. A long source gas nozzle (or a nozzle with a large inner wall surface roughness) is configured to supply TMA gas mainly to the lower wafer 200 in the processing chamber 201 at a first flow rate. In contrast, a short source gas nozzle (or a nozzle with a small inner wall surface roughness) is configured to supply TMA gas mainly to the upper wafer 200 in the processing chamber 201 at the second flow rate. .

本実施形態においても、Nガス(流量調整ガス)やTMAガスの流量を変化させることなく、TMAガスの流速を調整することができる。なお、本実施形態に係る複数の原料ガスノズルの上流端は、例えば、複数に分岐された原料ガス供給管240aの下流端にそれぞれ接続されるようにすればよい。その場合、原料ガスノズルに接続される原料ガス供給管240aの各分岐部分に、開閉バルブをそれぞれ設けるようにすればよい。また、原料ガスノズルの開閉バルブは、コントローラ280により開閉動作が制御されるようにすればよい。このような構成とすれば、原料ガス供給管240aから供給されるTMAガスの流速を、原料ガスノズルの開閉バルブの開閉動作のみで切り替えることが可能となる。これにより、TMAガスの流速に係る制御が簡略化される。 Also in this embodiment, the flow rate of TMA gas can be adjusted without changing the flow rate of N 2 gas (flow rate adjusting gas) or TMA gas. Note that the upstream ends of the plurality of source gas nozzles according to the present embodiment may be connected to the downstream ends of the plurality of source gas supply pipes 240a branched, for example. In that case, an open / close valve may be provided at each branch portion of the source gas supply pipe 240a connected to the source gas nozzle. Further, the opening / closing operation of the opening / closing valve of the source gas nozzle may be controlled by the controller 280. With such a configuration, the flow rate of the TMA gas supplied from the source gas supply pipe 240a can be switched only by the opening / closing operation of the opening / closing valve of the source gas nozzle. This simplifies the control related to the flow rate of the TMA gas.

<本発明のその他の実施形態>
以上、本発明の実施形態を具体的に説明したが、本発明はこれらに限定されるものではなく、その趣旨を逸脱しない範囲で種々の変更が可能である。
<Other Embodiments of the Present Invention>
As mentioned above, although embodiment of this invention was described concretely, this invention is not limited to these, A various change is possible in the range which does not deviate from the meaning.

上述の実施形態では、処理室201がノズル収容部201aを備え、原料ガスノズル233a及び反応ガスノズル233bの垂直部の下流側がノズル収容部201a内に収容された構成となっていたが(図4を参照)、本発明はこのような実施形態に限定されない。図16は、本発明のその他の実施形態に係る基板処理装置が備えるプロセスチューブの横断面図であり、処理室201内にノズル収容部201aが設けられていない場合を示している。このように、原料ガスノズル233a及び反応ガスノズル233bはボート217(ウエハ200)の直近に配設された構成であってもよい。   In the above-described embodiment, the processing chamber 201 includes the nozzle accommodating portion 201a, and the downstream side of the vertical portions of the source gas nozzle 233a and the reaction gas nozzle 233b is accommodated in the nozzle accommodating portion 201a (see FIG. 4). ), The present invention is not limited to such an embodiment. FIG. 16 is a cross-sectional view of a process tube provided in a substrate processing apparatus according to another embodiment of the present invention, and shows a case where the nozzle accommodating portion 201 a is not provided in the processing chamber 201. As described above, the source gas nozzle 233a and the reactive gas nozzle 233b may be arranged in the immediate vicinity of the boat 217 (wafer 200).

上述の実施形態では液体原料として例えばアルミニウム原子を含有するTMAを用いたが、本発明はこのような形態に限定されない。すなわち、液体原料としてSi原子、Hf原子、Zr原子、Al原子、Ta原子、Ti原子、Ru原子、Ir原子、Ge原子、Sb原子、Te原子のいずれかを含む他の有機化合物あるいは塩化物を用いてもよい。また、第1の原料ガスとしてTMAを気化させたTMAガスを用いる場合に限定されず、Si原子、Hf原子、Zr原子、Al原子、Ta原子、Ti原子、Ru原子、Ir原子、Ge原子、Sb原子、Te原子のいずれかを含む有機化合物あるいは塩化物を気化或いは分解させた他のガスを用いてもよい。   In the above-described embodiment, TMA containing, for example, aluminum atoms is used as the liquid raw material, but the present invention is not limited to such a form. That is, other organic compounds or chlorides containing any of Si atom, Hf atom, Zr atom, Al atom, Ta atom, Ti atom, Ru atom, Ir atom, Ge atom, Sb atom, Te atom as a liquid raw material It may be used. Further, the present invention is not limited to the case where TMA gas obtained by vaporizing TMA is used as the first source gas, and Si atom, Hf atom, Zr atom, Al atom, Ta atom, Ti atom, Ru atom, Ir atom, Ge atom, Other gases obtained by vaporizing or decomposing organic compounds or chlorides containing either Sb atoms or Te atoms may be used.

上述の実施形態では、反応ガスとしてオゾンガスを用いて酸化膜を形成したが、これ以外にも、例えば、OガスやHOガス等の酸化剤を用いて酸化膜を形成するようにしてもよい。また、反応ガスとして、例えば、アンモニアガス、Nガス、NO、NOなどの窒化剤を用いて窒化膜を形成するようにしてもよい。 In the above embodiment, the oxide film is formed using ozone gas as the reaction gas. However, in addition to this, for example, the oxide film is formed using an oxidizing agent such as O 2 gas or H 2 O gas. Also good. In addition, a nitride film may be formed using a nitriding agent such as ammonia gas, N 2 gas, N 2 O, or NO 2 as the reactive gas.

上述の実施形態では、ウエハ200上に酸化アルミニウム膜を形成する場合について説明したが、その他、Hf酸化膜、Si酸化膜、AI酸化膜、Ta酸化膜、Ti酸化膜、Ru酸化膜、Ir酸化膜、Si窒化膜、AI窒化膜、Ti窒化膜、GeSbTe膜のいずれかを形成する場合にも本発明は好適に適用可能である。   In the above-described embodiment, the case where the aluminum oxide film is formed on the wafer 200 has been described. In addition, the Hf oxide film, the Si oxide film, the AI oxide film, the Ta oxide film, the Ti oxide film, the Ru oxide film, and the Ir oxide film are described. The present invention can also be suitably applied when forming any one of a film, a Si nitride film, an AI nitride film, a Ti nitride film, and a GeSbTe film.

以下に本発明の実施例について説明する。本実施例では、上述の第2の実施形態と同様の手法でウエハ上に酸化アルミニウム膜を形成した。図18は、本実施例と従来例とを対比させながら、ノズル内における分解物の堆積の有無と、酸化アルミニウム膜の膜特性とを示す図である。なお、これらの酸化アルミニウムの成膜に係るガス供給の条件は、図13にそれぞれ示されている。   Examples of the present invention will be described below. In this example, an aluminum oxide film was formed on the wafer by the same method as in the second embodiment. FIG. 18 is a diagram showing the presence / absence of deposition of decomposition products in the nozzle and the film characteristics of the aluminum oxide film while comparing this example with the conventional example. Note that the gas supply conditions relating to the film formation of these aluminum oxides are shown in FIG.

本実施例の第1の原料ガス供給工程では、図13に示すように、キャリアガスとしてのArガスの流量を0.5slmとし、流量調整ガスとしてのNガスの流量を3slmとした。第2の原料ガス供給工程では、図13に示すように、キャリアガスとしてのArガスの流量を0.5slmとし、流量調整ガスとしてのNガスの流量を20slmとした。 In the first source gas supply process of the present embodiment, as shown in FIG. 13, the flow rate of Ar gas as a carrier gas was 0.5 slm, and the flow rate of N 2 gas as a flow adjustment gas was 3 slm. In the second source gas supply step, as shown in FIG. 13, the flow rate of Ar gas as a carrier gas was set to 0.5 slm, and the flow rate of N 2 gas as a flow rate adjusting gas was set to 20 slm.

これに対して従来例では、図13に示すように、キャリアガスとしてのArガスの流量を0.5slmとし、Nガスの流量を15slmとした。 On the other hand, in the conventional example, as shown in FIG. 13, the flow rate of Ar gas as a carrier gas is 0.5 slm, and the flow rate of N 2 gas is 15 slm.

図18では、酸化アルミニウム膜の膜厚、膜厚の面内均一性、膜厚のウエハ間均一性、及び膜中の不純物の量の膜特性、並びに原料ガスノズル内における分解物の堆積の有無のが、実施例と従来例とを対比して示されている。   In FIG. 18, the film thickness of the aluminum oxide film, the in-plane uniformity of the film thickness, the film-to-wafer uniformity, the film characteristics of the amount of impurities in the film, and the presence or absence of deposition of decomposition products in the source gas nozzle However, the embodiment and the conventional example are shown in comparison.

処理温度を380℃から550℃に上げて成膜を行った場合、実施例では、原料ガスノズル内にTMAガスの分解物は堆積しなかった。これに対して、従来例では、原料ガスノズル内にTMAガスの分解物が堆積していた。   When the film was formed by increasing the processing temperature from 380 ° C. to 550 ° C., in the example, the decomposition product of TMA gas was not deposited in the raw material gas nozzle. On the other hand, in the conventional example, a decomposition product of TMA gas was deposited in the raw material gas nozzle.

また、実施例では、550℃で成膜を行った場合でも、ウエハ間の膜厚均一性は±1.1%となった。これに対して従来例では、処理温度を380℃から550℃に上げて成膜を行った場合、ウエハ面間の膜厚均一性は、±1%であったのが±4.1%に低下し、ウエハ間における膜厚のばらつきが大きくなった。したがって、実施例では、処理温度を550℃に上昇させたにも関わらず、ウエハ面間の膜厚均一性は380℃で行った従来例とほぼ同等であった。   In the example, even when the film was formed at 550 ° C., the film thickness uniformity between the wafers was ± 1.1%. On the other hand, in the conventional example, when film formation is performed by increasing the processing temperature from 380 ° C. to 550 ° C., the film thickness uniformity between the wafer surfaces is ± 1%, but is ± 4.1%. The film thickness variation between wafers increased. Therefore, in the example, although the processing temperature was increased to 550 ° C., the film thickness uniformity between the wafer surfaces was almost the same as the conventional example performed at 380 ° C.

また、実施例ではウエハ面に付着する異物の数が59個であった。これに対して従来例では、処理温度を380℃から550℃に上げて成膜を行った場合、ウエハ面に付着する異物の数が30個であったのが最大で500個まで増大した。したがって、処理温度を上昇させたにも関わらず、ウエハ面に付着する異物の数の増加が抑えられた。   In the example, 59 foreign substances adhered to the wafer surface. On the other hand, in the conventional example, when the film was formed by raising the processing temperature from 380 ° C. to 550 ° C., the number of foreign matters adhering to the wafer surface was 30, but increased to 500 at the maximum. Therefore, despite an increase in the processing temperature, an increase in the number of foreign matters adhering to the wafer surface was suppressed.

<本発明の好ましい態様>
以下に本発明の望ましい態様について付記する。
<Preferred embodiment of the present invention>
Hereinafter, desirable aspects of the present invention will be additionally described.

[付記1]
本発明の第1の態様は、
複数枚の基板を水平姿勢に積層した状態で収容する処理室と、
前記処理室の外側に設けられ、前記処理室内を加熱する加熱ユニットと、
原料ガスを供給する原料ガス供給ユニットと、
前記原料ガス供給ユニットと接続され、前記原料ガス供給ユニットから供給される前記原料ガスを前記処理室内に供給する原料ガスノズルと、
前記処理室内を実質的に水平方向に排気する排気ユニットと、
少なくとも前記加熱ユニット、前記原料ガス供給ユニット、前記排気ユニットを制御する制御部と、
を有し、
前記原料ガスノズルは、前記処理室内の温度が前記原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設されている基板処理装置である。
[Appendix 1]
The first aspect of the present invention is:
A processing chamber for accommodating a plurality of substrates stacked in a horizontal position;
A heating unit that is provided outside the processing chamber and heats the processing chamber;
A source gas supply unit for supplying source gas;
A source gas nozzle connected to the source gas supply unit and supplying the source gas supplied from the source gas supply unit into the processing chamber;
An exhaust unit for exhausting the processing chamber in a substantially horizontal direction;
A control unit that controls at least the heating unit, the source gas supply unit, and the exhaust unit;
Have
The source gas nozzle is disposed at a predetermined position in the processing chamber so that the source gas is not decomposed therein even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the source gas. Device.

[付記2]
本発明の第2の態様は、
複数枚の基板を水平姿勢に積層した状態で収容する処理室と、
前記処理室の外側に設けられ、前記処理室内を加熱する加熱ユニットと、
原料ガスを供給する原料ガス供給ユニットと、
前記原料ガス供給ユニットと接続され、前記原料ガス供給ユニットから供給される前記原料ガスを前記処理室内に供給する原料ガスノズルと、
前記処理室内を実質的に水平方向に排気する排気ユニットと、
少なくとも前記加熱ユニット、前記原料ガス供給ユニット、前記排気ユニットを制御する制御部と、
を有し、
前記原料ガスノズルは、前記処理室内の温度が前記原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設され、
前記制御部は、異なる流速で互いに混合させないよう前記処理室内に前記原料ガスを供給する処理を含むサイクルを所定回数実施させるように構成されている基板処理装置である。
[Appendix 2]
The second aspect of the present invention is:
A processing chamber for accommodating a plurality of substrates stacked in a horizontal position;
A heating unit that is provided outside the processing chamber and heats the processing chamber;
A source gas supply unit for supplying source gas;
A source gas nozzle connected to the source gas supply unit and supplying the source gas supplied from the source gas supply unit into the processing chamber;
An exhaust unit for exhausting the processing chamber in a substantially horizontal direction;
A control unit that controls at least the heating unit, the source gas supply unit, and the exhaust unit;
Have
The raw material gas nozzle is disposed at a predetermined position in the processing chamber so that the raw material gas is not decomposed therein even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the raw material gas.
The control unit is a substrate processing apparatus configured to perform a predetermined number of cycles including a process of supplying the source gas into the processing chamber so as not to mix with each other at different flow rates.

[付記3]
好ましくは、
前記原料ガスと反応する反応ガスを供給する反応ガス供給ユニットと、
前記反応ガス供給ユニットと接続され、前記基板の積層方向に沿って前記処理室内に配設され、前記反応ガス供給ユニットから供給される前記反応ガスを前記処理室内に供給する反応ガスノズルと、
を有し、
前記制御部は、第1の流速での前記処理室内への前記原料ガスの供給処理と、前記第1の流速とは異なる第2の流速での前記処理室内への前記原料ガスの供給処理と、前記処理室内への前記反応ガスの供給処理と、を1サイクルとして、このサイクルを所定回数実施させるように構成されている。
[Appendix 3]
Preferably,
A reaction gas supply unit for supplying a reaction gas that reacts with the source gas;
A reaction gas nozzle connected to the reaction gas supply unit, disposed in the processing chamber along the stacking direction of the substrates, and supplying the reaction gas supplied from the reaction gas supply unit into the processing chamber;
Have
The control unit is configured to supply the raw material gas into the processing chamber at a first flow rate, and supply the raw material gas into the processing chamber at a second flow rate different from the first flow rate. The reaction gas supply process into the processing chamber is defined as one cycle, and this cycle is performed a predetermined number of times.

[付記4]
本発明の第3の態様は、
複数枚の基板を水平姿勢に積層した状態で収容する処理室と、
前記処理室の外側に設けられ、前記処理室内を加熱する加熱ユニットと、
原料ガスを供給する原料ガス供給ユニットと、
前記原料ガス供給ユニットと接続され、前記原料ガス供給ユニットから供給される前記原料ガスを前記処理室内に供給する原料ガスノズルと、
前記処理室内を実質的に水平方向に排気する排気ユニットと、
少なくとも前記加熱ユニット、前記原料ガス供給ユニット、前記排気ユニットを制御する制御部と、
を有し、
前記原料ガスノズルは、前記処理室内の温度が前記原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室の下部の所定位置に配設されるとともに、前記処理室の上部に向けて前記原料ガスを供給するように原料ガス噴出口が開設され、
前記制御部は、第1の流速での前記処理室の下部側に収容される前記基板への前記原料ガスの供給処理と、前記第1の流速よりも大きい第2の流速での前記処理室の上部側に収容されるそれ以外の前記基板への前記原料ガスの供給処理と、を含むサイクルを所定回数実施させるように構成されている基板処理装置である。
[Appendix 4]
The third aspect of the present invention is:
A processing chamber for accommodating a plurality of substrates stacked in a horizontal position;
A heating unit that is provided outside the processing chamber and heats the processing chamber;
A source gas supply unit for supplying source gas;
A source gas nozzle connected to the source gas supply unit and supplying the source gas supplied from the source gas supply unit into the processing chamber;
An exhaust unit for exhausting the processing chamber in a substantially horizontal direction;
A control unit that controls at least the heating unit, the source gas supply unit, and the exhaust unit;
Have
The raw material gas nozzle is disposed at a predetermined position below the processing chamber so that the raw material gas is not decomposed inside even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the raw material gas. , A source gas outlet is established to supply the source gas toward the upper part of the processing chamber,
The control unit is configured to supply the source gas to the substrate accommodated on the lower side of the processing chamber at a first flow rate, and to process the chamber at a second flow rate higher than the first flow rate. The substrate processing apparatus is configured to perform a predetermined number of cycles including the process of supplying the source gas to the other substrates accommodated on the upper side of the substrate.

[付記5]
本発明の第4の態様は、
複数枚の基板を水平姿勢に積層した状態で収容する処理室を内部空間に構成するインナチューブと、
前記インナチューブを取り囲むアウタチューブと、
前記アウタチューブの外側に設けられ、前記処理室内を加熱する加熱ユニットと、
原料ガスを供給する原料ガス供給ユニットと、
前記原料ガス供給ユニットと接続され、前記原料ガス供給ユニットから供給される前記原料ガスを原料ガス供給口を介して前記処理室内に供給する原料ガスノズルと、
前記インナチューブの側壁に開設されたガス排気口と、
前記アウタチューブと前記インナチューブとに挟まれる空間を排気して、前記原料ガス噴出口から前記ガス排気口へと向かう実質的に水平方向のガス流を生成させながら前記処理室内を排気する排気ユニットと、
少なくとも前記加熱ユニット、前記原料ガス供給ユニット、前記排気ユニットを制御する制御部と、
を有し、
前記原料ガスノズルは、前記処理室内の温度が前記原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室の下部の所定位置に配設されるとともに、前記処理室の上部に向けて前記原料ガスを供給するように前記原料ガス噴出口が開設され、
前記制御部は、第1の流速での前記処理室の下部側に収容される前記基板への前記原料ガスの供給処理と、前記第1の流速よりも大きい第2の流速での前記処理室の上部側に収容されるそれ以外の前記基板への前記原料ガスの供給処理と、を含むサイクルを所定回数実施させるように構成されている基板処理装置である。
[Appendix 5]
The fourth aspect of the present invention is:
An inner tube configured in the internal space of a processing chamber that accommodates a plurality of substrates stacked in a horizontal posture; and
An outer tube surrounding the inner tube;
A heating unit that is provided outside the outer tube and heats the processing chamber;
A source gas supply unit for supplying source gas;
A source gas nozzle connected to the source gas supply unit and supplying the source gas supplied from the source gas supply unit into the processing chamber via a source gas supply port;
A gas exhaust port established in the side wall of the inner tube;
An exhaust unit that exhausts the processing chamber while exhausting a space between the outer tube and the inner tube to generate a substantially horizontal gas flow from the source gas outlet to the gas outlet. When,
A control unit that controls at least the heating unit, the source gas supply unit, and the exhaust unit;
Have
The raw material gas nozzle is disposed at a predetermined position below the processing chamber so that the raw material gas is not decomposed inside even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the raw material gas. The raw material gas outlet is opened to supply the raw material gas toward the upper part of the processing chamber,
The control unit is configured to supply the source gas to the substrate accommodated on the lower side of the processing chamber at a first flow rate, and to process the chamber at a second flow rate higher than the first flow rate. The substrate processing apparatus is configured to perform a predetermined number of cycles including the process of supplying the source gas to the other substrates accommodated on the upper side of the substrate.

[付記6]
好ましくは、
前記原料ガスと反応する反応ガスを供給する反応ガス供給ユニットと、
前記反応ガス供給ユニットと接続され、前記基板の積層方向に沿って前記処理室内に配設され、前記反応ガス供給ユニットから供給される前記反応ガスを前記処理室内に供給する反応ガスノズルと、
を有し、
前記制御部は、前記第1の流速での前記原料ガスの供給処理と、前記第2の流速での前記原料ガスの供給処理と、前記反応ガスの供給処理と、を1サイクルとしてこのサイクルを所定回数実施させるように構成されている。
[Appendix 6]
Preferably,
A reaction gas supply unit for supplying a reaction gas that reacts with the source gas;
A reaction gas nozzle connected to the reaction gas supply unit, disposed in the processing chamber along the stacking direction of the substrates, and supplying the reaction gas supplied from the reaction gas supply unit into the processing chamber;
Have
The control unit is configured to perform one cycle of the source gas supply process at the first flow rate, the source gas supply process at the second flow rate, and the reaction gas supply process as one cycle. It is configured to be executed a predetermined number of times.

[付記7]
また、好ましくは、
前記原料ガスノズルに不活性ガスを供給する不活性ガス供給管が接続され、
前記制御部は、前記不活性ガスの流量を変化させることで前記原料ガスの流速を前記第1の流速と前記第2の流速とで異ならせるように構成されている。
[Appendix 7]
Also preferably,
An inert gas supply pipe for supplying an inert gas to the source gas nozzle is connected;
The control unit is configured to vary the flow rate of the source gas between the first flow rate and the second flow rate by changing the flow rate of the inert gas.

[付記8]
また、好ましくは、
前記制御部は、
前記原料ガスの流量を変化させることにより前記原料ガスの流速を前記第1の流速と第2の流速とで異ならせるとともに、
前記第1の流速による前記原料ガスの供給処理を前記第2の流速による前記原料ガスの供給処理よりも長時間実施させるように構成されている。
[Appendix 8]
Also preferably,
The controller is
By changing the flow rate of the source gas, the flow rate of the source gas is made different between the first flow rate and the second flow rate,
The source gas supply process at the first flow rate is configured to be performed for a longer time than the source gas supply process at the second flow rate.

[付記9]
また、好ましくは、
前記制御部は、
前記原料ガスの流量を変化させることにより前記原料ガスの流速を異ならせるとともに、
前記第1の流速での前記原料ガスの供給処理を所定回数実施させる処理と、
前記第1の流速の場合よりも少ない回数で前記第2の流量での前記原料ガスの供給処理を所定回数実施させる処理と、を少なくとも含むサイクルを所定回数実施させるように構成されている。
[Appendix 9]
Also preferably,
The controller is
While varying the flow rate of the source gas by changing the flow rate of the source gas,
A process of performing the supply process of the source gas at the first flow rate a predetermined number of times;
A cycle including at least a predetermined number of cycles of supplying the source gas at the second flow rate a predetermined number of times less than in the case of the first flow velocity.

[付記10]
また、好ましくは、
前記処理室内の前記所定位置に、前記ガス噴射口の口径が異なる複数の前記原料ガスノズルを備える。
[Appendix 10]
Also preferably,
A plurality of the source gas nozzles having different diameters of the gas injection ports are provided at the predetermined position in the processing chamber.

[付記11]
また、好ましくは、
前記処理室の前記所定位置に、長さが異なる複数の前記原料ガスノズルを備える。
[Appendix 11]
Also preferably,
A plurality of the source gas nozzles having different lengths are provided at the predetermined position of the processing chamber.

[付記12]
本発明の第5の態様は、
水平姿勢で積層された複数枚の基板を処理室内に収容する基板搬入工程と、
前記処理室内の温度が原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設された原料ガスノズルから、第1の流速で前記処理室内に前記原料ガスを供給する第1の原料ガス供給工程と、
前記原料ガスノズルから、前記第1の流速とは異なる第2の流速で前記処理室内に前記原料ガスを供給する第2の原料ガス供給工程と、
前記基板の積層方向に沿って前記処理室内に配設された反応ガスノズルから、前記原料ガスと反応する反応ガスを前記処理室内に供給する反応ガス供給工程と、
前記処理室内を実質的に水平方向に排気する排気工程と、
処理が完了した前記基板を前記処理室から搬出する基板搬出工程と、を有し、
前記第1の原料ガス供給工程と、前記第2の原料ガス供給工程と、前記反応ガス供給工程と、を1サイクルとしてこのサイクルを所定回数実施して前記基板上に所定の膜を形成する半導体装置の製造方法である。
[Appendix 12]
According to a fifth aspect of the present invention,
A substrate carrying-in step of accommodating a plurality of substrates stacked in a horizontal posture in a processing chamber;
Even if the temperature in the processing chamber is higher than the thermal decomposition temperature of the source gas, a source gas nozzle disposed at a predetermined position in the processing chamber that does not decompose the source gas at a first flow rate. A first source gas supply step for supplying the source gas into the processing chamber;
A second source gas supply step of supplying the source gas into the processing chamber from the source gas nozzle at a second flow rate different from the first flow rate;
A reactive gas supply step of supplying a reactive gas that reacts with the source gas into the processing chamber from a reactive gas nozzle disposed in the processing chamber along the stacking direction of the substrate;
An exhaust process for exhausting the processing chamber in a substantially horizontal direction;
A substrate unloading step of unloading the substrate that has been processed from the processing chamber;
The first source gas supply step, the second source gas supply step, and the reaction gas supply step are defined as one cycle, and this cycle is performed a predetermined number of times to form a predetermined film on the substrate. It is a manufacturing method of an apparatus.

[付記13]
本発明の第6の態様は、
水平姿勢で積層された複数枚の基板を処理室内に収容する基板搬入工程と、
前記処理室内の温度が原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室の下部の所定位置に配設されるとともに、前記処理室の上部に向けて原料ガス噴出口が開設された原料ガスノズルから、第1の流速で前記処理室の下部側に収容される前記基板へ前記原料ガスを供給する第1の原料ガス供給工程と、
前記原料ガスノズルから、前記第1の流速よりも大きい第2の流速で前記処理室の上部側に収容されるそれ以外の前記基板へ前記原料ガスを供給する第2の原料ガス供給工程と、
前記基板の積層方向に沿って前記処理室内に配設された反応ガスノズルから、前記原料ガスと反応する反応ガスを前記処理室内に供給する反応ガス供給工程と、
前記処理室内を実質的に水平方向に排気する排気工程と、
処理が完了した前記基板を前記処理室から搬出する基板搬出工程と、を有し、
前記第1の原料ガス供給工程と、前記第2の原料ガス供給工程と、前記反応ガス供給工程と、を1サイクルとしてこのサイクルを所定回数実施して前記基板上に所定の膜を形成する半導体装置の製造方法である。
[Appendix 13]
The sixth aspect of the present invention is:
A substrate carrying-in step of accommodating a plurality of substrates stacked in a horizontal posture in a processing chamber;
Even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the source gas, the processing chamber is disposed at a predetermined position below the processing chamber so that the source gas is not decomposed inside, and an upper portion of the processing chamber. A first source gas supply step of supplying the source gas to the substrate accommodated at the lower side of the processing chamber at a first flow rate from a source gas nozzle in which a source gas jet port is opened,
A second source gas supply step of supplying the source gas from the source gas nozzle to the other substrate accommodated on the upper side of the processing chamber at a second flow rate larger than the first flow rate;
A reactive gas supply step of supplying a reactive gas that reacts with the source gas into the processing chamber from a reactive gas nozzle disposed in the processing chamber along the stacking direction of the substrate;
An exhaust process for exhausting the processing chamber in a substantially horizontal direction;
A substrate unloading step of unloading the substrate that has been processed from the processing chamber;
The first source gas supply step, the second source gas supply step, and the reaction gas supply step are defined as one cycle, and this cycle is performed a predetermined number of times to form a predetermined film on the substrate. It is a manufacturing method of an apparatus.

[付記14]
本発明の第7の態様は、
水平姿勢で積層された複数枚の基板をインナチューブの内部に構成される処理室内に収容する基板搬入工程と、
前記処理室内の温度が原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室の下部の所定位置に配設されるとともに、前記処理室の上部に向けて原料ガス噴出口が開設された原料ガスノズルから、第1の流速で前記処理室の下部側に収容される前記基板へ前記原料ガスを供給する第1の原料ガス供給工程と、
前記原料ガスノズルから、前記第1の流速よりも大きい第2の流速で前記処理室の上部側に収容されるそれ以外の前記基板へ前記原料ガスを供給する第2の原料ガス供給工程と、
前記基板の積層方向に沿って前記処理室内に配設された反応ガスノズルから、前記原料ガスと反応する反応ガスを前記処理室内に供給する反応ガス供給工程と、
前記インナチューブの側壁にガス排気口が開設され、前記インナチューブを取り囲むアウタチューブと前記インナチューブとに挟まれる空間を排気して前記原料ガス噴出口から前記ガス排気口へと向かう実質的に水平方向のガス流を生成させながら前記処理室内を排気する排気工程と、
処理が完了した前記基板を前記処理室から搬出する基板搬出工程と、を有し、
前記第1の原料ガス供給工程と、前記第2の原料ガス供給工程と、前記反応ガス供給工程と、を1サイクルとしてこのサイクルを所定回数実施して前記基板上に所定の膜を形成する半導体装置の製造方法である。
[Appendix 14]
The seventh aspect of the present invention is
A substrate carrying-in step of accommodating a plurality of substrates stacked in a horizontal posture in a processing chamber configured inside the inner tube;
Even when the temperature in the processing chamber is higher than the thermal decomposition temperature of the source gas, the processing chamber is disposed at a predetermined position below the processing chamber so that the source gas is not decomposed inside, and an upper portion of the processing chamber. A first source gas supply step of supplying the source gas to the substrate accommodated at the lower side of the processing chamber at a first flow rate from a source gas nozzle in which a source gas jet port is opened,
A second source gas supply step of supplying the source gas from the source gas nozzle to the other substrate accommodated on the upper side of the processing chamber at a second flow rate larger than the first flow rate;
A reactive gas supply step of supplying a reactive gas that reacts with the source gas into the processing chamber from a reactive gas nozzle disposed in the processing chamber along the stacking direction of the substrate;
A gas exhaust port is formed in a side wall of the inner tube, and a space sandwiched between the outer tube and the inner tube that surrounds the inner tube is exhausted to be substantially horizontal from the source gas jet port to the gas exhaust port. An exhaust process for exhausting the processing chamber while generating a gas flow in a direction;
A substrate unloading step of unloading the substrate that has been processed from the processing chamber;
The first source gas supply step, the second source gas supply step, and the reaction gas supply step are defined as one cycle, and this cycle is performed a predetermined number of times to form a predetermined film on the substrate. It is a manufacturing method of an apparatus.

[付記15]
好ましくは、
前記第1の原料ガス供給工程では、前記原料ガスノズルに接続された不活性ガス供給管からの不活性ガスとともに前記第1の流速で前記処理室内に前記原料ガスを供給し、
前記第2の原料ガス供給工程では、前記第1の原料ガス供給工程よりも流量を増やした前記不活性ガスとともに前記第2の流速で前記処理室内に前記原料ガスを供給する。
[Appendix 15]
Preferably,
In the first source gas supply step, the source gas is supplied into the processing chamber at the first flow rate together with an inert gas from an inert gas supply pipe connected to the source gas nozzle,
In the second source gas supply step, the source gas is supplied into the processing chamber at the second flow rate together with the inert gas whose flow rate is increased as compared with the first source gas supply step.

[付記16]
また、好ましくは、
前記原料ガスの流量を変化させることにより前記第1の原料ガス供給工程と前記第2の原料ガス供給工程とで前記原料ガスの流速を異ならせるとともに、
前記第1の原料ガス供給工程を前記第2の原料ガス供給工程よりも長時間実施する。
[Appendix 16]
Also preferably,
While changing the flow rate of the source gas, the flow rate of the source gas is different between the first source gas supply step and the second source gas supply step,
The first source gas supply step is performed for a longer time than the second source gas supply step.

[付記17]
また、好ましくは、
前記原料ガスの流量を変化させることにより前記第1の原料ガス供給工程と前記第2の原料ガス供給工程とで前記原料ガスの流速を異ならせるとともに、
前記第1の原料ガス供給工程を所定回数実施し、
前記第1の原料ガス供給工程よりも少ない回数で前記第2の原料ガス供給工程を所定回数実施するサイクルを所定回数実施する。
[Appendix 17]
Also preferably,
While changing the flow rate of the source gas, the flow rate of the source gas is different between the first source gas supply step and the second source gas supply step,
Performing the first source gas supplying step a predetermined number of times;
A cycle in which the second source gas supply step is performed a predetermined number of times less than the first source gas supply step is performed a predetermined number of times.

[付記18]
本発明の第8の態様は、
積層された基板を収容する処理室内の温度が原料ガスの熱分解温度よりも高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設された原料ガスノズルから、第1の流速で前記処理室内に前記原料ガスを供給する第1の原料ガス供給工程と、前記原料ガスノズルから前記第1の流速とは異なる第2の流速で前記処理室内に前記原料ガスを供給する第2の原料ガス供給工程と、前記基板の積層方向に沿って前記処理室内に配設された反応ガスノズルから前記原料ガスと反応する反応ガスを前記処理室内に供給する反応ガス供給工程と、を1サイクルとしてこのサイクルを所定回数実施することにより形成される所定の膜を有する半導体装置である。
[Appendix 18]
The eighth aspect of the present invention is
From a raw material gas nozzle disposed at a predetermined position in the processing chamber so that the raw material gas does not decompose inside even if the temperature in the processing chamber containing the stacked substrates is higher than the thermal decomposition temperature of the raw material gas A first raw material gas supply step of supplying the raw material gas into the processing chamber at a first flow rate; and the raw material gas is supplied from the raw material gas nozzle into the processing chamber at a second flow rate different from the first flow rate. A second source gas supply step for supplying, and a reaction gas supply step for supplying a reaction gas that reacts with the source gas into the processing chamber from a reaction gas nozzle disposed in the processing chamber along the stacking direction of the substrates. Is a semiconductor device having a predetermined film formed by performing this cycle a predetermined number of times.

200 ウエハ(基板)
201 処理室
207 ヒータ(加熱ユニット)
233a 原料ガスノズル
280 コントローラ
200 wafer (substrate)
201 Processing chamber 207 Heater (heating unit)
233a Raw material gas nozzle 280 controller

Claims (7)

複数枚の基板を積層して収容する処理室と、
前記処理室内を加熱する加熱ユニットと、
原料ガスを前記処理室内に供給する原料ガス供給ユニットであって、前記処理室内の温度が前記原料ガスの熱分解温度より高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設され、前記原料ガスを前記処理室内に供給する複数本の長さの異なる原料ガスノズルを備える原料ガス供給ユニットと、
反応ガスを前記処理室内に供給する反応ガス供給ユニットであって、前記処理室内に配設され、前記反応ガスを前記処理室内に供給する反応ガスノズルを有する反応ガス供給ユニットと、
前記加熱ユニット、前記原料ガス供給ユニット、前記反応ガス供給ユニットを制御して、複数の基板を積層して収容した前記処理室内を加熱する処理と、前記複数本の長さの異なる原料ガスノズルから前記処理室内に前記原料ガスを供給する処理と、前記反応ガスノズルから前記処理室内に前記反応ガスを供給する処理と、を含むサイクルを所定回数実施して前記基板上に膜を形成するよう構成される制御部と、
を有する基板処理装置。
A processing chamber for stacking and accommodating a plurality of substrates;
A heating unit for heating the processing chamber;
A raw material gas supply unit for supplying a raw material gas into the processing chamber, wherein the raw material gas is not decomposed inside even when a temperature in the processing chamber is higher than a thermal decomposition temperature of the raw material gas. A raw material gas supply unit including a plurality of raw material gas nozzles having different lengths, which are disposed at predetermined positions and supply the raw material gas into the processing chamber;
A reaction gas supply unit for supplying a reaction gas into the processing chamber, the reaction gas supply unit being disposed in the processing chamber and having a reaction gas nozzle for supplying the reaction gas into the processing chamber;
Controlling the heating unit, the source gas supply unit, and the reaction gas supply unit to heat the processing chamber in which a plurality of substrates are stacked and accommodated, and the plurality of source gas nozzles having different lengths A process including supplying the source gas into the processing chamber and supplying the reaction gas from the reaction gas nozzle into the processing chamber is performed a predetermined number of times to form a film on the substrate. A control unit;
A substrate processing apparatus.
前記反応ガス供給ノズルは、前記基板の積層方向に延在し、複数のガス供給口を有する請求項1に記載の基板処理装置。   The substrate processing apparatus according to claim 1, wherein the reactive gas supply nozzle extends in a stacking direction of the substrates and has a plurality of gas supply ports. 前記複数のガス供給口は、前記反応ガスの上流側から下流側にわたって徐々に開口径が大きくなる請求項2に記載の基板処理装置。   The substrate processing apparatus according to claim 2, wherein the plurality of gas supply ports gradually increase in opening diameter from the upstream side to the downstream side of the reaction gas. 前記原料ガスノズルおよび前記反応ガスノズルに接続され、前記処理室内に不活性ガスを供給する不活性ガス供給ユニットをさらに有し、
前記制御部は、さらに前記不活性ガス供給ユニットを制御し、前記不活性ガスを第1の流量で前記原料ガスノズルに供給するとともに前記原料ガスを第2の流量で前記原料ガスノズルに供給して前記原料ガスを前記処理室の上部に供給する処理と、前記不活性ガスを前記第1の流量より少ない第3の流量で前記原料ガスノズルに供給するとともに前記原料ガスを前記第2の流量で前記原料ガスノズルに供給して前記原料ガスを前記処理室内の下部に供給する処理と、前記反応ガスを前記処理室内に供給する処理と、を含むサイクルを所定回数実施して前記基板上に膜を形成するよう構成される請求項1〜3のいずれかに記
載の基板処理装置。
An inert gas supply unit connected to the source gas nozzle and the reaction gas nozzle and supplying an inert gas into the processing chamber;
The controller further controls the inert gas supply unit to supply the inert gas to the source gas nozzle at a first flow rate and supply the source gas to the source gas nozzle at a second flow rate. A process of supplying a source gas to the upper part of the processing chamber; supplying the inert gas to the source gas nozzle at a third flow rate less than the first flow rate; and supplying the source gas at the second flow rate to the source material A film is formed on the substrate by performing a predetermined number of cycles including a process of supplying to the gas nozzle and supplying the source gas to the lower portion of the process chamber and a process of supplying the reaction gas to the process chamber. The substrate processing apparatus according to claim 1, configured as described above.
前記制御部は、前記原料ガス供給ユニット、前記反応ガス供給ユニット、前記不活性ガス供給ユニットを制御して、前記原料ガスを前記処理室の上部に供給する処理、前記原料ガスを前記処理室内の下部に供給する処理、前記反応ガスを前記処理室内に供給する処理の少なくともいずれかの処理を実施する際、前記不活性ガスで前記原料ガスもしくは前記反応ガスを押し出すよう構成される請求項4に記載の基板処理装置。   The control unit controls the source gas supply unit, the reaction gas supply unit, and the inert gas supply unit to supply the source gas to the upper portion of the process chamber, and supplies the source gas to the process chamber. 5. The apparatus according to claim 4, wherein the raw material gas or the reactive gas is pushed out by the inert gas when performing at least one of a process of supplying a lower part and a process of supplying the reactive gas into the processing chamber. The substrate processing apparatus as described. 前記制御部は、前記原料ガス供給ユニット、前記反応ガス供給ユニット、前記不活性ガス供給ユニットを制御して、前記原料ガスおよび前記反応ガスが互いに混合しないよう前記処理室に供給するよう構成される請求項1〜5のいずれかに記載の基板処理装置。   The control unit is configured to control the source gas supply unit, the reaction gas supply unit, and the inert gas supply unit to supply the source gas and the reaction gas to the processing chamber so as not to mix with each other. The substrate processing apparatus in any one of Claims 1-5. 複数枚の基板を積層して収容した処理室内を加熱する工程と、
前記処理室内の温度が原料ガスの熱分解温度より高い場合であっても内部で前記原料ガスが分解しないような前記処理室内の所定位置に配設された複数本の長さの異なる原料ガスノズルから、前記処理室内に前記原料ガスを供給する工程と、
前記処理室内に反応ガスを供給する工程と、
を含むサイクルを所定回数実施して前記基板上に膜を形成する半導体装置の製造方法。

Heating a processing chamber in which a plurality of substrates are stacked and accommodated;
Even if the temperature in the processing chamber is higher than the thermal decomposition temperature of the source gas, a plurality of source gas nozzles having different lengths disposed at predetermined positions in the processing chamber so that the source gas is not decomposed inside. Supplying the source gas into the processing chamber;
Supplying a reaction gas into the processing chamber;
A method for manufacturing a semiconductor device, wherein a film is formed on the substrate by performing a predetermined number of cycles including:

JP2015062217A 2015-03-25 2015-03-25 Substrate processing apparatus and semiconductor device manufacturing method Active JP6021977B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015062217A JP6021977B2 (en) 2015-03-25 2015-03-25 Substrate processing apparatus and semiconductor device manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015062217A JP6021977B2 (en) 2015-03-25 2015-03-25 Substrate processing apparatus and semiconductor device manufacturing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010253231A Division JP5722595B2 (en) 2010-11-11 2010-11-11 Substrate processing apparatus and semiconductor device manufacturing method

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2016077998A Division JP6095825B2 (en) 2016-04-08 2016-04-08 Substrate processing apparatus and semiconductor device manufacturing method

Publications (2)

Publication Number Publication Date
JP2015164192A JP2015164192A (en) 2015-09-10
JP6021977B2 true JP6021977B2 (en) 2016-11-09

Family

ID=54187003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015062217A Active JP6021977B2 (en) 2015-03-25 2015-03-25 Substrate processing apparatus and semiconductor device manufacturing method

Country Status (1)

Country Link
JP (1) JP6021977B2 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6623077B2 (en) * 2016-02-19 2019-12-18 株式会社Screenホールディングス Substrate processing apparatus and substrate processing method
JP6675962B2 (en) * 2016-02-25 2020-04-08 東京エレクトロン株式会社 Film forming method and film forming system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2781814B2 (en) * 1987-11-20 1998-07-30 東京エレクトロン株式会社 Vertical vapor phase growth equipment
JP2662722B2 (en) * 1990-01-12 1997-10-15 東京エレクトロン株式会社 Batch type heat treatment equipment
JP3603189B2 (en) * 1993-12-24 2004-12-22 東京エレクトロン株式会社 Heat treatment equipment
KR100279963B1 (en) * 1997-12-30 2001-04-02 윤종용 Gas diffuser for semiconductor device manufacturing and reactor installed
JP3497450B2 (en) * 2000-07-06 2004-02-16 東京エレクトロン株式会社 Batch heat treatment apparatus and control method thereof
JP2005123532A (en) * 2003-10-20 2005-05-12 Tokyo Electron Ltd Deposition system and deposition method
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same

Also Published As

Publication number Publication date
JP2015164192A (en) 2015-09-10

Similar Documents

Publication Publication Date Title
JP6095825B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5722595B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5222652B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5284182B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5247528B2 (en) Substrate processing apparatus, semiconductor device manufacturing method, substrate processing method, and gas introducing means
JP5087657B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP5385002B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5097554B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP5616591B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2013012719A (en) Substrate processing apparatus and substrate processing method
JP5524785B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2011238832A (en) Substrate processing apparatus
JP6994483B2 (en) Semiconductor device manufacturing methods, programs, and substrate processing devices
JP6021977B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP5457287B2 (en) Substrate processing apparatus, substrate processing method, and semiconductor device manufacturing method
JP2012138530A (en) Substrate manufacturing method, semiconductor device manufacturing method and substrate processing apparatus
JP2013089911A (en) Substrate processing apparatus and manufacturing method of semiconductor device
JP2016122691A (en) Substrate processing apparatus, gas supply nozzle and manufacturing method of semiconductor device
WO2012077680A1 (en) Method for producing substrate, method for producing semiconductor device, and substrate treatment device
JP5385439B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2012124255A (en) Manufacturing method for substrate, manufacturing method for semiconductor device, and substrate processing apparatus
JP2011009752A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and semiconductor device
KR20120134049A (en) Substrate processing apparatus and method of processing substrate

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160408

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160908

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161004

R150 Certificate of patent or registration of utility model

Ref document number: 6021977

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250