JP5871557B2 - Charged particle beam drawing apparatus and charged particle beam drawing method - Google Patents

Charged particle beam drawing apparatus and charged particle beam drawing method Download PDF

Info

Publication number
JP5871557B2
JP5871557B2 JP2011230463A JP2011230463A JP5871557B2 JP 5871557 B2 JP5871557 B2 JP 5871557B2 JP 2011230463 A JP2011230463 A JP 2011230463A JP 2011230463 A JP2011230463 A JP 2011230463A JP 5871557 B2 JP5871557 B2 JP 5871557B2
Authority
JP
Japan
Prior art keywords
proximity effect
pattern
irradiation
correction
coefficient
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011230463A
Other languages
Japanese (ja)
Other versions
JP2013089838A (en
Inventor
加藤 靖雄
靖雄 加藤
純 八島
純 八島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2011230463A priority Critical patent/JP5871557B2/en
Publication of JP2013089838A publication Critical patent/JP2013089838A/en
Application granted granted Critical
Publication of JP5871557B2 publication Critical patent/JP5871557B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Electron Beam Exposure (AREA)

Description

本発明は、荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法に係り、例えば、電子線描画において、電子の前方散乱によるパターンの寸法変動を補正する手法に関する。   The present invention relates to a charged particle beam drawing apparatus and a charged particle beam drawing method, and more particularly to a method for correcting a pattern dimension variation due to forward scattering of electrons in electron beam drawing.

半導体デバイスの微細化の進展を担うリソグラフィ技術は半導体製造プロセスのなかでも唯一パターンを生成する極めて重要なプロセスである。近年、LSIの高集積化に伴い、半導体デバイスに要求される回路線幅は年々微細化されてきている。これらの半導体デバイスへ所望の回路パターンを形成するためには、高精度の原画パターン(レチクル或いはマスクともいう。)が必要となる。ここで、電子線(電子ビーム)描画技術は本質的に優れた解像性を有しており、高精度の原画パターンの生産に用いられる。   Lithography technology, which is responsible for the progress of miniaturization of semiconductor devices, is an extremely important process for generating a pattern among semiconductor manufacturing processes. In recent years, with the high integration of LSI, circuit line widths required for semiconductor devices have been reduced year by year. In order to form a desired circuit pattern on these semiconductor devices, a highly accurate original pattern (also referred to as a reticle or a mask) is required. Here, the electron beam (electron beam) drawing technique has an essentially excellent resolution, and is used for producing a high-precision original pattern.

図10は、従来の可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線(EB:Electron beam)描画装置は、以下のように動作する。第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料340に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過させ、任意形状を作成する方式を可変成形方式(VSB方式)という。
FIG. 10 is a conceptual diagram for explaining the operation of a conventional variable shaping type electron beam drawing apparatus.
The variable shaped electron beam (EB) drawing apparatus operates as follows. In the first aperture 410, a rectangular opening for forming the electron beam 330, for example, a rectangular opening 411 is formed. Further, the second aperture 420 is formed with a variable shaping opening 421 for shaping the electron beam 330 having passed through the opening 411 of the first aperture 410 into a desired rectangular shape. The electron beam 330 irradiated from the charged particle source 430 and passed through the opening 411 of the first aperture 410 is deflected by the deflector, passes through a part of the variable shaping opening 421 of the second aperture 420, and passes through a predetermined range. The sample 340 mounted on a stage that continuously moves in one direction (for example, the X direction) is irradiated. That is, the drawing area of the sample 340 mounted on the stage in which the rectangular shape that can pass through both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is continuously moved in the X direction. Drawn on. A method of creating an arbitrary shape by passing both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is referred to as a variable shaping method (VSB method).

上述した電子ビーム描画では、より高精度な試料面内、例えばマスク面内の線幅均一性が求められている。ここで、かかる電子ビーム描画では、電子ビームをレジストが塗布されたマスクに照射して回路パターンを描画する場合、電子ビームがレジスト層を透過してその下の層に達し、再度レジスト層に再入射する後方散乱による近接効果と呼ばれる現象が生じてしまう。これにより、描画の際、所望する寸法からずれた寸法に描画されてしまう寸法変動が生じてしまう。一方、描画後の現像やエッチングを行なう場合においても、回路パターンの粗密に起因したローディング効果と呼ばれる寸法変動が生じてしまう。   In the above-described electron beam drawing, line width uniformity within a sample surface, for example, a mask surface with higher accuracy is required. Here, in such electron beam drawing, when a circuit pattern is drawn by irradiating a resist-coated mask with an electron beam, the electron beam passes through the resist layer and reaches the layer below it, and then reappears on the resist layer again. A phenomenon called a proximity effect due to incident backscattering occurs. Thereby, at the time of drawing, the dimension fluctuation | variation which will be drawn in the dimension shifted | deviated from the desired dimension will arise. On the other hand, even when development or etching after drawing is performed, a dimensional variation called a loading effect due to the density of the circuit pattern occurs.

ここで、基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。そのため、基準照射量Dbaseと近接効果補正係数ηとの組を変えて近接効果補正を維持しながらローディング効果による寸法変動量もあわせて補正した照射量を算出する手法が開示されている(例えば、特許文献1参照)。 Here, there is a proximity effect correction coefficient η with which the proximity effect correction matches well for each reference dose D base . Therefore, there is disclosed a method for calculating a dose that is corrected in accordance with the dimensional variation due to the loading effect while changing the set of the reference dose D base and the proximity effect correction coefficient η to maintain the proximity effect correction (for example, , See Patent Document 1).

特開2007−150243号公報JP 2007-150243 A

しかしながら、昨今のパターンの微細化に伴って、描画されるパターンの寸法が小さくなるにつれ、従来の照射量演算モデルでは誤差が生じてしまうことがわかってきた。   However, it has been found that, with the recent miniaturization of patterns, as the size of the drawn pattern becomes smaller, an error occurs in the conventional dose calculation model.

図11は、従来の照射量モデルの一例を説明するための図である。従来の照射量モデルでは、図11に示すように照射量Dの1/2をレジストの解像閾値となる閾値照射量Dthに設定することで、パターン300は、ビームプロファイルにおいてD/2の位置の寸法Lで描画されていた。   FIG. 11 is a diagram for explaining an example of a conventional dose model. In the conventional dose model, as shown in FIG. 11, by setting 1/2 of the dose D to a threshold dose Dth that is a resist resolution threshold, the pattern 300 has a position D / 2 in the beam profile. It was drawn with the dimension L.

図12は、従来の照射量モデルで寸法が小さいパターンを描画した場合の寸法の一例を説明するための図である。従来の照射量モデルで設定した照射量で線幅寸法の小さいパターンを描画した場合、ある寸法よりも小さくなってくると、図12に示すように、最大照射量D’が設定された照射量Dに届かない。そのため、D”で決まる寸法のパターン302を予定していても、実際に得られる寸法は、それよりも細い閾値照射量Dthのパターン304になってしまう。   FIG. 12 is a diagram for explaining an example of dimensions when a pattern having a small dimension is drawn using a conventional dose model. When a pattern having a small line width dimension is drawn with the dose set in the conventional dose model, when the pattern becomes smaller than a certain size, the dose with the maximum dose D ′ set as shown in FIG. D does not reach. Therefore, even if the pattern 302 having a dimension determined by D ″ is planned, the actually obtained dimension is a pattern 304 with a threshold dose Dth smaller than that.

ここで、かかるずれ分も含めた照射量を初めからすべて演算するには、より小さな領域単位ですべての描画領域を計算する必要があるので処理時間が非常に長くかかってしまう。そのため、例えば、描画前に外部で予め補正量を算出しておいて、描画装置に入力されるレイアウトデータに付加情報として定義しておくことも考えられる。しかし、かかる手法では、補正計算に使用するパラメータの変更が必要になった場合に使用できなくなってしまうといった問題がある。そのため、描画装置内でより簡易に補正計算を行うことが望ましいが、従来、かかる問題を解決するための十分な手法が確立されていなかった。   Here, in order to calculate all the irradiation amounts including such deviations from the beginning, it is necessary to calculate all the drawing areas in units of smaller areas, so that the processing time is very long. Therefore, for example, it is conceivable that a correction amount is calculated in advance before drawing and defined as additional information in layout data input to the drawing apparatus. However, such a method has a problem that it cannot be used when a parameter used for correction calculation needs to be changed. For this reason, it is desirable to perform correction calculation more easily in the drawing apparatus. However, conventionally, a sufficient technique for solving such a problem has not been established.

そこで、本発明は、上述した問題点を克服し、より小さな寸法のパターンの寸法変動を他の寸法変動要因と共に補正可能な装置および方法を提供することを目的とする。   Accordingly, an object of the present invention is to provide an apparatus and a method that can overcome the above-described problems and can correct a dimensional variation of a pattern having a smaller size together with other dimensional variation factors.

本発明の一態様の荷電粒子ビーム描画装置は、
図形パターン毎の補正方向のパターン寸法に依存した荷電粒子の前方散乱に起因する寸法変動を補正する前方散乱補正照射係数を用いて、近接効果に起因する寸法変動を補正する近接効果補正照射係数を演算する近接効果補正照射係数演算部と、
近接効果補正照射係数と前方散乱補正照射係数とを用いて照射量を演算する照射量演算部と、
照射量に基づいて、荷電粒子ビームを用いて、試料に当該図形パターンを描画する描画部と、
を備えたことを特徴とする。
A charged particle beam drawing apparatus according to one embodiment of the present invention includes:
Proximity effect correction irradiation coefficient that corrects dimensional fluctuation caused by proximity effect using forward scattering correction irradiation coefficient that corrects dimensional fluctuation caused by forward scattering of charged particles depending on pattern dimension in correction direction for each graphic pattern Proximity effect correction irradiation coefficient calculation unit to calculate,
A dose calculation unit that calculates a dose using the proximity effect correction irradiation coefficient and the forward scattering correction irradiation coefficient;
A drawing unit that draws the graphic pattern on the sample using a charged particle beam based on the irradiation amount;
It is provided with.

また、前方散乱補正照射係数に依存した関数を用いて、かぶりに起因する寸法変動を補正するかぶり補正照射係数を演算するかぶり補正照射係数演算部をさらに備え、
照射量演算部は、さらに、かぶり補正照射係数を用いて照射量を演算すると好適である。
Further, using a function dependent on the forward scattering correction irradiation coefficient, further comprising a fog correction irradiation coefficient calculation unit for calculating a fog correction irradiation coefficient for correcting the dimensional variation caused by the fog,
It is preferable that the dose calculation unit further calculates the dose using the fog correction irradiation coefficient.

また、ローディング効果に起因する寸法変動量を演算する寸法変動量演算部と、
パターン寸法と近接効果密度とを用いて、パターン寸法と荷電粒子ビームの照射量との関係を示す係数であって、パターン寸法と近接効果密度とに依存して変化する裕度を演算する裕度演算部と、
をさらに備え、
照射量演算部は、さらに、裕度とローディング効果に起因する寸法変動量とを用いて照射量を演算すると好適である。
Further, a dimensional variation calculation unit that calculates the dimensional variation due to the loading effect;
A coefficient indicating the relationship between the pattern dimension and the charged particle beam dose using the pattern dimension and the proximity effect density, and the tolerance for calculating the tolerance varying depending on the pattern dimension and the proximity effect density An arithmetic unit;
Further comprising
It is preferable that the dose calculation unit further calculates the dose using the tolerance and the dimensional variation due to the loading effect.

また、パターン寸法と近接効果密度とを用いて、パターン寸法と荷電粒子ビームの照射量との関係を示す係数であって、パターン寸法と近接効果密度とに依存して変化する第1の裕度を演算する第1の裕度演算部と、
近接効果密度を用いて、パターン寸法と荷電粒子ビームの照射量との関係を示す係数であって、同一の近接効果密度においてはパターン寸法によっては変化せず、近接効果密度に依存して変化する第2の裕度を演算する第2の裕度演算部と、
をさらに備え、
照射量演算部は、さらに、第1の裕度と第2の裕度とローディング効果に起因する寸法変動量とを用いて照射量を演算すると好適である。
Also, a coefficient indicating the relationship between the pattern dimension and the irradiation amount of the charged particle beam using the pattern dimension and the proximity effect density, and a first tolerance that varies depending on the pattern dimension and the proximity effect density. A first tolerance calculation unit for calculating
A coefficient indicating the relationship between the pattern size and the charged particle beam dose using the proximity effect density, and does not vary depending on the pattern size at the same proximity effect density, but varies depending on the proximity effect density. A second tolerance calculation unit for calculating a second tolerance;
Further comprising
It is preferable that the dose calculation unit further calculates the dose using the first tolerance, the second tolerance, and the dimensional variation caused by the loading effect.

本発明の一態様の荷電粒子ビーム描画方法は、
図形パターン毎に補正方向のパターン寸法に依存した荷電粒子の前方散乱に起因する寸法変動を補正する前方散乱補正照射係数を用いて、近接効果に起因する寸法変動を補正する近接効果補正照射係数を演算する工程と、
近接効果補正照射係数と前方散乱補正照射係数とを用いて照射量を演算する工程と、
照射量に基づいて、荷電粒子ビームを用いて、試料に当該図形パターンを描画する工程と、
を備えたことを特徴とする。
The charged particle beam drawing method of one embodiment of the present invention includes:
Proximity effect correction irradiation coefficient that corrects dimensional fluctuation caused by proximity effect using forward scattering correction irradiation coefficient that corrects dimensional fluctuation caused by forward scattering of charged particles depending on pattern dimension in correction direction for each graphic pattern A process of calculating;
A step of calculating an irradiation amount using the proximity effect correction irradiation coefficient and the forward scattering correction irradiation coefficient;
Drawing the figure pattern on the sample using a charged particle beam based on the irradiation amount;
It is provided with.

本発明の一態様によれば、近接効果等の他の変動要因と共に、より小さな寸法のパターンで生じる寸法変動を補正できる。その結果、高精度な描画ができる。   According to one aspect of the present invention, it is possible to correct a dimensional variation caused by a pattern having a smaller size, along with other variation factors such as a proximity effect. As a result, highly accurate drawing can be performed.

実施の形態1における描画装置の構成を示す概念図である。1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to Embodiment 1. FIG. 実施の形態1における照射量補正の仕方を説明するための概念図である。FIG. 3 is a conceptual diagram for explaining how to correct the dose in the first embodiment. 実施の形態1におけるパターンを構成する複数の図形の一例を示す図である。FIG. 3 is a diagram illustrating an example of a plurality of figures constituting a pattern in the first embodiment. 実施の形態1における図形毎の描画データのフォーマットの一例を示す図である。6 is a diagram illustrating an example of a format of drawing data for each graphic in the first embodiment. FIG. 実施の形態1における描画方法の要部工程を示すフローチャート図である。FIG. 4 is a flowchart showing main steps of the drawing method according to Embodiment 1. 実施の形態1におけるパターン寸法CDと照射量Dとの相関データの一例を示すグラフである。4 is a graph showing an example of correlation data between a pattern dimension CD and an irradiation amount D in the first embodiment. 実施の形態1におけるパターンを構成する複数の図形の他の一例を示す図である。It is a figure which shows another example of the some figure which comprises the pattern in Embodiment 1. FIG. 実施の形態2における描画装置の構成を示す概念図である。6 is a conceptual diagram illustrating a configuration of a drawing apparatus according to Embodiment 2. FIG. 実施の形態2における描画方法の要部工程を示すフローチャート図である。FIG. 10 is a flowchart showing main steps of a drawing method according to Embodiment 2. 従来の可変成形型電子線描画装置の動作を説明するための概念図である。It is a conceptual diagram for demonstrating operation | movement of the conventional variable shaping type | mold electron beam drawing apparatus. 従来の照射量モデルの一例を説明するための図である。It is a figure for demonstrating an example of the conventional irradiation amount model. 従来の照射量モデルで電子の前方散乱半径よりも十分に大きいとは言えないパターンを描画した場合の寸法の一例を説明するための図である。It is a figure for demonstrating an example of the dimension at the time of drawing the pattern which cannot be said to be sufficiently larger than the electron forward scattering radius with the conventional irradiation amount model.

以下、実施の形態では、荷電粒子ビームの一例として、電子ビームを用いた構成について説明する。但し、荷電粒子ビームは、電子ビームに限るものではなく、イオンビーム等の荷電粒子を用いたビームでも構わない。また、荷電粒子ビーム装置の一例として、可変成形型の描画装置について説明する。また、以下に説明する式等において、xは位置を示すベクトルとする。   Hereinafter, in the embodiment, a configuration using an electron beam will be described as an example of a charged particle beam. However, the charged particle beam is not limited to an electron beam, and a beam using charged particles such as an ion beam may be used. Further, a variable shaping type drawing apparatus will be described as an example of the charged particle beam apparatus. Further, in the equations described below, x is a vector indicating the position.

実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。図1において、描画装置100は、描画部150と制御部160を備えている。描画装置100は、荷電粒子ビーム描画装置の一例である。特に、可変成形型(VSB型)の描画装置の一例である。描画部150は、電子鏡筒102と描画室103を備えている。電子鏡筒102内には、電子銃201、照明レンズ202、ブランキング偏向器212、ブランキングアパーチャ214、第1の成形アパーチャ203、投影レンズ204、偏向器205、第2の成形アパーチャ206、対物レンズ207、及び偏向器208が配置されている。描画室103内には、少なくともXY方向に移動可能なXYステージ105が配置される。XYステージ105上には、描画対象となる試料101が配置される。試料101には、半導体装置を製造するための露光用のマスクやシリコンウェハ等が含まれる。マスクにはマスクブランクスが含まれる。
Embodiment 1 FIG.
FIG. 1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to the first embodiment. In FIG. 1, the drawing apparatus 100 includes a drawing unit 150 and a control unit 160. The drawing apparatus 100 is an example of a charged particle beam drawing apparatus. In particular, it is an example of a variable shaping type (VSB type) drawing apparatus. The drawing unit 150 includes an electron column 102 and a drawing chamber 103. In the electron column 102, there are an electron gun 201, an illumination lens 202, a blanking deflector 212, a blanking aperture 214, a first shaping aperture 203, a projection lens 204, a deflector 205, a second shaping aperture 206, an objective. A lens 207 and a deflector 208 are arranged. An XY stage 105 that can move at least in the XY direction is disposed in the drawing chamber 103. On the XY stage 105, a sample 101 to be drawn is arranged. The sample 101 includes an exposure mask and a silicon wafer for manufacturing a semiconductor device. Masks include mask blanks.

制御部160は、制御計算機110,120、メモリ111,121、偏向制御回路130、デジタルアナログ変換器(DAC)アンプ132、及び磁気ディスク装置等の記憶装置140,142,144,146を有している。制御計算機110,120、メモリ111,121、偏向制御回路130、及び記憶装置140,142,144,146は、図示しないバスを介して互いに接続されている。偏向制御回路130は、DACアンプ132を介してブランキング偏向器212に接続される。   The control unit 160 includes control computers 110 and 120, memories 111 and 121, a deflection control circuit 130, a digital-analog converter (DAC) amplifier 132, and storage devices 140, 142, 144, and 146 such as a magnetic disk device. Yes. The control computers 110 and 120, the memories 111 and 121, the deflection control circuit 130, and the storage devices 140, 142, 144, and 146 are connected to each other via a bus (not shown). The deflection control circuit 130 is connected to the blanking deflector 212 via the DAC amplifier 132.

制御計算機110内には、面積密度ρ算出部41、寸法変動量ΔCD算出部40、関数ρp1/2α算出部44、及び関数ρpL/2α算出部46が配置されている。面積密度ρ算出部41、ΔCD算出部40、ρp1/2α算出部44、及び関数ρpL/2α算出部46といった各機能は、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機110に必要な入力データ或いは演算された結果はその都度メモリ111に記憶される。 In the control computer 110, an area density ρ calculation unit 41, a dimensional variation ΔCD calculation unit 40, a function ρ p1 / 2α calculation unit 44, and a function ρ pL / 2α calculation unit 46 are arranged. Each function such as the area density ρ calculation unit 41, the ΔCD calculation unit 40, the ρ p1 / 2α calculation unit 44, and the function ρ pL / 2α calculation unit 46 may be configured by software such as a program. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 110 or the calculated result is stored in the memory 111 each time.

制御計算機120内には、近接効果補正照射係数Dp(x)算出部50、近接効果補正照射係数Dp’(x)算出部51、かぶり補正照射係数Df(x)算出部52、照射量密度ρ’(x)算出部53、裕度DL(U,L)算出部54、照射量D(x)算出部56、照射時間(t)算出部58、ショットデータ生成部60、及び描画制御部62が配置されている。近接効果補正照射係数Dp(x)算出部50、近接効果補正照射係数Dp’(x)算出部51、かぶり補正照射係数Df(x)算出部52、照射量密度ρ’(x)算出部53、裕度DL(U,L)算出部54、照射量D(x)算出部56、照射時間(t)算出部58、ショットデータ生成部60、及び描画制御部62といった各機能は、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機120に必要な入力データ或いは演算された結果はその都度メモリ121に記憶される。   In the control computer 120, a proximity effect correction irradiation coefficient Dp (x) calculation unit 50, a proximity effect correction irradiation coefficient Dp ′ (x) calculation unit 51, a fog correction irradiation coefficient Df (x) calculation unit 52, a dose density ρ. '(X) calculation unit 53, tolerance DL (U, L) calculation unit 54, irradiation dose D (x) calculation unit 56, irradiation time (t) calculation unit 58, shot data generation unit 60, and drawing control unit 62 Is arranged. Proximity effect correction irradiation coefficient Dp (x) calculation unit 50, proximity effect correction irradiation coefficient Dp ′ (x) calculation unit 51, fog correction irradiation coefficient Df (x) calculation unit 52, dose density ρ ′ (x) calculation unit 53 Each function such as a tolerance DL (U, L) calculation unit 54, an irradiation dose D (x) calculation unit 56, an irradiation time (t) calculation unit 58, a shot data generation unit 60, and a drawing control unit 62 is a program. It may be configured by software. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 120 or the calculated result is stored in the memory 121 each time.

記憶装置140には、図形毎に、図形コードと基準位置の座標とx方向の図形サイズとy方向の図形サイズと補正方向における図形の線幅寸法wとが定義された各図形データによる描画データが外部より入力され、格納されている。例えば、OPC処理後のパターンをフラクチャ処理を行って、パターンを複数の図形に分解した場合に、かかる複数の図形をつなぎ合わせたパターンを構成する図形毎に、上述した補正方向における図形の線幅寸法wが属性情報として定義される。なお、補正方向に複数の図形が接触してつながる場合はつながった図形全体の補正方向における線幅寸法が定義される。   In the storage device 140, for each figure, drawing data based on each figure data in which a figure code, coordinates of a reference position, a figure size in the x direction, a figure size in the y direction, and a line width dimension w of the figure in the correction direction are defined. Is input from the outside and stored. For example, when the pattern after the OPC process is fractured and the pattern is decomposed into a plurality of figures, the line width of the figure in the correction direction described above for each figure constituting the pattern obtained by connecting the plurality of figures A dimension w is defined as attribute information. When a plurality of figures come into contact with each other in the correction direction, the line width dimension in the correction direction of the whole connected figure is defined.

また、記憶装置144には、離散的な複数の裕度DL(U,L)がパラメータとして外部から入力され、格納されている。裕度DL(U,L)は、パターン寸法CDと近接効果密度Uとに依存した、パターン寸法CDと電子ビームの照射量Dとの関係を示す係数として定義される。言い換えれば、裕度DL(U,L)は、同じ近接効果密度Uであってもパターン寸法CDが変化することで変動する値となる。逆に、裕度DL(U,L)は、同じパターン寸法CDであっても近接効果密度Uが変化することで変動する値となる。ここでは、パターン寸法CDと近接効果密度Uとを可変にして、離散的な複数の条件での裕度DL(U,L)を予め実験等により求めておく。   In addition, a plurality of discrete tolerances DL (U, L) are input from the outside as parameters and stored in the storage device 144. The tolerance DL (U, L) is defined as a coefficient indicating the relationship between the pattern dimension CD and the electron beam irradiation amount D depending on the pattern dimension CD and the proximity effect density U. In other words, the tolerance DL (U, L) is a value that varies as the pattern dimension CD changes even if the proximity effect density U is the same. Conversely, the tolerance DL (U, L) is a value that varies as the proximity effect density U changes even if the pattern size CD is the same. Here, the pattern dimension CD and the proximity effect density U are made variable, and the tolerance DL (U, L) under a plurality of discrete conditions is obtained in advance by experiments or the like.

ここで、図1では、実施の形態1を説明する上で必要な構成を記載している。描画装置100にとって、通常、必要なその他の構成を備えていても構わない。例えば、ここでは対物偏向を1段の偏向器208を用いて行っているが、2段以上の偏向器を用いても構わない。例えば、主副2段の主偏向器および副偏向器を用いてもよい。或いは、3段の第1の対物偏向器、第2の対物偏向器および第3の対物偏向器を用いてもよい。また、偏向制御回路130は、図示しない各DACアンプを介して、偏向器205、及び偏向器208に接続される。   Here, FIG. 1 shows a configuration necessary for explaining the first embodiment. The drawing apparatus 100 may normally have other necessary configurations. For example, although the objective deflection is performed using the one-stage deflector 208 here, two or more stages of deflectors may be used. For example, a main and sub two-stage main deflector and sub deflector may be used. Alternatively, a three-stage first objective deflector, second objective deflector, and third objective deflector may be used. Further, the deflection control circuit 130 is connected to the deflector 205 and the deflector 208 via respective DAC amplifiers (not shown).

図2は、実施の形態1における照射量補正の仕方を説明するための概念図である。上述したように、昨今のパターンの微細化に伴って、描画されるパターンの寸法が小さくなるにつれ、従来の照射量演算モデルでは誤差が生じてしまうことがわかってきた。具体的には、従来の照射量モデルでは、描画されるパターンの寸法が、電子の前方散乱の影響半径σpsに対して十分に大きい場合に成り立つ。そのため、描画されるパターンの寸法が電子の前方散乱の影響半径σpsに対して十分に大きいとは言えない寸法まで小さくなってくると従来の照射量モデルとのずれが生じてしまう。電子の前方散乱の影響半径σpsが20〜30nmに対して、例えば、パターンの線幅寸法が150nmなら前方散乱の影響を受けずに従来の照射量モデルが成り立つ。しかしながら、例えば、パターンの線幅寸法が50nm以下程度にまで小さくなってくると前方散乱の影響を受けて従来の照射量モデルでは寸法誤差が生じる。 FIG. 2 is a conceptual diagram for explaining how to correct the dose in the first embodiment. As described above, it has been found that with the recent miniaturization of patterns, as the size of a drawn pattern becomes smaller, an error occurs in the conventional dose calculation model. Specifically, the conventional dose model is established when the dimension of the drawn pattern is sufficiently larger than the influence radius σ ps of the forward scattering of electrons. For this reason, when the dimension of the drawn pattern is reduced to a dimension that cannot be said to be sufficiently large with respect to the influence radius σ ps of the forward scattering of electrons, a deviation from the conventional irradiation model occurs. For an electron forward scattering influence radius σ ps of 20 to 30 nm, for example, if the line width dimension of the pattern is 150 nm, the conventional irradiation dose model is established without being affected by forward scattering. However, for example, when the line width dimension of the pattern is reduced to about 50 nm or less, a dimensional error occurs in the conventional dose model due to the influence of forward scattering.

かかる前方散乱の影響を受ける程度のパターン寸法になってくると、図2(a)の照射量プロファイル30が示すように、最大照射量D’が設定された照射量Dに届かない。そのため、従来の手法で照射した際にパターン10のエッジ位置に蓄積される照射量を予定していても、実際に得られる寸法は、それよりも細い閾値照射量Dthのパターン12になってしまう。そこで、実施の形態1では、従来の手法で照射した際にパターン10のエッジ位置に蓄積される照射量を前方散乱補正照射係数α(L(x))と照射量Dの積として定義する。言い換えれば、前方散乱の寄与率をαとして、照射量Dに乗じたαDを定義する。そして、図2(b)に示すように、かかるαDが閾値照射量Dthになるように補正する。言い換えれば、照射量プロファイル30の各値に1/(2α)を乗じて照射量プロファイル32に変換することで、従来の照射量モデルに合わせ込むことができる。よって、実施の形態1では、照射量を演算するにあたって、かかる前方散乱補正照射係数α(L(x))を図形毎に求めることで、かかる前方散乱補正照射係数α(L(x))を使って、その後の補正計算を行う。かかる手法により、補正量を無の状態の初めからすべて演算する場合に比べて演算処理量を大幅に低減できる。   When the pattern size is such that it is affected by such forward scattering, the maximum dose D ′ does not reach the set dose D as indicated by the dose profile 30 in FIG. Therefore, even if the irradiation dose accumulated at the edge position of the pattern 10 is planned when irradiation is performed by the conventional method, the actually obtained dimension is the pattern 12 having a smaller threshold irradiation dose Dth. . Therefore, in the first embodiment, the irradiation amount accumulated at the edge position of the pattern 10 when the irradiation is performed by the conventional method is defined as the product of the forward scattering correction irradiation coefficient α (L (x)) and the irradiation amount D. In other words, αD obtained by multiplying the dose D is defined by α as the contribution ratio of forward scattering. Then, as shown in FIG. 2B, the correction is made so that αD becomes the threshold dose Dth. In other words, by multiplying each value of the dose profile 30 by 1 / (2α) and converting it to the dose profile 32, it is possible to match the conventional dose model. Therefore, in the first embodiment, in calculating the irradiation amount, the forward scattering correction irradiation coefficient α (L (x)) is calculated by obtaining the forward scattering correction irradiation coefficient α (L (x)) for each figure. To perform subsequent correction calculations. With this method, the amount of calculation processing can be greatly reduced compared to the case where all correction amounts are calculated from the beginning.

図3は、実施の形態1におけるパターンを構成する複数の図形の一例を示す図である。図3には、パターン20として、途中で線幅が変更になりながら延びる所謂L字型のパターンの一例を示している。かかるパターン20は、描画装置100へデータが入力される前のフラクチャ処理により複数の図形22a,b,c,・・・に分割される。ここで、各図形において重要なサイズは、パターン20の長手方向(線方向)ではなく、これと直交する線幅寸法である。よって、寸法変動を補正する必要があるのは、かかるパターン20の長手方向(線方向)に直交する方向の線幅寸法となる。しかしながら、従来の描画データでは、分割された各図形の図形コード、座標、x方向サイズ、y方向サイズが定義されているだけで、補正したい方向(補正方向)の線幅寸法が定義されていない。そのため、このままでは、補正方向を特定することは困難である。そこで、実施の形態1では、描画データの各図形のパターンデータに、図形の図形コード、座標、x方向サイズ、y方向サイズの他に、さらに、補正方向の線幅寸法Lを定義するように構成した。図3の例では、例えば、分割された図形22aについて、座標(x1,y1)、サイズ(Lx1,Ly1)、の他に、さらに、線幅寸法L1が定義される。その他の図形22b,c,・・・についても同様である。また、パターン20の延びる方向が途中で変更された場合でも、変更後のパターン20の長手方向(線方向)に直交する方向の線幅寸法を図形のパターンデータに定義しておけばよい。図3の例では、例えば、分割された図形22nについて、座標(x17,y17)、サイズ(Lx17,Ly17)、の他に、さらに、線幅寸法L17が定義される。なお、補正方向に複数の図形が接触してつながる場合はつながった図形全体の補正方向における線幅寸法が定義される。そして、実施の形態1では、かかる補正方向の線幅寸法Lを使って、前方散乱補正照射係数α(L(x))を求める。かかる線幅寸法Lをパラメータとして用いることで、前方散乱補正照射係数α(L(x))を簡易に取得できる。また、描画装置100において描画条件が変更になった場合でもかかる線幅寸法Lをパラメータとしてα(L(x))を求めることができる。よって、描画前に補正量を演算して入力データに属性情報として定義してしまう場合のように、描画条件が変更になった場合に使用できなくなるといった不具合を回避できる。   FIG. 3 is a diagram showing an example of a plurality of figures constituting the pattern in the first embodiment. FIG. 3 shows an example of a so-called L-shaped pattern that extends as the pattern 20 changes in line width on the way. The pattern 20 is divided into a plurality of figures 22 a, b, c,... By fracturing processing before data is input to the drawing apparatus 100. Here, an important size in each figure is not the longitudinal direction (line direction) of the pattern 20 but the line width dimension orthogonal to the pattern 20. Therefore, it is the line width dimension in the direction orthogonal to the longitudinal direction (line direction) of the pattern 20 that needs to correct the dimension variation. However, in the conventional drawing data, only the figure code, coordinates, x direction size, and y direction size of each divided figure are defined, and the line width dimension in the direction to be corrected (correction direction) is not defined. . Therefore, it is difficult to specify the correction direction as it is. Therefore, in the first embodiment, in addition to the graphic code, coordinates, x-direction size, and y-direction size of the graphic, a line width dimension L in the correction direction is defined in the pattern data of each graphic of the drawing data. Configured. In the example of FIG. 3, for example, a line width dimension L1 is defined in addition to the coordinates (x1, y1) and the size (Lx1, Ly1) for the divided figure 22a. The same applies to the other figures 22b, c,. Even when the extending direction of the pattern 20 is changed in the middle, the line width dimension in the direction orthogonal to the longitudinal direction (line direction) of the pattern 20 after the change may be defined in the pattern data of the figure. In the example of FIG. 3, for example, a line width dimension L17 is defined in addition to the coordinates (x17, y17) and the size (Lx17, Ly17) for the divided figure 22n. When a plurality of figures come into contact with each other in the correction direction, the line width dimension in the correction direction of the whole connected figure is defined. In the first embodiment, the forward scattering correction irradiation coefficient α (L (x)) is obtained using the line width dimension L in the correction direction. By using the line width dimension L as a parameter, the forward scattering correction irradiation coefficient α (L (x)) can be easily obtained. Further, even when the drawing condition is changed in the drawing apparatus 100, α (L (x)) can be obtained using the line width dimension L as a parameter. Therefore, it is possible to avoid the problem that the correction amount cannot be used when the drawing condition is changed, such as when the correction amount is calculated before the drawing and defined as attribute information in the input data.

図4は、実施の形態1における図形毎の描画データのフォーマットの一例を示す図である。図4では、描画装置100に入力される描画データは、図形毎に、ヘッダ、図形コード(k−code)、配置座標(x,y)、図形寸法(L1,L2)が定義され、ヘッダの属性情報として補正方向の線幅寸法Lがさらに定義される。   FIG. 4 is a diagram showing an example of the format of drawing data for each graphic in the first embodiment. In FIG. 4, the drawing data input to the drawing apparatus 100 is defined with a header, a figure code (k-code), an arrangement coordinate (x, y), and a figure dimension (L1, L2) for each figure. A line width dimension L in the correction direction is further defined as attribute information.

図5は、実施の形態1における描画方法の要部工程を示すフローチャート図である。図5において、実施の形態1における描画方法は、面積密度ρ算出工程(S100)と、寸法変動量ΔCD算出工程(S102)と、関数ρp1/2α(L(x))算出工程(S108)と、近接効果補正照射係数Dp’(x)算出工程(S112)と、照射量密度ρ’(x)算出工程(S115)と、かぶり補正照射係数Df(x)算出工程(S116)と、関数ρpL/2α(L(x))算出工程(S118)と、近接効果補正照射係数Dp(x)算出工程(S120)と、裕度DL(U,L)算出工程(S122)と、照射量D(x)算出工程(S124)と、描画工程(S126)という一連の工程を実施する。なお、実施の形態1では、前方散乱に起因した寸法変動と、近接効果に起因した寸法変動と、かぶりに起因した寸法変動と、ローディング効果に起因した寸法変動とをすべて補正しているが、これに限るものではない。例えば、前方散乱に起因した寸法変動と、近接効果に起因した寸法変動とを補正した照射量を求めてもよい。これにより、前方散乱に起因した寸法変動と、近接効果に起因した寸法変動とが補正できる。言い換えれば、前方散乱に起因した寸法変動と、近接効果、かぶり或いはローディング効果に起因した寸法変動のうちの1つ以上とを補正した照射量を求めてもよい。まず、制御計算機110は、記憶装置140から描画データを読み出す。そして、以下の演算を実施する。 FIG. 5 is a flowchart showing main steps of the drawing method according to the first embodiment. 5, the drawing method according to the first embodiment includes an area density ρ calculating step (S100), a dimensional variation ΔCD calculating step (S102), and a function ρ p1 / 2α (L (x)) calculating step (S108). A proximity effect correction irradiation coefficient Dp ′ (x) calculation step (S112), a dose density ρ ′ (x) calculation step (S115), a fog correction irradiation coefficient Df (x) calculation step (S116), a function ρ pL / 2α (L (x)) calculation step (S118), proximity effect correction irradiation coefficient Dp (x) calculation step (S120), tolerance DL (U, L) calculation step (S122), irradiation dose A series of steps of a D (x) calculation step (S124) and a drawing step (S126) are performed. In the first embodiment, the dimensional fluctuation caused by forward scattering, the dimensional fluctuation caused by the proximity effect, the dimensional fluctuation caused by the fogging, and the dimensional fluctuation caused by the loading effect are all corrected. This is not a limitation. For example, an irradiation amount obtained by correcting the dimensional variation due to forward scattering and the dimensional variation due to the proximity effect may be obtained. Thereby, the dimensional variation caused by forward scattering and the dimensional variation caused by the proximity effect can be corrected. In other words, the dose that corrects the dimensional variation due to forward scattering and one or more of the dimensional variations due to the proximity effect, fogging, or loading effect may be obtained. First, the control computer 110 reads drawing data from the storage device 140. Then, the following calculation is performed.

面積密度ρ算出工程(S100)として、面積密度ρ算出部41は、試料101の描画領域を所定のサイズの複数のメッシュ領域に仮想分割して、メッシュ領域毎に、図形パターンが占める面積密度を演算する。面積密度ρ算出部41は、ローディング効果の影響半径の例えば1/10のサイズのメッシュ領域毎に面積密度ρL(x)を演算する。面積密度ρL(x)を演算するメッシュサイズとしては、例えば、100〜500μm程度が好適である。 As the area density ρ calculating step (S100), the area density ρ calculating unit 41 virtually divides the drawing area of the sample 101 into a plurality of mesh areas of a predetermined size, and determines the area density occupied by the graphic pattern for each mesh area. Calculate. The area density ρ calculation unit 41 calculates the area density ρ L (x) for each mesh region having a size of, for example, 1/10 of the influence radius of the loading effect. The mesh size for calculating the area density ρ L (x) is preferably about 100 to 500 μm, for example.

寸法変動量ΔCD算出工程(S102)として、ΔCD算出部40は、描画データに定義された図形パターン毎に、ローディング効果に起因した寸法変動量ΔCD(x)を算出する。ΔCD算出部40は、寸法変動量演算部の一例である。寸法変動量ΔCD(x)は、分布関数gL(x)、面積密度ρL(x)、ローディング効果補正係数γ、及び位置依存の寸法変動量P(x)を用いて、以下の式(1)で定義される。なお、分布関数gL(x)は、例えば、ガウシアン分布関数等を用いると好適である。また、面積密度ρL(x)は、ローディング効果の影響半径の例えば1/10のサイズのメッシュ領域毎に演算された値を用いるとよい。演算されたΔCD(x)は記憶装置142に一時的に格納される。 In the dimension variation amount ΔCD calculation step (S102), the ΔCD calculation unit 40 calculates the dimension variation amount ΔCD (x) due to the loading effect for each graphic pattern defined in the drawing data. The ΔCD calculation unit 40 is an example of a dimensional variation amount calculation unit. The dimensional variation amount ΔCD (x) is expressed by the following equation (5) using the distribution function g L (x) , area density ρ L (x) , loading effect correction coefficient γ, and position-dependent dimensional variation amount P (x) Defined in 1). As the distribution function g L (x) , for example, a Gaussian distribution function or the like is preferably used. The area density ρ L (x) may be a value calculated for each mesh region having a size of, for example, 1/10 of the radius of influence of the loading effect. The calculated ΔCD (x) is temporarily stored in the storage device 142.

Figure 0005871557
Figure 0005871557

ρp1/2α(L(x))算出工程(S108)として、ρp1/2α算出部44は、かぶり効果補正用の近接効果に起因する寸法変動を補正するための面積密度を算出する。本工程では、図形毎のパターン面積に1/2α(L(x))の重みを付けて面積密度を算出する。実施の形態では、この重み付きの面積密度を、重みの付いていない面積密度ρを用いて、便宜上、ρ/2α(L(x))と表現する。演算された関数ρp1/2α(L(x))は記憶装置142に一時的に格納される。 In the ρ p1 / 2α (L (x)) calculation step (S108), the ρ p1 / 2α calculation unit 44 calculates an area density for correcting the dimensional variation due to the proximity effect for fogging effect correction. In this step, the area density is calculated by assigning a weight of 1 / 2α (L (x)) to the pattern area for each figure. In the embodiment, this weighted area density is expressed as ρ / 2α (L (x)) for the sake of convenience using the unweighted area density ρ. The calculated function ρ p1 / 2α (L (x)) is temporarily stored in the storage device 142.

α(L(x))は、パターン寸法L(x)に依存した係数となる。α(L(x))は、パターン寸法L(x)と規格化された分布関数gps(x)を用いて、以下の式(2)で定義される。なお、分布関数gps(x)は、前方散乱の影響半径σpsを用いて、以下の式(3)で定義される。また、面積密度ρp1は、かぶり効果補正用に、本来の近接効果補正用のメッシュサイズよりも大きなメッシュサイズΔp1のメッシュ領域毎に演算された値を用いるとよい。例えば、メッシュサイズΔp1が5〜10μmのメッシュ領域毎に演算されるとよい。 α (L (x)) is a coefficient depending on the pattern dimension L (x). α (L (x)) is defined by the following equation (2) using the pattern dimension L (x) and the normalized distribution function g ps (x). The distribution function g ps (x) is defined by the following equation (3) using the forward scattering influence radius σ ps . The area density ρ p1 may be a value calculated for each mesh region having a mesh size Δ p1 larger than the original mesh size for proximity effect correction for fogging effect correction. For example, it may mesh size delta p1 is calculated for each mesh region of 5 to 10 [mu] m.

Figure 0005871557
Figure 0005871557

Figure 0005871557
Figure 0005871557

そして、当該図形パターンの端部の座標をxとしたときのα(L(x))を求める。言い換えれば、例えば、当該図形パターンの寸法Lの中心位置を分布関数の中心とした場合に、x=L/2としたときのα(L(x))を求める。これにより、前方散乱の寄与率となる前方散乱補正照射係数が得られる。   Then, α (L (x)) is obtained when the coordinate of the end of the graphic pattern is x. In other words, for example, when the center position of the dimension L of the graphic pattern is the center of the distribution function, α (L (x)) is obtained when x = L / 2. Thereby, the forward scattering correction irradiation coefficient which becomes a contribution ratio of forward scattering is obtained.

近接効果補正照射係数Dp’(x)算出工程(S112)として、Dp’(x)算出部51は、ρp1/2α(L(x))を用いて、かぶり補正計算に用いるための近接効果に起因する寸法変動を補正する近接効果補正照射係数Dp’(x)を演算する。Dp’(x)は、ρp1/2α(L(x))、分布関数g(x)、近接効果補正係数ηを用いて、以下の式(4)で定義される。 In the proximity effect correction irradiation coefficient Dp ′ (x) calculation step (S112), the Dp ′ (x) calculation unit 51 uses ρ p1 / 2α (L (x)) to use the proximity effect for the fog correction calculation. The proximity effect correction irradiation coefficient Dp ′ (x) for correcting the dimensional variation due to the is calculated. Dp ′ (x) is defined by the following equation (4) using ρ p1 / 2α (L (x)), distribution function g p (x), and proximity effect correction coefficient η.

Figure 0005871557
Figure 0005871557

なお、分布関数g(x)は、例えば、ガウシアン分布関数等を用いると好適である。また、式(4)中の第2項のρp1/2α(L(x))は、既に求めた関数ρp1/2α(L(x))を用いることで演算処理時間を短縮できる。ここでは、かぶり補正計算用にメッシュサイズΔp1が本来の近接効果補正用のメッシュサイズΔpLよりも大きな寸法としているため演算回数が減り、演算処理時間を短縮できる。以上により、前方散乱に起因する寸法変動を補正しながら近接効果に起因する寸法変動も補正することができるかぶり補正計算用のDp’(x)を取得できる。 As the distribution function g p (x), for example, a Gaussian distribution function or the like is preferably used. In addition, ρ p1 / 2α (L (x)) of the second term in the formula (4) can shorten the calculation processing time by using the already obtained function ρ p1 / 2α (L (x)). Here, reduces the number of calculations because it a size larger than the mesh size delta pL of mesh size delta p1 is inherent proximity correction for head correction calculation can be shortened processing time. As described above, Dp ′ (x) for fog correction calculation that can correct the dimensional variation due to the proximity effect while correcting the dimensional variation due to the forward scattering can be acquired.

照射量密度ρ’(x)算出工程(S115)として、照射量密度ρ’(x)算出部53は、照射量密度ρ’(x)を演算する。照射量密度ρ’(x)は、前方散乱補正照射係数α(L(x))に依存した関数である。ρ’(x)は、かぶり補正計算用の近接効果補正照射係数Dp’(x)、ρp1/2α(L(x))、かぶり補正計算用の近接効果メッシュサイズΔp1、及びかぶり効果補正用のメッシュサイズΔを用いて、以下の式(5)で定義される。メッシュサイズΔは、かぶり効果の影響半径の例えば1/10のサイズが好適である。例えば、100〜500μm程度が好適である。ここでは、ρ’(x)を照射量密度と命名しているが、これは便宜上の名称であって他の名称でももちろん構わない。単に、関数ρ’(x)でもよい。 As the irradiation density ρ ′ (x) calculation step (S115), the irradiation density ρ ′ (x) calculation unit 53 calculates the irradiation density ρ ′ (x). The dose density ρ ′ (x) is a function that depends on the forward scattering correction irradiation coefficient α (L (x)). ρ ′ (x) is the proximity effect correction irradiation coefficient Dp ′ (x) for the fog correction calculation, ρ p1 / 2α (L (x)), the proximity effect mesh size Δ p1 for the fog correction calculation, and the fog effect correction with a mesh size delta f of use is defined by the following equation (5). Mesh size delta f, it is preferable effect size of the radius of example 1/10 of the fogging effect. For example, about 100 to 500 μm is preferable. Here, ρ ′ (x) is named as the dose density, but this is a name for convenience and other names may be used. The function ρ ′ (x) may be simply used.

Figure 0005871557
Figure 0005871557

かぶり補正照射係数Df(x)算出工程(S116)として、Df(x)算出部52は、前方散乱補正照射係数α(L(x))に依存した関数である照射量密度ρ’(x)を用いて、かぶりに起因する寸法変動を補正するかぶり補正照射係数Df(x)を演算する。Df(x)算出部52は、かぶり補正照射係数演算部の一例である。Df(x)は、分布関数g(x)、照射量密度ρ’(x)、かぶり補正係数θ、近接効果補正係数ηを用いて、以下の式(6)で定義される。 In the fog correction irradiation coefficient Df (x) calculation step (S116), the Df (x) calculation unit 52 has a dose density ρ ′ (x) that is a function dependent on the forward scattering correction irradiation coefficient α (L (x)). Is used to calculate the fog correction irradiation coefficient Df (x) for correcting the dimensional variation caused by the fog. The Df (x) calculation unit 52 is an example of a fog correction irradiation coefficient calculation unit. Df (x) is defined by the following formula (6) using the distribution function g f (x), the dose density ρ ′ (x), the fog correction coefficient θ, and the proximity effect correction coefficient η.

Figure 0005871557
Figure 0005871557

なお、分布関数g(x)は、例えば、ガウシアン分布関数等を用いると好適である。また、式(6)中の第2項のρ’(x)は、既に求めた関数ρ’(x)を用いることで演算処理時間を短縮できる。以上により、前方散乱に起因する寸法変動を補正しながら近接効果とさらにかぶりに起因する寸法変動も補正することができるDf(x)を取得できる。 For example, a Gaussian distribution function or the like is preferably used as the distribution function g f (x). In addition, for the second term ρ ′ (x) in the equation (6), the calculation processing time can be shortened by using the already obtained function ρ ′ (x). As described above, it is possible to acquire Df (x) that can correct the dimensional variation due to the proximity effect and further the fog while correcting the dimensional variation due to the forward scattering.

関数ρpL/2α(L(x))算出工程(S118)として、ρpL/2α算出部46は、かぶり効果補正用ではない本来の近接効果に起因する寸法変動を補正するための面積密度を算出する。本工程では、図形毎のパターン面積に1/2α(L(x))の重みを付けて面積密度を算出する。演算された関数ρpL/2α(L(x))は記憶装置142に一時的に格納される。 In the function ρ pL / 2α (L (x)) calculation step (S118), the ρ pL / 2α calculation unit 46 calculates the area density for correcting the dimensional variation caused by the original proximity effect that is not for the fogging effect correction. calculate. In this step, the area density is calculated by assigning a weight of 1 / 2α (L (x)) to the pattern area for each figure. The calculated function ρ pL / 2α (L (x)) is temporarily stored in the storage device 142.

α(L(x))は、上述した式(2),式(3)を用いればよい。また、面積密度ρpLは、本来の近接効果の影響半径の例えば1/10のメッシュサイズΔpLのメッシュ領域毎に演算された値を用いるとよい。例えば、メッシュサイズΔpLが1μm程度のメッシュ領域毎に演算されるとよい。なお、ρpL/2α算出部46は、図1において制御計算機110内に配置されているが、制御計算機120内に配置されてもよい。 For α (L (x)), the above-described equations (2) and (3) may be used. The area density ρ pL may be a value calculated for each mesh region having a mesh size Δ pL of 1/10 of the original influence radius of the proximity effect. For example, it may be calculated for each mesh region having a mesh size ΔpL of about 1 μm. The ρ pL / 2α calculation unit 46 is arranged in the control computer 110 in FIG. 1, but may be arranged in the control computer 120.

近接効果補正照射係数Dp(x)算出工程(S120)として、Dp(x)算出部50は、ρpL/2α(L(x))を用いて、本来の近接効果に起因する寸法変動を補正する近接効果補正照射係数Dp(x)を演算する。Dp(x)算出部50は、近接効果補正照射係数演算部の一例である。Dp(x)は、ρpL/2α(L(x))、分布関数g(x)、近接効果補正係数ηを用いて、以下の式(7)で定義される。 In the proximity effect correction irradiation coefficient Dp (x) calculation step (S120), the Dp (x) calculation unit 50 corrects dimensional variations caused by the original proximity effect using ρ pL / 2α (L (x)). The proximity effect corrected irradiation coefficient Dp (x) is calculated. The Dp (x) calculation unit 50 is an example of a proximity effect correction irradiation coefficient calculation unit. Dp (x) is defined by the following formula (7) using ρ pL / 2α (L (x)), distribution function g p (x), and proximity effect correction coefficient η.

Figure 0005871557
Figure 0005871557

なお、分布関数g(x)は、例えば、ガウシアン分布関数等を用いると好適である。また、式(7)中の第2項のρpL/2α(L(x))は、既に求めた関数ρpL/2α(L(x))を用いることで演算処理時間を短縮できる。以上により、前方散乱に起因する寸法変動を補正しながら近接効果に起因する寸法変動も補正することができるDp(x)を取得できる。 As the distribution function g p (x), for example, a Gaussian distribution function or the like is preferably used. In addition, ρ pL / 2α (L (x)) of the second term in the equation (7) can shorten the calculation processing time by using the already obtained function ρ pL / 2α (L (x)). As described above, it is possible to obtain Dp (x) that can correct the dimensional variation due to the proximity effect while correcting the dimensional variation due to the forward scattering.

裕度DL(U,L)算出工程(S122)として、DL(U,L)算出部54は、パターン寸法Lと近接効果密度Uとを用いて、パターン寸法Lと電子ビームの照射量Dとの関係を示す係数であって、パターン寸法Lと近接効果密度Uとに依存して変化する裕度DL(U,L)を演算する。DL(U,L)算出部54は、裕度演算部の一例である。   In the tolerance DL (U, L) calculation step (S122), the DL (U, L) calculation unit 54 uses the pattern dimension L and the proximity effect density U to calculate the pattern dimension L and the electron beam irradiation amount D. A tolerance DL (U, L) that varies depending on the pattern dimension L and the proximity effect density U is calculated. The DL (U, L) calculation unit 54 is an example of a tolerance calculation unit.

図6は、実施の形態1におけるパターン寸法CDと照射量Dとの相関データの一例を示すグラフである。縦軸はパターン寸法CDを示し、横軸は照射量Dを対数で示している。ここでは、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合について実験により求めている。近接効果密度U(x)=0は実際にはパターンが無いことになってしまうので、周囲に何もない状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。逆に、近接効果密度U(x)=1は周囲を含めてメッシュ内全体がパターンになってしまい寸法が測れないので、周囲がパターンで埋め尽くされた状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。ここで、設定する近接効果密度U(x)は、0%,50%,100%の各場合に限るものではない。例えば、10%以下のいずれかと、50%と、90%以上のいずれかとの3つを用いても好適である。また、3種類に限らず、その他の数の種類で測定してもよい。例えば4種類以上測定しても構わない。裕度DL(U,L)は、例えば、かかるグラフの傾きを示す。パターン寸法Lが、前方散乱の影響を受けない程度に大きいサイズまでは、裕度DL(U,L)は、パターン寸法Lに関わらず、近接効果密度U(x)に対して一定値を得ることができる。しかし、パターン寸法Lが、前方散乱の影響を受ける程度以下まで小さくなってくると、近接効果密度U(x)が一定であってもパターン寸法Lに依存して変化する。そのため、実施の形態1では、予め、評価パターンを用いて実験等によりパターン寸法Lと近接効果密度Uとに依存して変化する離散的な複数の裕度DL(U,L)を求めておき記憶装置144に記憶しておく。   FIG. 6 is a graph showing an example of correlation data between the pattern dimension CD and the dose D in the first embodiment. The vertical axis represents the pattern dimension CD, and the horizontal axis represents the dose D in logarithm. Here, for example, the proximity effect density U (x) = 0 (0%), 0.5 (50%), and 1 (100%) are obtained by experiments. Since the proximity effect density U (x) = 0 actually means that there is no pattern, it can be approximated by drawing one line pattern for measurement in a state where there is nothing around. . On the other hand, the proximity effect density U (x) = 1 is a pattern in the entire mesh including the periphery, and the dimension cannot be measured. Therefore, the measurement line pattern is set to 1 for example in a state where the periphery is completely filled with the pattern. Can be approximated by drawing one. Here, the proximity effect density U (x) to be set is not limited to 0%, 50%, and 100%. For example, it is also preferable to use any one of 10% or less, 50%, and 90% or more. Moreover, you may measure by not only three types but another number. For example, four or more types may be measured. The tolerance DL (U, L) indicates, for example, the slope of the graph. Until the pattern dimension L is large enough not to be influenced by forward scattering, the tolerance DL (U, L) is constant with respect to the proximity effect density U (x) regardless of the pattern dimension L. be able to. However, when the pattern dimension L becomes smaller than the level affected by the forward scattering, the pattern dimension L changes depending on the pattern dimension L even if the proximity effect density U (x) is constant. Therefore, in the first embodiment, a plurality of discrete tolerances DL (U, L) that vary depending on the pattern dimension L and the proximity effect density U are obtained in advance by experiments or the like using the evaluation pattern. It is stored in the storage device 144.

そして、DL(U,L)算出部54は、記憶装置144から離散的な複数のDL(U,L)データを読み出し、フィッティングすることで連続したDL(U,L)を求めることができる。なお、近接効果密度U(x)は、近接効果メッシュ内のパターン面積密度ρ(x)に分布関数g(x)を近接効果の影響範囲σ以上の範囲で畳み込み積分した値で定義される。近接効果メッシュは、上述した近接効果の影響半径σの例えば1/10程度のサイズが好適であり、例えば、1μm程度のサイズが好適である。 Then, the DL (U, L) calculation unit 54 can obtain continuous DL (U, L) by reading and fitting a plurality of discrete DL (U, L) data from the storage device 144. The proximity effect density U (x) is defined as a value obtained by convolving and integrating the distribution function g p (x) with a pattern area density ρ p (x) in the proximity effect mesh within the range of the proximity effect influence range σ p or more. Is done. The proximity effect mesh preferably has a size of, for example, about 1/10 of the above-described proximity effect influence radius σ p , for example, a size of about 1 μm.

照射量D(x)算出工程(S124)として、D(x)算出部56は、近接効果補正照射係数Dp(x)と前方散乱補正照射係数α(L(x))とを用いて照射量D(x)を演算する。D(x)算出部56は、照射量演算部の一例である。実施の形態1では、さらに、かぶり補正照射係数Df(x)を用いてかかる照射量D(x)を演算する。実施の形態1では、さらに、裕度DL(U,L)とローディング効果に起因する寸法変動量ΔCDとを用いて照射量D(x)を演算する。照射量D(x)は、基準照射量Dbase、Dp(x)、α(L(x))、Df(x)、DL(U,L)、及びΔCD(x)を用いて、以下の式(8)で定義される。

Figure 0005871557
In the irradiation amount D (x) calculation step (S124), the D (x) calculation unit 56 uses the proximity effect correction irradiation coefficient Dp (x) and the forward scattering correction irradiation coefficient α (L (x)) to perform the irradiation amount. D (x) is calculated. The D (x) calculation unit 56 is an example of an irradiation amount calculation unit. In the first embodiment, the irradiation dose D (x) is further calculated using the fog correction irradiation coefficient Df (x). In the first embodiment, the dose D (x) is further calculated using the tolerance DL (U, L) and the dimensional variation amount ΔCD caused by the loading effect. The irradiation dose D (x) is expressed as follows using the reference irradiation dose D base , Dp (x), α (L (x)), Df (x), DL (U, L), and ΔCD (x). It is defined by equation (8).
Figure 0005871557

式(8)から照射量を演算することで、前方散乱に起因する寸法変動を補正しながら近接効果とかぶりとローディング効果とに起因する寸法変動も合わせて補正することができる。かぶりとローディング効果とに起因する寸法変動の補正を行わず、前方散乱に起因する寸法変動を補正しながら近接効果に起因する寸法変動を合わせて補正する場合には、Df(x)、DL(U,L)、及びΔCD(x)を使用しなければよい。ローディング効果に起因する寸法変動の補正を行わず、前方散乱に起因する寸法変動を補正しながら近接効果とかぶりに起因する寸法変動を合わせて補正する場合には、DL(U,L)、及びΔCD(x)を使用しなければよい。かぶりに起因する寸法変動の補正を行わず、前方散乱に起因する寸法変動を補正しながら近接効果とローディング効果とに起因する寸法変動を合わせて補正する場合には、Df(x)を使用しなければよい。このように、補正する内容に合わせて適宜式を調整すればよい。いずれの場合でも、前方散乱に起因する寸法変動を補正できる。そして、演算された照射量D(x)は、記憶装置146に格納される。その際、照射量D(x)は、照射時間算出部58によって、照射時間tに換算されたデータとして格納されると好適である。照射時間tは、照射量D(x)を電流密度Jで割ることで求めることができる。   By calculating the dose from the equation (8), it is possible to correct the dimensional variation caused by the proximity effect, the fogging, and the loading effect while correcting the dimensional variation caused by the forward scattering. When correcting the dimensional variation due to the proximity effect while correcting the dimensional variation due to the forward scattering without correcting the dimensional variation due to the fogging and the loading effect, Df (x), DL ( U, L) and ΔCD (x) need not be used. When correcting the dimensional variation due to the proximity effect and the fog while correcting the dimensional variation due to the forward scattering without correcting the dimensional variation due to the loading effect, DL (U, L), and If ΔCD (x) is not used. Df (x) is used when correcting the dimensional variation due to the proximity effect and the loading effect while correcting the dimensional variation due to the forward scattering without correcting the dimensional variation due to the fog. If there is no. In this way, the formula may be adjusted appropriately according to the content to be corrected. In either case, dimensional variations due to forward scattering can be corrected. The calculated dose D (x) is stored in the storage device 146. At this time, it is preferable that the irradiation amount D (x) is stored as data converted into the irradiation time t by the irradiation time calculation unit 58. The irradiation time t can be obtained by dividing the irradiation amount D (x) by the current density J.

一方、かかる照射量演算を行う処理と並行して、ショットデータ生成部58は、記憶装置140から描画データを入力し、複数段のデータ変換処理を行って、装置固有のショットデータを生成する。ショットデータ生成部58は、描画データに定義された複数の図形パターンを1度の電子ビーム200で照射可能なサイズ(成形可能なサイズ)のショット図形に変換し、各ショット図形の照射位置、ショット図形の種類、及びショット図形サイズ等が定義されたショットデータを生成する。かかるショットデータは、記憶装置146に格納される。   On the other hand, in parallel with the process of calculating the dose, the shot data generation unit 58 receives drawing data from the storage device 140, performs a plurality of stages of data conversion processing, and generates apparatus-specific shot data. The shot data generation unit 58 converts a plurality of figure patterns defined in the drawing data into shot figures of a size that can be irradiated with one electron beam 200 (size that can be formed), and the irradiation position and shot of each shot figure Shot data in which a figure type, a shot figure size, and the like are defined is generated. Such shot data is stored in the storage device 146.

描画工程(S122)として、描画制御部62の制御の下、まず、偏向制御回路130は、記憶装置146から照射量D(x)データを読み出し、ショット図形毎に、各ショット図形に定義された照射量(照射時間)だけ電子ビーム200を照射し、照射時間tが経過したら電子ビーム200を遮へいするように偏向するための偏向量を演算する。そして、かかる偏向量の偏向電圧をDACアンプ132を介して対応するブランキング偏向器212に印加する。また、偏向制御回路130は、ショットデータに沿って、定義された描画位置に電子ビーム200を偏向するための偏向量を演算する。同様に、各ショット図形に定義された図形種及びサイズの図形に成形するための偏向量を演算する。そして、図示しないDACアンプを介して各偏向量の偏向電圧を対応する偏向器205,208に印加する。そして、描画制御部62の制御の下、描画部150は、求めた照射量D(x)に基づいて、電子ビーム200を用いて、試料101に当該図形パターンを描画する。具体的には、以下の動作を行なう。   As the drawing step (S122), under the control of the drawing control unit 62, the deflection control circuit 130 first reads the irradiation amount D (x) data from the storage device 146, and is defined for each shot figure for each shot figure. The electron beam 200 is irradiated for the irradiation amount (irradiation time), and when the irradiation time t elapses, a deflection amount for deflecting the electron beam 200 to be shielded is calculated. Then, the deflection voltage having such a deflection amount is applied to the corresponding blanking deflector 212 via the DAC amplifier 132. Further, the deflection control circuit 130 calculates a deflection amount for deflecting the electron beam 200 to a defined drawing position along the shot data. Similarly, a deflection amount for forming a graphic of the graphic type and size defined for each shot graphic is calculated. Then, a deflection voltage of each deflection amount is applied to the corresponding deflectors 205 and 208 via a DAC amplifier (not shown). Then, under the control of the drawing control unit 62, the drawing unit 150 draws the graphic pattern on the sample 101 using the electron beam 200 based on the obtained dose D (x). Specifically, the following operation is performed.

電子銃201(放出部)から放出された電子ビーム200は、ブランキング偏向器212内を通過する際にブランキング偏向器212によって、ビームONの状態では、ブランキングアパーチャ214を通過するように制御され、ビームOFFの状態では、ビーム全体がブランキングアパーチャ214で遮へいされるように偏向される。ビームOFFの状態からビームONとなり、その後ビームOFFになるまでにブランキングアパーチャ214を通過した電子ビーム200が1回の電子ビームのショットとなる。ブランキング偏向器212は、通過する電子ビーム200の向きを制御して、ビームONの状態とビームOFFの状態とを交互に生成する。例えば、ビームONの状態では電圧を印加せず、ビームOFFの際にブランキング偏向器212に電圧を印加すればよい。かかる各ショットの照射時間tで試料101に照射される電子ビーム200のショットあたりの照射量が調整されることになる。   When the electron beam 200 emitted from the electron gun 201 (emission unit) passes through the blanking deflector 212, it is controlled by the blanking deflector 212 so as to pass through the blanking aperture 214 in the beam ON state. In the beam OFF state, the entire beam is deflected so as to be shielded by the blanking aperture 214. The electron beam 200 that has passed through the blanking aperture 214 until the beam is turned off after the beam is turned off becomes one shot of the electron beam. The blanking deflector 212 controls the direction of the passing electron beam 200 to alternately generate a beam ON state and a beam OFF state. For example, the voltage may be applied to the blanking deflector 212 when the beam is OFF, without applying a voltage when the beam is ON. The irradiation amount per shot of the electron beam 200 irradiated on the sample 101 is adjusted at the irradiation time t of each shot.

以上のようにブランキング偏向器212とブランキングアパーチャ214を通過することによって生成された各ショットの電子ビーム200は、照明レンズ202により矩形例えば長方形の穴を持つ第1の成形アパーチャ203全体を照明する。ここで、電子ビーム200をまず矩形例えば長方形に成形する。そして、第1の成形アパーチャ203を通過した第1のアパーチャ像の電子ビーム200は、投影レンズ204により第2の成形アパーチャ206上に投影される。偏向器205によって、かかる第2の成形アパーチャ206上での第1のアパーチャ像は偏向制御され、ビーム形状と寸法を変化させる(可変成形を行なう)ことができる。かかる可変成形はショット毎に行なわれ、通常ショット毎に異なるビーム形状と寸法に成形される。そして、第2の成形アパーチャ206を通過した第2のアパーチャ像の電子ビーム200は、対物レンズ207により焦点を合わせ、偏向器208によって偏向され、連続的に移動するXYステージ105に配置された試料101の所望する位置に照射される。XYステージ105は移動しているため、偏向器208はXYステージ105の移動に追従するように電子ビーム200を偏向する。   As described above, the electron beam 200 of each shot generated by passing through the blanking deflector 212 and the blanking aperture 214 illuminates the entire first shaping aperture 203 having a rectangular hole, for example, a rectangular hole, by the illumination lens 202. To do. Here, the electron beam 200 is first formed into a rectangle, for example, a rectangle. Then, the electron beam 200 of the first aperture image that has passed through the first shaping aperture 203 is projected onto the second shaping aperture 206 by the projection lens 204. The deflector 205 controls the deflection of the first aperture image on the second shaping aperture 206 and can change the beam shape and dimensions (variable shaping is performed). Such variable shaping is performed for each shot, and is usually shaped into different beam shapes and dimensions for each shot. The electron beam 200 of the second aperture image that has passed through the second shaping aperture 206 is focused by the objective lens 207, deflected by the deflector 208, and placed on the XY stage 105 that moves continuously. 101 is irradiated to a desired position. Since the XY stage 105 is moving, the deflector 208 deflects the electron beam 200 so as to follow the movement of the XY stage 105.

以上のように実施の形態1によれば、近接効果等の他の変動要因と共に、荷電粒子の前方散乱に起因する寸法変動を補正できる。その結果、描画されるパターンの寸法が電子の前方散乱の影響半径に対して十分に大きいとは言えない寸法であっても、高精度な描画ができる。   As described above, according to the first embodiment, it is possible to correct dimensional variation caused by forward scattering of charged particles, along with other variation factors such as proximity effect. As a result, even if the dimension of the pattern to be drawn is a dimension that cannot be said to be sufficiently large with respect to the radius of influence of the forward scattering of electrons, highly accurate drawing can be performed.

図7は、実施の形態1におけるパターンを構成する複数の図形の他の一例を示す図である。上述したように、各図形において重要なサイズは、パターン20の長手方向(線方向)ではなく、これと直交する線幅寸法である。よって、マスク製造に係わるプロセス起因して寸法変動を補正する必要があるのは、かかるパターン20の長手方向(線方向)に直交する方向の線幅寸法となる。しかしながら、従来の描画データでは、分割された各図形の図形コード、座標、x方向サイズ、y方向サイズが定義されているだけで、補正したい方向(補正方向)が定義されていない。そのため、このままでは、補正方向自体も特定することは困難である。ここで、補正方向自体が特定できれば、図形コード、x方向サイズ、y方向サイズから補正方向の線幅寸法Lを求めることは可能である。そこで、描画データの各図形のパターンデータに、図形の図形コード、座標、x方向サイズ、y方向サイズの他に、さらに、補正方向を定義するように構成してもよい。上述した例では、パターン20が分割された図形22aについて、座標(x1,y1)、サイズ(Lx1,Ly1)、線幅寸法L1が定義されていたが、図7では、座標(x1,y1)、サイズ(Lx1,Ly1)、補正方向Yが定義される。同様に、その他の図形22b,c,・・・についても同様である。また、パターン20の延びる方向が途中で変更された場合でも、変更後のパターン20の長手方向(線方向)に直交する方向を補正方向として、図形のパターンデータに定義しておけばよい。図7の例では、例えば、分割された図形22nについて、座標(x17,y17)、サイズ(Lx17,Ly17)、の他に、さらに、補正方向Xが定義される。   FIG. 7 is a diagram showing another example of a plurality of figures constituting the pattern in the first embodiment. As described above, the important size in each figure is not the longitudinal direction (line direction) of the pattern 20 but the line width dimension orthogonal thereto. Therefore, it is the line width dimension in the direction orthogonal to the longitudinal direction (line direction) of the pattern 20 that needs to correct the dimensional variation due to the process related to mask manufacturing. However, in the conventional drawing data, only the figure code, coordinates, x-direction size, and y-direction size of each divided figure are defined, but the direction to be corrected (correction direction) is not defined. For this reason, it is difficult to specify the correction direction itself as it is. If the correction direction itself can be specified, the line width dimension L in the correction direction can be obtained from the figure code, the x direction size, and the y direction size. Therefore, in addition to the graphic code, coordinates, x-direction size, and y-direction size of the graphic, the correction direction may be further defined in the pattern data of each graphic of the drawing data. In the example described above, the coordinates (x1, y1), the size (Lx1, Ly1), and the line width dimension L1 are defined for the figure 22a obtained by dividing the pattern 20, but in FIG. 7, the coordinates (x1, y1) are defined. , Size (Lx1, Ly1), and correction direction Y are defined. Similarly, the same applies to the other figures 22b, c,. Even when the extending direction of the pattern 20 is changed in the middle, the direction orthogonal to the longitudinal direction (line direction) of the changed pattern 20 may be defined in the pattern data of the figure as the correction direction. In the example of FIG. 7, for example, the correction direction X is defined in addition to the coordinates (x17, y17) and the size (Lx17, Ly17) for the divided figure 22n.

そして、α(L(x))算出部42は、まず、かかる補正方向から補正方向のパターン寸法Lを算出する。そして、以降は上述した内容と同様に演算すればよい。   Then, the α (L (x)) calculation unit 42 first calculates the pattern dimension L in the correction direction from the correction direction. Thereafter, calculation may be performed in the same manner as described above.

実施の形態2.
実施の形態1では、ローディング効果の補正について、近接効果の補正とは別のパラメータを用いて照射量を演算する例を示した。実施の形態2では、基板の位置毎に、近接効果補正を維持しながらローディング効果による寸法変動量もあわせて補正する基準照射量Dbaseと近接効果補正係数ηとの組を用いた場合について説明する。以下、特に説明した点以外の内容は、実施の形態1と同様である。
Embodiment 2. FIG.
In the first embodiment, an example in which the irradiation amount is calculated using a parameter different from the correction of the proximity effect for the correction of the loading effect is shown. In the second embodiment, a case where a set of a reference irradiation amount D base and a proximity effect correction coefficient η that corrects a dimensional variation due to a loading effect while maintaining proximity effect correction for each position of the substrate will be described. To do. The contents other than the points specifically described below are the same as those in the first embodiment.

図8は、実施の形態2における描画装置の構成を示す概念図である。図8において、制御計算機120内に、さらに、基準照射量Dbase(ΔCD)算出部70、近接効果補正係数η(ΔCD)算出部72、及び裕度DL(U)算出部74を追加した点以外は、図1と同様である。基準照射量Dbase(ΔCD)算出部70、近接効果補正係数η(ΔCD)算出部72、及び裕度DL(U)算出部74は、制御計算機120内の他の機能と同様、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機120に必要な入力データ或いは演算された結果はその都度メモリ121に記憶される。 FIG. 8 is a conceptual diagram illustrating a configuration of a drawing apparatus according to the second embodiment. In FIG. 8, a reference irradiation amount D base (ΔCD) calculation unit 70, a proximity effect correction coefficient η (ΔCD) calculation unit 72, and a tolerance DL (U) calculation unit 74 are further added to the control computer 120. Except for this, it is the same as FIG. The reference dose D base (ΔCD) calculation unit 70, the proximity effect correction coefficient η (ΔCD) calculation unit 72, and the tolerance DL (U) calculation unit 74 are similar to other functions in the control computer 120, such as software. It may be constituted by. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 120 or the calculated result is stored in the memory 121 each time.

図9は、実施の形態2における描画方法の要部工程を示すフローチャート図である。図9において、実施の形態2における描画方法は、ΔCD算出工程(S102)の後に、基準照射量Dbase(ΔCD)算出工程(S104)と、近接効果補正係数η(ΔCD)算出工程(S106)とが追加され、裕度DL(U,L)算出工程(S122)の後に、裕度DL(U)算出工程(S123)が追加された点、近接効果補正照射係数Dp’(x)算出工程(S112)の代わりに近接効果補正照射係数Dp’(x)算出工程(S115)が追加された点、かぶり補正照射係数Df(x)算出工程(S116)の代わりにかぶり補正照射係数Df(x)算出工程(S117)が追加された点、近接効果補正照射係数Dp(x)算出工程(S120)の代わりに近接効果補正照射係数Dp(x)算出工程(S121)が追加された点、及び、照射量D(x)算出工程(S124)の代わりに照射量D(x)算出工程(S125)が追加された点以外は、図5と同様である。 FIG. 9 is a flowchart showing main steps of the drawing method according to the second embodiment. In FIG. 9, in the drawing method according to the second embodiment, after the ΔCD calculation step (S102), the reference dose D base (ΔCD) calculation step (S104) and the proximity effect correction coefficient η (ΔCD) calculation step (S106). And a point where a tolerance DL (U) calculation step (S123) is added after a tolerance DL (U, L) calculation step (S122), a proximity effect correction irradiation coefficient Dp ′ (x) calculation step The proximity correction irradiation coefficient Dp ′ (x) calculation step (S115) is added instead of (S112), and the fog correction irradiation coefficient Df (x) is replaced with the fog correction irradiation coefficient Df (x) calculation step (S116). ) A point where a calculation step (S117) is added, a point where a proximity effect correction irradiation coefficient Dp (x) calculation step (S121) is added instead of the proximity effect correction irradiation coefficient Dp (x) calculation step (S120), Beauty, except that dose D (x) calculation step instead (S125) is added in the dose D (x) calculation step (S124), it is similar to FIG.

記憶装置144には、実施の形態1と同様、離散的な複数の裕度DL(U,L)がパラメータとして外部から入力され、格納されている。実施の形態2では、その他にも、離散的な複数の裕度DL(U)がパラメータとして外部から入力され、格納されている。裕度DL(U)は、近接効果密度Uに依存した、パターン寸法CDと電子ビームの照射量Dとの関係を示す係数として定義される。言い換えれば、裕度DL(U)は、同じ近接効果密度Uならばパターン寸法CDが変化しても変動しない値となる。例えば、パターン寸法Lが、前方散乱の影響を受けない程度に大きいサイズの評価パターンを用いてパターン寸法CDと照射量Dとの相関データを実験的に求める。例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合について実験により求める。そして、裕度DL(U)は、例えば、パターン寸法CDと照射量Dとの相関データのグラフの傾きを示す。これにより、パターン寸法CDには依存せず近接効果密度Uに依存した、離散的な複数の裕度DL(U)を求めることができる。離散的な複数の裕度DL(U)は、記憶装置144に記憶しておく。   Similar to the first embodiment, the storage device 144 stores a plurality of discrete tolerances DL (U, L) as parameters from the outside. In the second embodiment, in addition, a plurality of discrete tolerances DL (U) are input as parameters from the outside and stored. The tolerance DL (U) is defined as a coefficient indicating the relationship between the pattern dimension CD and the electron beam dose D depending on the proximity effect density U. In other words, the tolerance DL (U) is a value that does not vary even if the pattern dimension CD changes if the proximity effect density U is the same. For example, correlation data between the pattern dimension CD and the dose D is experimentally obtained by using an evaluation pattern having a size that is large enough that the pattern dimension L is not affected by forward scattering. For example, the proximity effect density U (x) = 0 (0%), 0.5 (50%), and 1 (100%) are obtained by experiments. And tolerance DL (U) shows the inclination of the graph of the correlation data of pattern dimension CD and dose D, for example. Thereby, it is possible to obtain a plurality of discrete tolerances DL (U) depending on the proximity effect density U without depending on the pattern dimension CD. A plurality of discrete tolerances DL (U) are stored in the storage device 144.

記憶装置144には、さらに、ローディング効果に起因した寸法変動量ΔCDと近接効果補正を維持しながら寸法変動量ΔCDを同時に補正可能な基準照射量Dbaseとの相関データが格納される。同様に、ローディング効果に起因した寸法変動量ΔCDと近接効果補正を維持しながら寸法変動量ΔCDを同時に補正可能な近接効果補正係数ηとの相関データが格納される。ここで、基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。 The storage device 144 further stores correlation data between the dimensional variation amount ΔCD caused by the loading effect and the reference irradiation amount D base that can simultaneously correct the dimensional variation amount ΔCD while maintaining the proximity effect correction. Similarly, correlation data between the dimensional variation amount ΔCD caused by the loading effect and the proximity effect correction coefficient η capable of simultaneously correcting the dimensional variation amount ΔCD while maintaining the proximity effect correction is stored. Here, there is a proximity effect correction coefficient η with which the proximity effect correction matches well for each reference dose D base .

よって、ΔCD毎に、基準照射量Dbaseと近接効果補正係数ηとの組が定める。描画前に、予め寸法変動量ΔCDを可変にして、かかる相関データを寸法変動量ΔCD毎に、予め実験により求めておく。このように、記憶装置144には、ローディング効果に起因した寸法変動量ΔCDに依存した基準照射量Dbase(ΔCD)のデータと、同様に寸法変動量ΔCDに依存した近接効果補正係数η(ΔCD)のデータとが格納される。 Therefore, a set of the reference dose D base and the proximity effect correction coefficient η is determined for each ΔCD. Prior to drawing, the dimensional variation amount ΔCD is made variable in advance, and such correlation data is obtained in advance by experiments for each dimensional variation amount ΔCD. As described above, the storage device 144 stores the data of the reference irradiation amount D base (ΔCD) depending on the dimensional variation amount ΔCD caused by the loading effect, and the proximity effect correction coefficient η (ΔCD similarly dependent on the dimensional variation amount ΔCD. ) Data is stored.

実施の形態2において、面積密度ρ算出工程(S100)からΔCD算出工程(S102)までの各工程の内容は実施の形態1と同様である。   In the second embodiment, the contents of each process from the area density ρ calculating step (S100) to the ΔCD calculating step (S102) are the same as those in the first embodiment.

基準照射量Dbase(ΔCD)算出工程(S104)として、Dbase(ΔCD)算出部70は、記憶装置144からΔCD(x)を読み出し、ΔCD(x)を用いて、基準照射量Dbase(ΔCD)を算出する。 In the reference dose D base (ΔCD) calculation step (S104), the D base (ΔCD) calculation unit 70 reads ΔCD (x) from the storage device 144 and uses ΔCD (x) to obtain the reference dose D base ( ΔCD) is calculated.

近接効果補正係数η(ΔCD)算出工程(S106)として、η(ΔCD)算出部72は、記憶装置144からΔCD(x)を読み出し、ΔCD(x)を用いて、近接効果補正係数η(ΔCD)を算出する。   In the proximity effect correction coefficient η (ΔCD) calculation step (S106), the η (ΔCD) calculation unit 72 reads ΔCD (x) from the storage device 144 and uses ΔCD (x) to calculate the proximity effect correction coefficient η (ΔCD ) Is calculated.

以上により、近接効果補正を維持しながら寸法変動量ΔCDを同時に補正可能な基準照射量Dbase(ΔCD)と近接効果補正係数η(ΔCD)との組を取得できる。ρp1/2α(L(x))算出工程(S108)の内容は実施の形態1と同様である。 As described above, a set of the reference irradiation amount D base (ΔCD) and the proximity effect correction coefficient η (ΔCD) that can simultaneously correct the dimensional variation ΔCD while maintaining the proximity effect correction can be acquired. The content of the ρ p1 / 2α (L (x)) calculation step (S108) is the same as that in the first embodiment.

近接効果補正照射係数Dp’(x)算出工程(S114)として、Dp’(x)算出部51は、ρp1/2α(L(x))を用いて、かぶり補正計算に用いるための近接効果に起因する寸法変動を補正する近接効果補正照射係数Dp’(x)を演算する。Dp’(x)は、ηの代わりに、近接効果補正係数η(ΔCD(x))を用いて、式(4)の代わりに、以下の式(9)で定義される。 In the proximity effect correction irradiation coefficient Dp ′ (x) calculation step (S114), the Dp ′ (x) calculation unit 51 uses ρ p1 / 2α (L (x)) to use the proximity effect for the fog correction calculation. The proximity effect correction irradiation coefficient Dp ′ (x) for correcting the dimensional variation due to the is calculated. Dp ′ (x) is defined by the following equation (9) instead of equation (4) using the proximity effect correction coefficient η (ΔCD (x)) instead of η.

Figure 0005871557
Figure 0005871557

以上により、前方散乱に起因する寸法変動を補正しながら近接効果に起因する寸法変動も補正することができるかぶり補正計算用のDp’(x)を取得できる。また、同時にローディング効果を補正する。但し、ローディング効果の補正については、このままでは前方散乱に起因した誤差が残るため、その分については後で補正する。   As described above, Dp ′ (x) for fog correction calculation that can correct the dimensional variation due to the proximity effect while correcting the dimensional variation due to the forward scattering can be acquired. At the same time, the loading effect is corrected. However, with regard to the correction of the loading effect, an error caused by forward scattering remains as it is, so that the amount is corrected later.

照射量密度ρ’(x)算出工程(S115)として、照射量密度ρ’(x)算出部53は、照射量密度ρ’(x)を演算する。ここで、照射量密度ρ’(x)を求める際、実施の形態2では、基準照射量Dbase(ΔCD)と基準照射量Dbaseとを用いて上述した式(5)を補正する。実施の形態2における照射量密度ρ’(x)は、式(5)の代わりに、以下の式(10)で定義される。 As the irradiation density ρ ′ (x) calculation step (S115), the irradiation density ρ ′ (x) calculation unit 53 calculates the irradiation density ρ ′ (x). Here, when obtaining the dose density ρ ′ (x), in the second embodiment, the above-described equation (5) is corrected using the reference dose D base (ΔCD) and the reference dose D base . The dose density ρ ′ (x) in the second embodiment is defined by the following equation (10) instead of equation (5).

Figure 0005871557
Figure 0005871557

かぶり補正照射係数Df(x)算出工程(S117)として、Df(x)算出部52は、前方散乱補正照射係数α(L(x))に依存した関数である照射量密度ρ’(x)を用いて、かぶりに起因する寸法変動を補正するかぶり補正照射係数Df(x)を演算する。Df(x)算出部52は、かぶり補正照射係数演算部の一例である。Df(x)は、分布関数g(x)、照射量密度ρ’(x)、かぶり補正係数θ、近接効果補正係数ηを用いて、以下の式(11)で定義される。ここで、ρ’(x)は、式(10)で定義されたものを用いる。 In the fog correction irradiation coefficient Df (x) calculation step (S117), the Df (x) calculation unit 52 has a dose density ρ ′ (x) that is a function depending on the forward scattering correction irradiation coefficient α (L (x)). Is used to calculate the fog correction irradiation coefficient Df (x) for correcting the dimensional variation caused by the fog. The Df (x) calculation unit 52 is an example of a fog correction irradiation coefficient calculation unit. Df (x) is defined by the following equation (11) using the distribution function g f (x), the dose density ρ ′ (x), the fog correction coefficient θ, and the proximity effect correction coefficient η. Here, ρ ′ (x) is defined by the equation (10).

Figure 0005871557
Figure 0005871557

以上により、前方散乱に起因する寸法変動を補正しながら近接効果とさらにかぶりに起因する寸法変動も補正することができるDf(x)を取得できる。また、同時にローディング効果を補正する。但し、ローディング効果の補正については、このままでは前方散乱に起因した誤差が残るため、その分については後で補正する。   As described above, it is possible to acquire Df (x) that can correct the dimensional variation due to the proximity effect and further the fog while correcting the dimensional variation due to the forward scattering. At the same time, the loading effect is corrected. However, with regard to the correction of the loading effect, an error caused by forward scattering remains as it is, so that the amount is corrected later.

裕度DL(U,L)算出工程(S122)において、裕度DL(U,L)(第1の裕度)を演算する。裕度DL(U,L)算出工程(S122)の内容については、実施の形態1と同様である。DL(U,L)算出部54は、第1の裕度演算部の一例である。実施の形態2では、上述したように、さらに、裕度DL(U)算出工程(S123)が実施される。   In the tolerance DL (U, L) calculation step (S122), the tolerance DL (U, L) (first tolerance) is calculated. The contents of the tolerance DL (U, L) calculation step (S122) are the same as those in the first embodiment. The DL (U, L) calculation unit 54 is an example of a first tolerance calculation unit. In the second embodiment, as described above, the tolerance DL (U) calculation step (S123) is further performed.

裕度DL(U)算出工程(S123)として、DL(U)算出部74は、近接効果密度U(x)を用いて、パターン寸法Lと電子ビームの照射量Dとの関係を示す係数であって、同一の近接効果密度U(x)においてはパターン寸法Lによっては変化せず、近接効果密度U(x)に依存して変化する裕度DL(U)(第2の裕度)を演算する。DL(U)算出部54は、第2の裕度演算部の一例である。DL(U)算出部74は、記憶装置144から離散的な複数のDL(U)データを読み出し、フィッティングすることで連続したDL(U)を求めることができる。   In the tolerance DL (U) calculation step (S123), the DL (U) calculation unit 74 uses the proximity effect density U (x) and is a coefficient indicating the relationship between the pattern dimension L and the electron beam dose D. In the same proximity effect density U (x), the tolerance DL (U) (second tolerance) which does not change depending on the pattern dimension L and changes depending on the proximity effect density U (x). Calculate. The DL (U) calculation unit 54 is an example of a second tolerance calculation unit. The DL (U) calculation unit 74 can obtain continuous DL (U) by reading a plurality of discrete DL (U) data from the storage device 144 and fitting them.

照射量D(x)算出工程(S125)として、照射量D(x)算出部56は、近接効果補正照射係数Dp(x)と前方散乱補正照射係数α(L(x))と裕度DL(U,L)と裕度DL(U)とローディング効果に起因する寸法変動量ΔCD(x)とを用いて照射量D(x)を演算する。照射量D(x)は、基準照射量Dbase(ΔCD)、Dp(x)、Df(x)、DL(U,L)、DL(U)、及びΔCD(x)を用いて、以下の式(12)で定義される。

Figure 0005871557
In the irradiation amount D (x) calculation step (S125), the irradiation amount D (x) calculation unit 56 includes a proximity effect correction irradiation coefficient Dp (x), a forward scattering correction irradiation coefficient α (L (x)), and a tolerance DL. The dose D (x) is calculated using (U, L), the tolerance DL (U), and the dimensional variation ΔCD (x) caused by the loading effect. The irradiation dose D (x) is calculated using the reference irradiation dose D base (ΔCD), Dp (x), Df (x), DL (U, L), DL (U), and ΔCD (x) as follows: It is defined by equation (12).
Figure 0005871557

式(12)から照射量を演算することで、前方散乱に起因する寸法変動を補正しながら近接効果とかぶりとローディング効果とに起因する寸法変動も合わせて補正することができる。かぶりに起因する寸法変動の補正を行わず、前方散乱に起因する寸法変動を補正しながら近接効果とローディング効果とに起因する寸法変動を合わせて補正する場合には、Df(x)を使用しなければよい。このように、補正する内容に合わせて適宜式を調整すればよい。実施の形態2では、ローディング効果の補正について、Dbase(ΔCD)と、η(ΔCD)とで補正する。但し、このままでは、前方散乱に起因した誤差が残るため、その分について、DL(U,L)、DL(U)、及びΔCD(x)を用いた項で補正する。 By calculating the dose from the equation (12), it is possible to correct the dimensional variation caused by the proximity effect, the fogging, and the loading effect while correcting the dimensional variation caused by the forward scattering. Df (x) is used when correcting the dimensional variation due to the proximity effect and the loading effect while correcting the dimensional variation due to the forward scattering without correcting the dimensional variation due to the fog. If there is no. In this way, the formula may be adjusted appropriately according to the content to be corrected. In the second embodiment, the loading effect is corrected by D base (ΔCD) and η (ΔCD). However, since the error due to forward scattering remains as it is, the corresponding amount is corrected by a term using DL (U, L), DL (U), and ΔCD (x).

以上のように実施の形態2によれば、ΔCDに依存したDbase(ΔCD)と、η(ΔCD)とを用いた場合でも、近接効果等の他の変動要因と共に、電子の前方散乱に起因する寸法変動を補正できる。その結果、描画されるパターンの寸法が電子の前方散乱の影響半径に対して十分に大きいとは言えない寸法であっても、高精度な描画ができる。 As described above, according to the second embodiment, even when D base (ΔCD) and η (ΔCD) depending on ΔCD are used, it is caused by forward scattering of electrons together with other fluctuation factors such as a proximity effect. It is possible to correct the dimensional variation. As a result, even if the dimension of the pattern to be drawn is a dimension that cannot be said to be sufficiently large with respect to the radius of influence of the forward scattering of electrons, highly accurate drawing can be performed.

以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。上述した実施の形態1において、ΔCD算出工程(S100)がρ算出工程(S100)の次に配置されているがこれに限るものではない。ΔCD算出工程(S100)は照射量D(x)算出工程(S124)が開始されるまでに行われていればどこでも良い。同様に、DL(U,L)算出工程(S122)についても照射量D(x)算出工程(S124)が開始されるまでに行われていればどこでも良い。また、実施の形態2において、Dbase(ΔCD)算出工程(S104)とη(ΔCD)算出工程(S106)は、どちらを先に実施しても構わない。或いは、並列処理してもよい。また、ΔCD算出工程(S100)はDbase(ΔCD)算出工程(S104)とη(ΔCD)算出工程(S106)のうちの先に実施される工程が開始されるまでに行われていればどこでも良い。また、DL(U,L)算出工程(S122)とDL(U)算出工程(S123)は、どちらを先に実施しても構わない。或いは、並列処理してもよい。DL(U,L)算出工程(S122)とDL(U)算出工程(S123)は、照射量D(x)算出工程(S125)が開始されるまでに行われていればどこでも良い。また、前方散乱補正照射係数α(L(x))は、用いられた各式の計算の都度、各式の中で式(2)(3)の定義に従って計算されると好適である。 The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples. In the first embodiment described above, the ΔCD calculation step (S100) is arranged next to the ρ calculation step (S100), but the present invention is not limited to this. The ΔCD calculation step (S100) may be performed anywhere as long as the irradiation amount D (x) calculation step (S124) is started. Similarly, the DL (U, L) calculation step (S122) may be performed anywhere as long as the dose D (x) calculation step (S124) is started. In the second embodiment, either the D base (ΔCD) calculating step (S104) or the η (ΔCD) calculating step (S106) may be performed first. Alternatively, parallel processing may be performed. The ΔCD calculation step (S100) is performed anywhere before the start of the D base (ΔCD) calculation step (S104) and the η (ΔCD) calculation step (S106). good. Further, either the DL (U, L) calculation step (S122) or the DL (U) calculation step (S123) may be performed first. Alternatively, parallel processing may be performed. The DL (U, L) calculation step (S122) and the DL (U) calculation step (S123) may be performed anywhere as long as the dose D (x) calculation step (S125) is started. Further, it is preferable that the forward scattering correction irradiation coefficient α (L (x)) is calculated according to the definitions of the equations (2) and (3) in each equation every time the equations used are calculated.

また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。   In addition, although descriptions are omitted for parts and the like that are not directly required for the description of the present invention, such as a device configuration and a control method, a required device configuration and a control method can be appropriately selected and used. For example, although the description of the control unit configuration for controlling the drawing apparatus 100 is omitted, it goes without saying that the required control unit configuration is appropriately selected and used.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての荷電粒子ビーム描画装置及び方法は、本発明の範囲に包含される。   In addition, all charged particle beam writing apparatuses and methods that include elements of the present invention and that can be appropriately modified by those skilled in the art are included in the scope of the present invention.

10,12,20 パターン
22 図形
30,32 照射量プロファイル
40 ΔCD算出部
41 ρ算出部
44 関数ρp1/2α算出部
46 関数ρpL/2α算出部
50 Dp(x)算出部
51 Dp’(x)算出部
52 Df(x)算出部
53 ρ’(x)算出部
54 DL(U,L)算出部
56 D(x)算出部
58 照射時間算出部
60 ショットデータ生成部
62 描画制御部
70 Dbase(ΔCD)算出部
72 η(ΔCD)算出部
74 DL(U)算出部
100 描画装置
101 試料
102 電子鏡筒
103 描画室
105 XYステージ
110,120 制御計算機
111,121 メモリ
130 偏向制御回路
132 DAC
140,142,144,146 記憶装置
150 描画部
160 制御部
200 電子ビーム
201 電子銃
202 照明レンズ
203 第1の成形アパーチャ
204 投影レンズ
205 偏向器
206 第2の成形アパーチャ
207 対物レンズ
208 主偏向器
209 副偏向器
212 ブランキング偏向器
214 ブランキングアパーチャ
330 電子線
340 試料
410 第1のアパーチャ
411 開口
420 第2のアパーチャ
421 可変成形開口
430 荷電粒子ソース
10, 12, 20 pattern 22 figure 30, 32 dose profile 40 ΔCD calculation unit 41 ρ calculation unit 44 function ρ p1 / 2α calculation unit 46 function ρ pL / 2α calculation unit 50 Dp (x) calculation unit 51 Dp ′ (x ) Calculation unit 52 Df (x) calculation unit 53 ρ ′ (x) calculation unit 54 DL (U, L) calculation unit 56 D (x) calculation unit 58 Irradiation time calculation unit 60 Shot data generation unit 62 Drawing control unit 70 D base (ΔCD) calculation unit 72 η (ΔCD) calculation unit 74 DL (U) calculation unit 100 Drawing apparatus 101 Sample 102 Electron barrel 103 Drawing chamber 105 XY stage 110, 120 Control computer 111, 121 Memory 130 Deflection control circuit 132 DAC
140, 142, 144, 146 Storage device 150 Drawing unit 160 Control unit 200 Electron beam 201 Electron gun 202 Illumination lens 203 First shaping aperture 204 Projection lens 205 Deflector 206 Second shaping aperture 207 Objective lens 208 Main deflector 209 Sub deflector 212 Blanking deflector 214 Blanking aperture 330 Electron beam 340 Sample 410 First aperture 411 Opening 420 Second aperture 421 Variable shaped opening 430 Charged particle source

Claims (5)

図形パターン毎の補正方向のパターン寸法に依存した荷電粒子の前方散乱に起因する寸法変動を補正する前方散乱補正照射係数を用いて、近接効果に起因する寸法変動を補正する近接効果補正照射係数を演算する近接効果補正照射係数演算部と、
前記近接効果補正照射係数と前記前方散乱補正照射係数とを用いて照射量を演算する照射量演算部と、
前記照射量に基づいて、荷電粒子ビームを用いて、試料に当該図形パターンを描画する描画部と、
を備えたことを特徴とする荷電粒子ビーム描画装置。
Proximity effect correction irradiation coefficient that corrects dimensional fluctuation caused by proximity effect using forward scattering correction irradiation coefficient that corrects dimensional fluctuation caused by forward scattering of charged particles depending on pattern dimension in correction direction for each graphic pattern Proximity effect correction irradiation coefficient calculation unit to calculate,
A dose calculation unit that calculates a dose using the proximity effect correction irradiation coefficient and the forward scattering correction irradiation coefficient;
A drawing unit that draws the graphic pattern on the sample using a charged particle beam based on the irradiation amount;
A charged particle beam drawing apparatus comprising:
前記前方散乱補正照射係数に依存した関数を用いて、かぶりに起因する寸法変動を補正するかぶり補正照射係数を演算するかぶり補正照射係数演算部をさらに備え、
前記照射量演算部は、さらに、前記かぶり補正照射係数を用いて前記照射量を演算することを特徴とする請求項1記載の荷電粒子ビーム描画装置。
Using a function dependent on the forward scattering correction irradiation coefficient, further comprising a fog correction irradiation coefficient calculation unit for calculating a fog correction irradiation coefficient for correcting dimensional variation due to fog,
The charged particle beam drawing apparatus according to claim 1, wherein the irradiation amount calculation unit further calculates the irradiation amount using the fog correction irradiation coefficient.
ローディング効果に起因する寸法変動量を演算する寸法変動量演算部と、
前記パターン寸法と近接効果密度とを用いて、パターン寸法と荷電粒子ビームの照射量との関係を示す係数であって、前記パターン寸法と前記近接効果密度とに依存して変化する裕度を演算する裕度演算部と、
をさらに備え、
前記照射量演算部は、さらに、前記裕度とローディング効果に起因する寸法変動量とを用いて前記照射量を演算することを特徴とする請求項1又は2記載の荷電粒子ビーム描画装置。
A dimensional variation calculation unit for calculating the dimensional variation due to the loading effect;
Using the pattern dimension and proximity effect density, a coefficient indicating the relationship between the pattern dimension and the charged particle beam irradiation amount, and calculating a tolerance that varies depending on the pattern dimension and the proximity effect density A tolerance calculation unit to
Further comprising
The charged particle beam drawing apparatus according to claim 1, wherein the irradiation amount calculation unit further calculates the irradiation amount using the tolerance and a dimensional variation amount resulting from a loading effect.
前記パターン寸法と近接効果密度とを用いて、前記パターン寸法と前記近接効果密度とに依存した、パターン寸法と荷電粒子ビームの照射量との関係を示す係数となる第1の裕度を演算する第1の裕度演算部と、
前記近接効果密度を用いて、パターン寸法と荷電粒子ビームの照射量との関係を示す係数であって、同一の近接効果密度においては前記パターン寸法によっては変化せず、前記近接効果密度に依存して変化する第2の裕度を演算する第2の裕度演算部と、
をさらに備え、
前記照射量演算部は、さらに、前記第1の裕度と前記第2の裕度とローディング効果に起因する寸法変動量とを用いて前記照射量を演算することを特徴とする請求項1又は2記載の荷電粒子ビーム描画装置。
Using the pattern size and the proximity effect density, a first tolerance that is a coefficient indicating the relationship between the pattern size and the irradiation amount of the charged particle beam depending on the pattern size and the proximity effect density is calculated. A first tolerance calculation unit;
A coefficient indicating the relationship between the pattern size and the irradiation amount of the charged particle beam using the proximity effect density, and does not change depending on the pattern size at the same proximity effect density and depends on the proximity effect density. A second tolerance calculation unit for calculating a second tolerance that changes in accordance with
Further comprising
The said irradiation amount calculation part further calculates the said irradiation amount using the said 1st tolerance, the said 2nd tolerance, and the dimension variation | change_quantity resulting from a loading effect, It is characterized by the above-mentioned. 3. The charged particle beam drawing apparatus according to 2.
図形パターン毎に補正方向のパターン寸法に依存した荷電粒子の前方散乱に起因する寸法変動を補正する前方散乱補正照射係数を用いて、近接効果に起因する寸法変動を補正する近接効果補正照射係数を演算する工程と、
前記近接効果補正照射係数と前記前方散乱補正照射係数とを用いて照射量を演算する工程と、
前記照射量に基づいて、荷電粒子ビームを用いて、試料に当該図形パターンを描画する工程と、
を備えたことを特徴とする荷電粒子ビーム描画方法。
Proximity effect correction irradiation coefficient that corrects dimensional fluctuation caused by proximity effect using forward scattering correction irradiation coefficient that corrects dimensional fluctuation caused by forward scattering of charged particles depending on pattern dimension in correction direction for each graphic pattern A process of calculating;
Calculating a dose using the proximity effect corrected irradiation coefficient and the forward scattering corrected irradiation coefficient;
Drawing the figure pattern on the sample using a charged particle beam based on the irradiation amount;
A charged particle beam drawing method comprising:
JP2011230463A 2011-10-20 2011-10-20 Charged particle beam drawing apparatus and charged particle beam drawing method Active JP5871557B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011230463A JP5871557B2 (en) 2011-10-20 2011-10-20 Charged particle beam drawing apparatus and charged particle beam drawing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011230463A JP5871557B2 (en) 2011-10-20 2011-10-20 Charged particle beam drawing apparatus and charged particle beam drawing method

Publications (2)

Publication Number Publication Date
JP2013089838A JP2013089838A (en) 2013-05-13
JP5871557B2 true JP5871557B2 (en) 2016-03-01

Family

ID=48533443

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011230463A Active JP5871557B2 (en) 2011-10-20 2011-10-20 Charged particle beam drawing apparatus and charged particle beam drawing method

Country Status (1)

Country Link
JP (1) JP5871557B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6283180B2 (en) * 2013-08-08 2018-02-21 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and charged particle beam drawing method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1126360A (en) * 1997-07-09 1999-01-29 Sony Corp Manufacture of mask pattern, manufacturing device of mask pattern, and mask manufacturing device
JP3508617B2 (en) * 1999-05-11 2004-03-22 株式会社日立製作所 Electron beam drawing apparatus and drawing method using electron beam
JP4476975B2 (en) * 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus

Also Published As

Publication number Publication date
JP2013089838A (en) 2013-05-13

Similar Documents

Publication Publication Date Title
JP5871558B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP4976071B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP4476975B2 (en) Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
TWI438581B (en) Charged particle beam writing apparatus and charged particle beam writing method
JP6617066B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP5020849B2 (en) Charged particle beam drawing apparatus, pattern dimensional error correction apparatus, and pattern dimensional error correction method
JP4870437B2 (en) Method for calculating deflection aberration correction voltage and charged particle beam writing method
JP5616674B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2009064862A (en) Charged particle beam lithography apparatus and method
TW201921412A (en) Charged particle beam writing apparatus and charged particle beam writing method
JP6057635B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5731257B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2017098285A (en) Method for acquiring parameter for correction of charged particle beam exposure dose, charged particle beam lithography method, and charged particle beam lithography system
JP5985852B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP6869695B2 (en) Charged particle beam drawing device and charged particle beam drawing method
JP5871557B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2019204857A (en) Electron beam irradiation method, electron beam irradiation device, and program
JP5758325B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012069667A (en) Charged particle beam drawing device and drawing method of charged particle beam
JP6171062B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5525902B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012023279A (en) Charged particle beam lithography apparatus and charged particle beam lithography method
JP5441806B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP7031516B2 (en) Irradiation amount correction amount acquisition method, charged particle beam drawing method, and charged particle beam drawing device
JP5773637B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150724

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150728

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160105

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160112

R150 Certificate of patent or registration of utility model

Ref document number: 5871557

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250