JP2012069667A - Charged particle beam drawing device and drawing method of charged particle beam - Google Patents

Charged particle beam drawing device and drawing method of charged particle beam Download PDF

Info

Publication number
JP2012069667A
JP2012069667A JP2010212342A JP2010212342A JP2012069667A JP 2012069667 A JP2012069667 A JP 2012069667A JP 2010212342 A JP2010212342 A JP 2010212342A JP 2010212342 A JP2010212342 A JP 2010212342A JP 2012069667 A JP2012069667 A JP 2012069667A
Authority
JP
Japan
Prior art keywords
effect correction
fogging
fogging effect
amount
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010212342A
Other languages
Japanese (ja)
Inventor
Yasushi Matsumoto
裕史 松本
Tomoo Motosugi
知生 本杉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2010212342A priority Critical patent/JP2012069667A/en
Publication of JP2012069667A publication Critical patent/JP2012069667A/en
Pending legal-status Critical Current

Links

Landscapes

  • Electron Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a drawing device capable of correcting a dimensional variation which cannot be completely assumed in a fogging effect model together.SOLUTION: A charged particle beam drawing device comprises: a fogging effect correction coefficient acquisition part 26 which acquires a fogging effect correction coefficient in which a pattern size after fogging effect correction matches proximity effect correction; a residual fogging effect correction amount acquisition part 24 which acquires a residual fogging effect correction amount when the fogging effect is corrected; a reference irradiation amount calculation part 16 which calculates a reference irradiation amount when the residual fogging effect correction amount is corrected together with a proximity effect; a proximity effect correction coefficient calculation part 17 which calculates the proximity effect correction coefficient which corrects the residual fogging effect correction amount together with the proximity effect; a fogging effect correction irradiation coefficient calculation part 20 which calculates a fogging effect correction irradiation coefficient using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient; an irradiation amount calculation part 34 which calculates a beam irradiation amount using the fogging effect correction irradiation coefficient; and a drawing part 150 which draws a pattern on a sample based on the irradiation amount.

Description

本発明は、荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法に係り、例えば、かぶり効果を照射量で補正する装置および方法に関する。   The present invention relates to a charged particle beam drawing apparatus and a charged particle beam drawing method, and for example, relates to an apparatus and method for correcting a fogging effect with an irradiation amount.

半導体デバイスの微細化の進展を担うリソグラフィ技術は半導体製造プロセスのなかでも唯一パターンを生成する極めて重要なプロセスである。近年、LSIの高集積化に伴い、半導体デバイスに要求される回路線幅は年々微細化されてきている。これらの半導体デバイスへ所望の回路パターンを形成するためには、高精度の原画パターン(レチクル或いはマスクともいう。)が必要となる。ここで、電子線(電子ビーム)描画技術は本質的に優れた解像性を有しており、高精度の原画パターンの生産に用いられる。   Lithography technology, which is responsible for the progress of miniaturization of semiconductor devices, is an extremely important process for generating a pattern among semiconductor manufacturing processes. In recent years, with the high integration of LSI, circuit line widths required for semiconductor devices have been reduced year by year. In order to form a desired circuit pattern on these semiconductor devices, a highly accurate original pattern (also referred to as a reticle or a mask) is required. Here, the electron beam (electron beam) drawing technique has an essentially excellent resolution, and is used for producing a high-precision original pattern.

図15は、従来の可変成形型電子線描画装置の動作を説明するための概念図である。
可変成形型電子線(EB:Electron beam)描画装置は、以下のように動作する。第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料340に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過させ、任意形状を作成する方式を可変成形方式(VSB方式)という。
FIG. 15 is a conceptual diagram for explaining the operation of a conventional variable shaping type electron beam drawing apparatus.
The variable shaped electron beam (EB) drawing apparatus operates as follows. In the first aperture 410, a rectangular opening for forming the electron beam 330, for example, a rectangular opening 411 is formed. Further, the second aperture 420 is formed with a variable shaping opening 421 for shaping the electron beam 330 having passed through the opening 411 of the first aperture 410 into a desired rectangular shape. The electron beam 330 irradiated from the charged particle source 430 and passed through the opening 411 of the first aperture 410 is deflected by the deflector, passes through a part of the variable shaping opening 421 of the second aperture 420, and passes through a predetermined range. The sample 340 mounted on a stage that continuously moves in one direction (for example, the X direction) is irradiated. That is, the drawing area of the sample 340 mounted on the stage in which the rectangular shape that can pass through both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is continuously moved in the X direction. Drawn on. A method of creating an arbitrary shape by passing both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is referred to as a variable shaping method (VSB method).

上述した電子ビーム描画では、より高精度な試料面内、例えばマスク面内の線幅均一性が求められている。ここで、かかる電子ビーム描画では、電子ビームをレジストが塗布されたマスクに照射して回路パターンを描画する場合、電子ビームがレジスト層を透過してその下の層に達し、再度レジスト層に再入射する後方散乱による近接効果と呼ばれる現象が生じてしまう。さらに、近接効果よりも広い影響範囲でかぶり効果と呼ばれる現象が生じてしまう。これらにより、描画の際、所望する寸法からずれた寸法に描画されてしまう寸法変動が生じてしまう。一方、描画後の現像やエッチングを行なう場合においても、回路パターンの粗密に起因したローディング効果と呼ばれる寸法変動が生じてしまう。   In the above-described electron beam drawing, line width uniformity within a sample surface, for example, a mask surface with higher accuracy is required. Here, in such electron beam drawing, when a circuit pattern is drawn by irradiating a resist-coated mask with an electron beam, the electron beam passes through the resist layer and reaches the layer below it, and then reappears on the resist layer again. A phenomenon called a proximity effect due to incident backscattering occurs. Furthermore, a phenomenon called a fogging effect occurs in a wider range of influence than the proximity effect. As a result, during drawing, a dimensional variation is generated in which drawing is performed with a size shifted from a desired size. On the other hand, even when development or etching after drawing is performed, a dimensional variation called a loading effect due to the density of the circuit pattern occurs.

電子ビームの照射量は、例えば、基準照射量Dbaseと、近接効果を補正するための近接効果補正係数ηとパターン面積密度ρ或いは近接効果密度Uに依存した近接効果補正照射係数Dp(η,ρ)との積で計算される。ここで、基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。そして、基準照射量Dbaseが大きいほどレジスト像の寸法が大きくなる。 The electron beam irradiation amount includes, for example, a reference irradiation amount D base , a proximity effect correction coefficient η for correcting the proximity effect, and a proximity effect correction irradiation coefficient Dp (η, dependent on the pattern area density ρ or the proximity effect density U. ρ) and the product. Here, there is a proximity effect correction coefficient η with which the proximity effect correction matches well for each reference dose D base . The size of the resist image increases as the reference dose D base increases.

そこで、基板の位置毎に基準照射量Dbaseと近接効果補正係数ηとの組を変えて近接効果補正を維持しながらローディング効果による寸法変動量もあわせて補正する手法がある(例えば、特許文献1参照)。かかる手法で得られる照射量では、近接効果密度Uに関係なく、同じ寸法変化量が得られる。つまり、近接効果補正がずれないように寸法補正を行なう。かかる補正は、描画後の遮光膜のエッチング時に生じるローディング効果の補正に適している。 Therefore, there is a method of correcting the amount of dimensional variation due to the loading effect while changing the set of the reference dose D base and the proximity effect correction coefficient η for each position of the substrate and maintaining the proximity effect correction (for example, Patent Documents). 1). Regardless of the proximity effect density U, the same dimensional change amount can be obtained with the irradiation amount obtained by this method. That is, the dimension correction is performed so that the proximity effect correction does not shift. Such correction is suitable for correcting the loading effect that occurs during etching of the light shielding film after drawing.

一方、近接効果の影響範囲に比べて広域な影響範囲となるかぶり効果による寸法変動については、寸法精度が要求されてくるにつれ、広域的なパターン密度が高い領域において、従来のかぶり効果モデルで想定しきれていない誤差が生じることがわかってきた。   On the other hand, with regard to dimensional variation due to the fogging effect, which has a wider range of influence than the range of influence of the proximity effect, it is assumed in the conventional fogging effect model in a region where the pattern density is wide, as dimensional accuracy is required. It has been found that errors that are not full occur.

特開2007−150423号公報JP 2007-150423 A

上述したように、従来の手法では、かぶり効果モデルで想定しきれていない寸法変動を補正できていなかった。かかる問題に対し、広域的なパターン密度の高い領域で描画した際の近接効果密度毎の寸法の平均寸法と広域的なパターン密度の低い領域で近接効果補正を崩さずに描画した際の寸法とが同じ寸法になるようにかぶり補正係数を求めることも検討されている。しかし、かかる手法では、近接効果密度によっては近接効果が補正しきれない状態が生じてしまうといった問題があった。しかしながら、従来、かかる問題を解決する十分な手法が確立されていなかった。   As described above, the conventional method has not been able to correct the dimensional variation that cannot be assumed by the fogging effect model. To solve this problem, the average size of each proximity effect density when drawing in a wide pattern density area and the size when drawing without breaking proximity effect correction in a low area pattern density area It has also been studied to obtain a fog correction coefficient so that the two have the same dimensions. However, this method has a problem that the proximity effect cannot be corrected depending on the proximity effect density. However, a sufficient method for solving such a problem has not been established.

そこで、本発明は、かかる問題を克服し、かぶり効果モデルで想定しきれていない寸法変動も合わせて補正可能な描画装置および方法を提供することを目的とする。   Therefore, an object of the present invention is to provide a drawing apparatus and method capable of overcoming such problems and correcting dimensional variations that cannot be assumed in the fogging effect model.

本発明の一態様の荷電粒子ビーム描画装置は、
かぶり効果補正後のパターン寸法が近接効果密度によらず一致するかぶり効果補正係数を取得するかぶり効果補正係数取得部と、
かぶり効果補正係数を用いてかぶり効果を補正した際のかぶり効果の補正残り量を取得するかぶり効果補正残り量取得部と、
かぶり効果補正残り量を近接効果による寸法変動量と共に補正する基準照射量を算出する基準照射量算出部と、
かぶり効果補正残り量を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する近接効果補正係数算出部と、
かぶり効果補正係数と基準照射量と近接効果補正係数とを用いてかぶり効果を補正するかぶり効果補正照射係数を算出するかぶり効果補正照射係数算出部と、
かぶり効果補正照射係数を用いて描画する際の荷電粒子ビームの照射量を算出する照射量算出部と、
上記照射量で試料にパターンを描画する描画部と、
を備えたことを特徴とする。
A charged particle beam drawing apparatus according to one embodiment of the present invention includes:
A fogging effect correction coefficient acquisition unit that acquires a fogging effect correction coefficient in which the pattern dimensions after the fogging effect correction match regardless of the proximity effect density;
A fog effect correction remaining amount acquisition unit that acquires a correction amount of the fog effect when the fog effect is corrected using the fog effect correction coefficient;
A reference dose calculation unit for calculating a reference dose for correcting the fogging effect correction remaining amount together with a dimensional variation due to the proximity effect;
A proximity effect correction coefficient calculating unit that calculates a proximity effect correction coefficient for correcting the fogging effect correction remaining amount together with the dimensional variation amount due to the proximity effect;
A fogging effect correction irradiation coefficient calculating unit that calculates a fogging effect correction irradiation coefficient that corrects the fogging effect using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient;
A dose calculation unit for calculating the dose of the charged particle beam when drawing using the fogging effect correction irradiation coefficient;
A drawing unit for drawing a pattern on the sample with the above-mentioned irradiation amount;
It is provided with.

また、かぶり効果補正係数とかぶり効果補正残り量をフィードバックして、前回求められたかぶり効果補正残り量と今回求められたかぶり効果補正残り量との差分が閾値内になるまで、改めてかぶり効果補正係数とかぶり効果補正残り量と基準照射量と近接効果補正係数とかぶり効果補正照射係数とが繰り返し求められることを特徴とする。   Further, the fogging effect correction coefficient and the remaining fogging effect correction amount are fed back, and the fogging effect correction coefficient and the fogging effect correction coefficient are changed again until the difference between the previous fogging effect correction remaining amount and the current fogging effect correction remaining amount falls within the threshold value. The remaining fogging effect correction amount, the reference irradiation amount, the proximity effect correction coefficient, and the fogging effect correction irradiation coefficient are repeatedly obtained.

また、基準照射量と近接効果補正係数とを用いて、かぶり効果により生じるかぶり効果ドーズ量を算出するかぶり効果ドーズ量算出部をさらに備え、
かぶり効果補正係数とかぶり効果補正残り量は、かぶり効果ドーズ量に依存して取得されることを特徴とする。
Further, it further includes a fogging effect dose amount calculation unit that calculates the fogging effect dose amount caused by the fogging effect using the reference irradiation amount and the proximity effect correction coefficient,
The fogging effect correction coefficient and the remaining fogging effect correction amount are acquired depending on the fogging effect dose.

また、かぶり効果の影響範囲内に別のパターンが配置される第1の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した際の第1の評価パターンの第1のパターン寸法と、かぶり効果の影響範囲内に別のパターンが配置されない第2の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した場合の第2の評価パターンの第2のパターン寸法との第1の差が、第1の評価パターンに対して近接効果とかぶり効果を補正した際の第1の評価パターンの第3のパターン寸法と、第2の評価パターンに対して近接効果とかぶり効果を補正した際の第2の評価パターンの第4のパターン寸法との第2の差と、一致するように設定されたローディング効果補正係数を記憶する記憶部と、
ローディング効果補正係数に依存するローディング効果による寸法変動量とかぶり効果補正残り量との加算値を算出する寸法変動量算出部と、
をさらに備え、
基準照射量算出部は、基準照射量を、加算された寸法変動量を近接効果による寸法変動量と共に補正するように算出し、
近接効果補正係数算出部は、近接効果補正係数を、加算された寸法変動量を近接効果による寸法変動量と共に補正するように算出し、
かぶり効果ドーズ量算出部は、かぶり効果ドーズ量を、加算された寸法変動量に基づく基準照射量と近接効果補正係数とを用いて算出し、
かぶり効果補正係数取得部は、かぶり効果補正係数を、加算された寸法変動量に基づくかぶり効果ドーズ量に応じて取得し、
かぶり効果補正残り量取得部は、かぶり効果補正残り量を、加算された寸法変動量に基づくかぶり効果ドーズ量に応じて取得し、
かぶり効果補正照射係数算出部は、かぶり効果補正照射係数を、共に加算された寸法変動量に基づく、かぶり効果補正係数と基準照射量と近接効果補正係数とを用いて算出することを特徴とする。
Further, a first pattern dimension of the first evaluation pattern when the proximity effect, the fogging effect, and the loading effect are corrected with respect to the first evaluation pattern in which another pattern is arranged within the influence range of the fogging effect; The first pattern dimensions of the second evaluation pattern when the proximity effect, the fogging effect, and the loading effect are corrected with respect to the second evaluation pattern in which another pattern is not disposed within the range of influence of the fogging effect. The difference corrects the third pattern size of the first evaluation pattern when the proximity effect and the fogging effect are corrected for the first evaluation pattern, and the proximity effect and the fogging effect for the second evaluation pattern. A storage unit for storing a loading effect correction coefficient set so as to coincide with the second difference between the second evaluation pattern and the fourth pattern dimension at the time,
A dimensional variation calculation unit that calculates an addition value of the dimensional variation due to the loading effect depending on the loading effect correction coefficient and the fogging effect correction remaining amount;
Further comprising
The reference dose calculation unit calculates the reference dose so as to correct the added dimensional variation amount together with the dimensional variation amount due to the proximity effect,
The proximity effect correction coefficient calculation unit calculates the proximity effect correction coefficient so as to correct the added dimensional variation amount together with the dimensional variation amount due to the proximity effect,
The fogging effect dose amount calculation unit calculates the fogging effect dose amount using the reference irradiation amount based on the added dimensional variation amount and the proximity effect correction coefficient,
The fogging effect correction coefficient acquisition unit acquires the fogging effect correction coefficient according to the fogging effect dose amount based on the added dimensional variation amount,
The fogging effect correction remaining amount acquisition unit acquires the fogging effect correction remaining amount according to the fogging effect dose based on the added dimensional variation amount,
The fogging effect correction irradiation coefficient calculation unit calculates the fogging effect correction irradiation coefficient by using a fogging effect correction coefficient, a reference irradiation amount, and a proximity effect correction coefficient based on the dimensional variation added together. .

本発明の一態様の荷電粒子ビーム描画方法は、
かぶり効果補正後のパターン寸法が近接効果密度によらず一致するかぶり効果補正係数を取得する工程と、
かぶり効果補正係数を用いてかぶり効果を補正した際のかぶり効果の補正残り量を取得する工程と、
かぶり効果補正残り量を近接効果による寸法変動量と共に補正する基準照射量を算出する工程と、
かぶり効果補正残り量を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する工程と、
かぶり効果補正係数と基準照射量と近接効果補正係数とを用いてかぶり効果を補正するかぶり効果補正照射係数を算出する工程と、
かぶり効果補正照射係数を用いて描画する際の荷電粒子ビームの照射量を算出する工程と、
照射量で試料にパターンを描画する工程と、
を備えたことを特徴とする。
The charged particle beam drawing method of one embodiment of the present invention includes:
A step of obtaining a fogging effect correction coefficient in which the pattern dimensions after the fogging effect correction match regardless of the proximity effect density;
Obtaining a remaining correction amount of the fogging effect when the fogging effect is corrected using the fogging effect correction coefficient;
A step of calculating a reference irradiation amount for correcting the remaining fogging effect correction amount together with a dimensional variation amount due to the proximity effect;
Calculating a proximity effect correction coefficient for correcting the remaining fogging effect correction amount together with the dimensional variation due to the proximity effect;
Calculating a fogging effect correction irradiation coefficient for correcting the fogging effect using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient;
Calculating the irradiation amount of the charged particle beam when drawing using the fogging effect correction irradiation coefficient;
Drawing a pattern on the sample with a dose, and
It is provided with.

本発明の一態様によれば、かぶり効果モデルで想定しきれていない寸法変動も近接効果補正を崩さずに合わせて補正できる。よって、高精度な寸法で描画できる。   According to one aspect of the present invention, a dimensional variation that cannot be assumed in the fogging effect model can be corrected without destroying the proximity effect correction. Therefore, it is possible to draw with highly accurate dimensions.

実施の形態1における描画装置の構成を示す概念図である。1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to Embodiment 1. FIG. 実施の形態1におけるパターン寸法とかぶり効果補正係数との関係の一例を示す図である。6 is a diagram showing an example of a relationship between a pattern dimension and a fogging effect correction coefficient in the first embodiment. FIG. 実施の形態1における描画方法の要部工程を示すフローチャート図である。FIG. 4 is a flowchart showing main steps of the drawing method according to Embodiment 1. 実施の形態1における背景パターン無(NOBKG)のパターンレイアウトの一例を示す図である。3 is a diagram showing an example of a pattern layout without a background pattern (NOBKG) in Embodiment 1. FIG. 実施の形態1におけるパターン寸法CDと照射量Dとの相関データの一例を示すグラフである。4 is a graph showing an example of correlation data between a pattern dimension CD and an irradiation amount D in the first embodiment. 実施の形態1におけるパターン寸法CDと近接効果補正係数ηとの相関データの一例を示すグラフである。4 is a graph showing an example of correlation data between a pattern dimension CD and a proximity effect correction coefficient η in the first embodiment. 実施の形態1におけるパターン寸法CDと近接効果補正係数ηと基準照射量Dbaseの相関データの一例を示すグラフである。6 is a graph showing an example of correlation data of a pattern dimension CD, a proximity effect correction coefficient η, and a reference dose Dbase in the first embodiment. 実施の形態1における背景パターン有(BKG)のパターンレイアウトの一例を示す図である。6 is a diagram showing an example of a pattern layout with a background pattern (BKG) in the first embodiment. FIG. 実施の形態1におけるパターン寸法とかぶり効果補正係数との関係の一例を示す図である。6 is a diagram showing an example of a relationship between a pattern dimension and a fogging effect correction coefficient in the first embodiment. FIG. 実施の形態1におけるかぶり効果補正係数θ0とかぶり効果ドーズ量Dfとの相関関係の一例を示す図である。FIG. 6 is a diagram showing an example of a correlation between a fogging effect correction coefficient θ0 and a fogging effect dose amount Df in the first embodiment. 実施の形態1におけるかぶり効果分布関数の一例を示す図である。6 is a diagram illustrating an example of a fogging effect distribution function according to Embodiment 1. FIG. 実施の形態1におけるかぶり効果補正残り量CDresとかぶり効果ドーズ量Dfとの相関関係の一例を示す図である。6 is a diagram illustrating an example of a correlation between a fogging effect correction remaining amount CDres and a fogging effect dose amount Df in the first embodiment. FIG. 実施の形態1におけるパターン寸法とローディング効果補正係数との関係の一例を示す図である。6 is a diagram illustrating an example of a relationship between a pattern dimension and a loading effect correction coefficient in the first embodiment. FIG. 実施の形態1におけるローディング効果分布関数の一例を示す図である。6 is a diagram illustrating an example of a loading effect distribution function in Embodiment 1. FIG. 従来の可変成形型電子線描画装置の動作を説明するための概念図である。It is a conceptual diagram for demonstrating operation | movement of the conventional variable shaping type | mold electron beam drawing apparatus.

以下、実施の形態では、荷電粒子ビームの一例として、電子ビームを用いた構成について説明する。但し、荷電粒子ビームは、電子ビームに限るものではなく、イオンビーム等の荷電粒子を用いたビームでも構わない。また、荷電粒子ビーム装置の一例として、可変成形型の描画装置について説明する。   Hereinafter, in the embodiment, a configuration using an electron beam will be described as an example of a charged particle beam. However, the charged particle beam is not limited to an electron beam, and a beam using charged particles such as an ion beam may be used. Further, a variable shaping type drawing apparatus will be described as an example of the charged particle beam apparatus.

実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。図1において、描画装置100は、描画部150と制御部160を備えている。描画装置100は、荷電粒子ビーム描画装置の一例である。特に、可変成形型(VSB型)の描画装置の一例である。描画部150は、電子鏡筒102と描画室103を備えている。電子鏡筒102内には、電子銃201、照明レンズ202、ブランキング偏向器(ブランカー)212、ブランキングアパーチャ214、第1の成形アパーチャ203、投影レンズ204、偏向器205、第2の成形アパーチャ206、対物レンズ207、及び偏向器208が配置されている。描画室103内には、少なくともXY方向に移動可能なXYステージ105が配置される。XYステージ105上には、レジストが塗布された描画対象となる試料101が配置される。試料101には、半導体装置を製造するための露光用のマスクやシリコンウェハ等が含まれる。マスクにはマスクブランクスが含まれる。
Embodiment 1 FIG.
FIG. 1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to the first embodiment. In FIG. 1, the drawing apparatus 100 includes a drawing unit 150 and a control unit 160. The drawing apparatus 100 is an example of a charged particle beam drawing apparatus. In particular, it is an example of a variable shaping type (VSB type) drawing apparatus. The drawing unit 150 includes an electron column 102 and a drawing chamber 103. In the electron column 102, there are an electron gun 201, an illumination lens 202, a blanking deflector (blanker) 212, a blanking aperture 214, a first shaping aperture 203, a projection lens 204, a deflector 205, and a second shaping aperture. 206, an objective lens 207, and a deflector 208 are arranged. An XY stage 105 that can move at least in the XY direction is disposed in the drawing chamber 103. On the XY stage 105, a sample 101 to be drawn on which a resist is applied is disposed. The sample 101 includes an exposure mask and a silicon wafer for manufacturing a semiconductor device. Masks include mask blanks.

制御部160は、制御計算機110,120、メモリ111,121、偏向制御回路132、DAC(デジタル・アナログコンバータ)アンプユニット130(偏向アンプ)、及び磁気ディスク装置等の記憶装置140,142を有している。制御計算機110,120、メモリ111,121、偏向制御回路132、及び磁気ディスク装置等の記憶装置140,142は、図示しないバスを介して互いに接続されている。偏向制御回路132にはDACアンプユニット130が接続されている。DACアンプユニット130は、ブランキング偏向器212に接続されている。   The control unit 160 includes control computers 110 and 120, memories 111 and 121, a deflection control circuit 132, a DAC (digital / analog converter) amplifier unit 130 (deflection amplifier), and storage devices 140 and 142 such as a magnetic disk device. ing. The control computers 110 and 120, the memories 111 and 121, the deflection control circuit 132, and the storage devices 140 and 142 such as a magnetic disk device are connected to each other via a bus (not shown). A DAC amplifier unit 130 is connected to the deflection control circuit 132. The DAC amplifier unit 130 is connected to the blanking deflector 212.

偏向制御回路132からDACアンプユニット130に対して、ブランキング制御用のデジタル信号が出力される。そして、DACアンプユニット130では、デジタル信号をアナログ信号に変換し、増幅させた上で偏向電圧として、ブランキング偏向器212に印加する。かかる偏向電圧によって電子ビーム200が偏向させられ、各ショットのビームが形成される。   A digital signal for blanking control is output from the deflection control circuit 132 to the DAC amplifier unit 130. The DAC amplifier unit 130 converts the digital signal into an analog signal, amplifies it, and applies it to the blanking deflector 212 as a deflection voltage. The electron beam 200 is deflected by such a deflection voltage, and a beam of each shot is formed.

また、制御計算機110内には、パターン面積率マップ作成部10、ローディング量マップ作成部12、かぶり効果補正残り量加算部14、基準照射量マップ算出部16、近接効果補正係数マップ算出部17、近接効果補正照射係数マップ算出部18、かぶり効果補正照射係数マップ算出部20、かぶり効果ドーズ量算出部22、かぶり効果補正残り量算出部24、かぶり効果補正係数マップ算出部26、判定部28、及び出力部30が配置される。パターン面積率マップ作成部10、ローディング量マップ作成部12、かぶり効果補正残り量加算部14、基準照射量マップ算出部16、近接効果補正係数マップ算出部17、近接効果補正照射係数マップ算出部18、かぶり効果補正照射係数マップ算出部20、かぶり効果ドーズ量算出部22、かぶり効果補正残り量算出部24、かぶり効果補正係数マップ算出部26、判定部28、及び出力部30といった各機能は、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機110に必要な入力データ或いは演算された結果はその都度メモリ111に記憶される。   Further, in the control computer 110, a pattern area ratio map creation unit 10, a loading amount map creation unit 12, a fogging effect correction remaining amount addition unit 14, a reference irradiation amount map calculation unit 16, a proximity effect correction coefficient map calculation unit 17, Proximity effect correction irradiation coefficient map calculation unit 18, fogging effect correction irradiation coefficient map calculation unit 20, fogging effect dose amount calculation unit 22, fogging effect correction remaining amount calculation unit 24, fogging effect correction coefficient map calculation unit 26, determination unit 28, And the output part 30 is arrange | positioned. Pattern area rate map creation unit 10, loading amount map creation unit 12, fogging effect correction remaining amount addition unit 14, reference dose map calculation unit 16, proximity effect correction coefficient map calculation unit 17, proximity effect correction irradiation coefficient map calculation unit 18 The fogging effect correction irradiation coefficient map calculation unit 20, the fogging effect dose amount calculation unit 22, the fogging effect correction remaining amount calculation unit 24, the fogging effect correction coefficient map calculation unit 26, the determination unit 28, and the output unit 30, It may be configured by software such as a program. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 110 or the calculated result is stored in the memory 111 each time.

また、制御計算機120内には、近接効果補正係数マップ算出部32、照射量算出部34、照射時間演算部36、及び描画処理制御部38が配置されている。近接効果補正係数マップ算出部32、照射量算出部34、照射時間演算部36、及び描画処理制御部38といった各機能は、プログラムといったソフトウェアで構成されても良い。或いは、電子回路等のハードウェアで構成されてもよい。或いは、これらの組み合わせであってもよい。制御計算機120に必要な入力データ或いは演算された結果はその都度メモリ121に記憶される。   Further, in the control computer 120, a proximity effect correction coefficient map calculation unit 32, an irradiation amount calculation unit 34, an irradiation time calculation unit 36, and a drawing processing control unit 38 are arranged. Each function such as the proximity effect correction coefficient map calculation unit 32, the irradiation amount calculation unit 34, the irradiation time calculation unit 36, and the drawing processing control unit 38 may be configured by software such as a program. Alternatively, it may be configured by hardware such as an electronic circuit. Alternatively, a combination thereof may be used. The input data necessary for the control computer 120 or the calculated result is stored in the memory 121 each time.

かぶり効果補正パラメータとして、かぶり効果ドーズ量Dfogとかぶり効果補正残り量CDresとの相関関係を示すDfog−CDres相関情報と、かぶり効果ドーズ量Dfogとかぶり効果補正係数θとの相関関係を示すDfog−θ相関情報と、かぶり効果分布関数の半径σFとが外部から入力され、記憶装置140に記憶されている。また、近接効果果補正パラメータとして、パターン寸法誤差ΔCDと基準照射量Dbaseとの相関関係を示すΔCD−Dbase相関情報と、パターン寸法誤差ΔCDと近接効果補正係数ηとの相関関係を示すΔCD−η相関情報とが外部から入力され、記憶装置140にさらに記憶されている。また、ローディング効果補正パラメータとして、ローディング効果補正係数γと、ローディング効果分布関数の半径σLと、描画位置に依存したローディング効果寸法変動量CDpos(x)とが外部から入力され、記憶装置140にさらに記憶されている。CD−Dbase相関情報とCD−η相関情報は、ローディング効果補正パラメータとしても機能する。記憶装置142には、描画データが外部から入力され、記憶されている。   As fogging effect correction parameters, Dfog-CDres correlation information indicating the correlation between the fogging effect dose amount Dfog and the fogging effect correction remaining amount CDres, and the correlation between the fogging effect dose amount Dfog and the fogging effect correction coefficient θ is Dfog−. The θ correlation information and the fogging effect distribution function radius σF are input from the outside and stored in the storage device 140. Further, as the proximity effect correction parameter, ΔCD-Dbase correlation information indicating the correlation between the pattern dimension error ΔCD and the reference dose Dbase, and ΔCD-η indicating the correlation between the pattern dimension error ΔCD and the proximity effect correction coefficient η. The correlation information is input from the outside and further stored in the storage device 140. As loading effect correction parameters, a loading effect correction coefficient γ, a radius σL of the loading effect distribution function, and a loading effect size variation amount CDpos (x) depending on the drawing position are input from the outside, and further stored in the storage device 140. It is remembered. CD-Dbase correlation information and CD-η correlation information also function as loading effect correction parameters. The storage device 142 stores drawing data inputted from the outside.

ここで、図1では、実施の形態1を説明する上で必要な構成を記載している。描画装置100にとって、通常、必要なその他の構成を備えていても構わない。例えば、偏向器205や偏向器208のための各DACアンプユニットも備えていることは言うまでもない。   Here, FIG. 1 shows a configuration necessary for explaining the first embodiment. The drawing apparatus 100 may normally have other necessary configurations. For example, it goes without saying that each DAC amplifier unit for the deflector 205 and the deflector 208 is also provided.

図2は、実施の形態1におけるパターン寸法とかぶり効果補正係数との関係の一例を示す図である。図2において、縦軸はパターン寸法CDを示し、横軸はかぶり効果補正係数θを示している。図2の例では、かぶり効果補正係数θを可変にしてかぶり効果補正をしながら描画した場合のパターン寸法CDを示している。ここでは、評価パターンの周囲をベタパターンで覆い、かぶり効果の影響範囲内が実質的に100%のパターン面積密度になるパターンレイウアト(BKG:背景パターン有)で描画した場合と、評価パターンの周囲にパターンを配置せず、かぶり効果の影響範囲内が実質的に0%のパターン面積密度になるパターンレイウアト(NOBKG:背景パターン無)で描画した場合とを示している。かぶり効果の影響範囲は、数mm〜数cmの範囲となる。近接効果密度にかかわらず近接効果補正を維持するには、背景パターン有(BKG)のパターンレイアウトでは、近接効果密度によって寸法が変わらない位置AでのCDとθ値となる。昨今のパターンの微細化に伴い、かかるパターン寸法CDと、背景パターンなし(NOBKG)のパターンレイアウトにおける近接効果密度によって寸法が変わらないパターン寸法CDとは一致していないことがわかった。ここで、一定のかぶり効果補正係数θを求める手法として、広域的なパターン密度の高い領域(BKG)で描画した際の近接効果密度毎の寸法の平均寸法と広域的なパターン密度の低い領域(NOBKG)で近接効果補正を崩さずに描画した際の寸法とが同じ寸法になるようにかぶり補正係数θ0を求めることが考えられる。しかし、かかるかぶり補正係数θ0では、広域的なパターン密度の高い領域(BKG)において、近接効果密度によっては近接効果が補正しきれない状態が生じてしまう。そこで、実施の形態1では、近接効果密度にかかわらず近接効果補正を維持しながらかぶり効果補正を行ない、かぶり補正で補正しきれないかぶり補正残り量については、ローディング効果補正による寸法変動量と合わせて補正する。   FIG. 2 is a diagram showing an example of the relationship between the pattern dimension and the fogging effect correction coefficient in the first embodiment. In FIG. 2, the vertical axis indicates the pattern dimension CD, and the horizontal axis indicates the fogging effect correction coefficient θ. In the example of FIG. 2, the pattern dimension CD is shown when drawing is performed while the fogging effect correction coefficient θ is variable and the fogging effect correction is performed. Here, the evaluation pattern is covered with a solid pattern and drawn with a pattern layout (BKG: with background pattern) in which the range of influence of the fogging effect is substantially 100%. This shows a case where a pattern is not arranged around and the pattern is drawn with a pattern layout (NOBKG: no background pattern) in which the range of influence of the fogging effect is substantially 0% pattern area density. The range of influence of the fogging effect is in the range of several mm to several cm. In order to maintain the proximity effect correction regardless of the proximity effect density, in the pattern layout with the background pattern (BKG), the CD and θ values at the position A where the dimensions do not change depending on the proximity effect density are obtained. With the recent miniaturization of patterns, it has been found that the pattern dimension CD does not coincide with the pattern dimension CD whose dimension does not change due to the proximity effect density in the pattern layout without a background pattern (NOBKG). Here, as a method for obtaining a constant fogging effect correction coefficient θ, an average size of each proximity effect density and a region having a low pattern density in a wide area (BKG) drawn in a region having a large pattern density (BKG). It is conceivable to obtain the fog correction coefficient θ0 so that the dimensions when drawing without breaking the proximity effect correction with NOBKG) are the same. However, with such a fog correction coefficient θ0, a state in which the proximity effect cannot be corrected depending on the proximity effect density occurs in a wide area (BKG) having a high pattern density. Therefore, in the first embodiment, the fogging effect correction is performed while maintaining the proximity effect correction regardless of the proximity effect density, and the remaining fogging correction amount that cannot be corrected by the fogging correction is combined with the dimension variation amount by the loading effect correction. To correct.

図3は、実施の形態1における描画方法の要部工程を示すフローチャート図である。図3において、描画装置100に入力する前に事前に行なう工程として、背景パターンなし(NOBKG)のパターンレイアウトを描画するNOBKG描画工程(S102)と、近接効果補正パラメータ算出工程(S104)と、背景パターン有(BKG)のパターンレイアウトを描画するBKG描画工程(S106)と、かぶり効果分布関数/かぶり効果補正係数算出工程(S108)と、かぶり補正残り量算出工程(S110)と、背景パターン有(BKG)のパターンレイアウトを描画するBKG描画工程(S112)と、ローディング効果分布関数/ローディング効果補正係数算出工程(S114)と、を実施する。そして、実施の形態1における描画装置100内で行う描画方法は、描画データ入力工程(S202)と、パターン面積率マップ算出工程(S204)と、ローディング効果/かぶり効果補正残り寸法変動量マップ算出工程(S206)と、基準照射量Dbaseマップ/近接効果補正係数ηマップ算出工程(S208)と、かぶり効果補正照射係数Df算出工程(S210)と、かぶり効果ドーズ量算出工程(S212)と、かぶり効果補正残り量CDresマップ/かぶり効果補正係数θマップ算出工程(S214)と、判定工程(S216)と、照射量算出工程(S218)と、描画工程(S220)といった一連の工程を実施する。   FIG. 3 is a flowchart showing main steps of the drawing method according to the first embodiment. In FIG. 3, as a process performed in advance before inputting to the drawing apparatus 100, a NOBKG drawing process (S102) for drawing a pattern layout without a background pattern (NOBKG), a proximity effect correction parameter calculating process (S104), a background A BKG drawing step (S106) for drawing a pattern layout having a pattern (BKG), a fogging effect distribution function / fogging effect correction coefficient calculating step (S108), a fogging correction remaining amount calculating step (S110), and a background pattern present ( A BKG drawing step (S112) for drawing a pattern layout of (BKG) and a loading effect distribution function / loading effect correction coefficient calculation step (S114) are performed. The drawing method performed in the drawing apparatus 100 according to the first embodiment includes a drawing data input step (S202), a pattern area ratio map calculation step (S204), and a loading effect / fogging effect correction remaining dimension variation map calculation step. (S206), a reference dose Dbase map / proximity effect correction coefficient η map calculation step (S208), a fogging effect correction irradiation coefficient Df calculation step (S210), a fogging effect dose amount calculating step (S212), and a fogging effect A series of steps such as a remaining correction amount CDres map / fogging effect correction coefficient θ map calculation step (S214), a determination step (S216), an irradiation amount calculation step (S218), and a drawing step (S220) are performed.

NOBKG描画工程(S102)として、背景パターンなし(NOBKG)のパターンレイアウトを描画する。   As a NOBKG drawing step (S102), a pattern layout without a background pattern (NOBKG) is drawn.

図4は、実施の形態1における背景パターン無(NOBKG)のパターンレイアウトの一例を示す図である。複数の基準照射量Dbase毎に近接効果補正係数ηを可変にして評価パターンを描画する。背景パターン無(NOBKG)の評価パターン(第2の評価パターン)は、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合のパターンレイアウトを用意する。ここで、近接効果密度U(x)は、近接効果メッシュ内のパターン面積密度ρ(x)に分布関数g(x)を近接効果の影響範囲以上の範囲で畳み込み積分した値で定義される。近接効果メッシュは、近接効果の影響範囲の例えば1/10程度のサイズが好適であり、例えば、1μm程度のサイズが好適である。近接効果密度U(x)は次の式(1)で定義できる。xは位置を示すベクトルとする。   FIG. 4 is a diagram showing an example of a pattern layout without a background pattern (NOBKG) in the first embodiment. An evaluation pattern is drawn by changing the proximity effect correction coefficient η for each of a plurality of reference doses Dbase. The evaluation pattern (second evaluation pattern) with no background pattern (NOBKG) is, for example, in each case of proximity effect density U (x) = 0 (0%), 0.5 (50%), 1 (100%) Prepare a pattern layout. Here, the proximity effect density U (x) is defined as a value obtained by convolving and integrating the distribution function g (x) with the pattern area density ρ (x) in the proximity effect mesh in a range equal to or greater than the influence range of the proximity effect. The proximity effect mesh preferably has a size of, for example, about 1/10 of the influence range of the proximity effect, and for example, a size of about 1 μm is preferable. The proximity effect density U (x) can be defined by the following equation (1). x is a vector indicating the position.

近接効果密度U(x)=0は実際にはパターンが無いことになってしまうので、近接効果の影響範囲に他に何もない状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。逆に、近接効果密度U(x)=1は周囲を含めてメッシュ内全体がパターンになってしまい寸法が測れないので、周囲がパターンで埋め尽くされた状態で測定用のラインパターンを例えば1つ描画することで近似して求めることができる。また、例えば、密度50%を想定して、1:1ラインアンドスペースパターンを描画した場合に、メッシュサイズが小さいため、1つのメッシュではラインパターンだけ、隣のメッシュではスペースパターンだけとなってしまうことも起こりえる。かかる場合、パターン面積密度ρ(x)ではそのまま周囲に関係なくメッシュ内の密度となってしまう。これに対して近接効果密度U(x)を用いることで、各メッシュが密度50%と算出可能となる。ここで、設定する近接効果密度U(x)は、0%,50%,100%の各場合に限るものではない。例えば、10%以下のいずれかと、50%と、90%以上のいずれかとの3つを用いても好適である。また、3種類に限らず、その他の数の種類で測定してもよい。例えば4種類以上測定しても構わない。   Since the proximity effect density U (x) = 0 actually means that there is no pattern, it is approximated by drawing, for example, one line pattern for measurement in a state where there is nothing else in the influence range of the proximity effect. Can be obtained. On the other hand, the proximity effect density U (x) = 1 is a pattern in the entire mesh including the periphery, and the dimension cannot be measured. Therefore, the measurement line pattern is set to 1 for example in a state where the periphery is completely filled with the pattern. Can be approximated by drawing one. Also, for example, assuming a density of 50%, when a 1: 1 line and space pattern is drawn, the mesh size is small, so only one line pattern is used for one mesh, and only a space pattern is used for the adjacent mesh. Things can happen. In such a case, the pattern area density ρ (x) directly becomes the density in the mesh regardless of the surroundings. On the other hand, by using the proximity effect density U (x), each mesh can be calculated as 50% density. Here, the proximity effect density U (x) to be set is not limited to 0%, 50%, and 100%. For example, it is also preferable to use any one of 10% or less, 50%, and 90% or more. Moreover, you may measure by not only three types but another number. For example, four or more types may be measured.

ここでは、背景パターン無(NOBKG)のパターンレイアウトであるため、評価パターンの周囲のかぶり効果の影響範囲には他に何も周辺パターンが配置されないレイアウトとする。
Here, since the pattern layout has no background pattern (NOBKG), the layout is such that no other peripheral patterns are arranged in the range of influence of the fogging effect around the evaluation pattern.

近接効果補正パラメータ算出工程(S104)として、上述した背景パターン無(NOBKG)のパターンレイアウトを描画した結果から近接効果補正パラメータを算出する。   As the proximity effect correction parameter calculation step (S104), the proximity effect correction parameter is calculated from the result of drawing the pattern layout without the background pattern (NOBKG) described above.

図5は、実施の形態1におけるパターン寸法CDと照射量Dとの相関データの一例を示すグラフである。縦軸はパターン寸法CDを示し、横軸は照射量Dを対数で示している。ここでは、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合について実験により求めた結果を示している。   FIG. 5 is a graph showing an example of correlation data between the pattern dimension CD and the dose D in the first embodiment. The vertical axis represents the pattern dimension CD, and the horizontal axis represents the dose D in logarithm. Here, for example, the results obtained by experiments for each case of the proximity effect density U (x) = 0 (0%), 0.5 (50%), and 1 (100%) are shown.

図6は、実施の形態1におけるパターン寸法CDと近接効果補正係数ηとの相関データの一例を示すグラフである。縦軸はパターン寸法CDを示し、横軸は近接効果補正係数ηを示している。ここでは、近接効果密度U(x)毎に近接効果補正係数ηに依存したパターン寸法CDの相関データを示している。各近接効果密度U(x)のグラフの交点でのηで近接効果補正を行うことで近接効果密度U(x)にかかわらず一定の寸法CDに補正できる。   FIG. 6 is a graph showing an example of correlation data between the pattern dimension CD and the proximity effect correction coefficient η in the first embodiment. The vertical axis represents the pattern dimension CD, and the horizontal axis represents the proximity effect correction coefficient η. Here, correlation data of the pattern dimension CD depending on the proximity effect correction coefficient η for each proximity effect density U (x) is shown. By performing proximity effect correction with η at the intersection of the graphs of each proximity effect density U (x), it can be corrected to a constant size CD regardless of the proximity effect density U (x).

図7は、実施の形態1におけるパターン寸法CDと近接効果補正係数ηと基準照射量Dbaseの相関データの一例を示すグラフである。図7では、アイソフォーカル条件となる照射条件で得られたパターン寸法との差をΔCDとして示している。ここでは、近接効果密度U(x)にかかわらず一定の寸法CDに補正できる近接効果補正係数ηと基準照射量Dbaseの相関データを示している。上述したように、基準照射量Dbase毎に近接効果補正がよく合う近接効果補正係数ηが存在する。以上のようにして、パターン寸法誤差ΔCDと基準照射量Dbaseとの相関関係を算出し、相関情報を作成する。同様に、パターン寸法誤差ΔCDと近接効果補正係数ηとの相関関係を算出し、相関情報を作成する。   FIG. 7 is a graph showing an example of correlation data of the pattern dimension CD, the proximity effect correction coefficient η, and the reference dose Dbase in the first embodiment. In FIG. 7, the difference from the pattern dimension obtained under the irradiation condition which is the isofocal condition is shown as ΔCD. Here, correlation data between the proximity effect correction coefficient η that can be corrected to a constant size CD regardless of the proximity effect density U (x) and the reference dose Dbase is shown. As described above, there is a proximity effect correction coefficient η that matches well with the proximity effect correction for each reference dose Dbase. As described above, the correlation between the pattern dimension error ΔCD and the reference dose Dbase is calculated, and the correlation information is created. Similarly, the correlation between the pattern dimension error ΔCD and the proximity effect correction coefficient η is calculated, and correlation information is created.

BKG描画工程(S106)として、背景パターン有(BKG)のパターンレイアウトを描画する。ここでは、アイソフォーカル条件となる照射条件で得られたパターン寸法、すなわち、ΔCD=0での基準照射量Dbaseと近接効果補正係数ηの組を用いて近接効果を補正しながら描画する。   As a BKG drawing step (S106), a pattern layout having a background pattern (BKG) is drawn. Here, drawing is performed while correcting the proximity effect using a pattern size obtained under the irradiation condition that is an isofocal condition, that is, a set of the reference dose Dbase and the proximity effect correction coefficient η at ΔCD = 0.

図8は、実施の形態1における背景パターン有(BKG)のパターンレイアウトの一例を示す図である。背景パターン有(BKG)の評価パターン(第1の評価パターン)は、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合のパターンレイアウトを用意する。背景パターン有(BKG)のパターンレイアウトの中央部に例えばx方向に並ぶようにライン状に複数の評価パターンを配置し、ライン状に並ぶ複数の評価パターン列のy方向と−y方向については全面にパターンを配置する。言い換えれば、背景パターンを横切るように評価パターンを配置する。これにより、かぶり影響範囲内について実質的に100%の面積密度のパターンレイアウトを形成する。そして、ライン状に並ぶ複数の評価パターン列のうち、中央部の複数の評価パターンについては近接効果補正のほかに、さらに、かぶり効果補正係数θを可変にしてかぶり効果補正をしながら描画する。一方、ライン状に並ぶ複数の評価パターン列について、かぶり効果補正をかけずに描画する。また、背景パターン有(BKG)のパターンレイアウトを描画する際には、図8に示したように同時に、背景パターン無(NOBKG)のパターンレイアウトも描画する。背景パターン無(NOBKG)のパターンレイアウトの評価パターン(第2の評価パターン)は、背景パターン有(BKG)の評価パターン(第1の評価パターン)と同様、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合のパターンレイアウトを用意する。そして、背景パターン無(NOBKG)の評価パターンについては近接効果補正のほかに、さらに、かぶり効果補正係数θを可変にしてかぶり効果補正をしながら描画する。   FIG. 8 is a diagram illustrating an example of a pattern layout with a background pattern (BKG) according to the first embodiment. The background pattern presence (BKG) evaluation pattern (first evaluation pattern) is, for example, each case of proximity effect density U (x) = 0 (0%), 0.5 (50%), 1 (100%) Prepare a pattern layout. For example, a plurality of evaluation patterns are arranged in a line so as to be arranged in the x direction at the center of the pattern layout with a background pattern (BKG), and the entire y-direction and -y direction of the plurality of evaluation pattern rows arranged in a line are arranged. Place a pattern on In other words, the evaluation pattern is arranged so as to cross the background pattern. Thus, a pattern layout having an area density of substantially 100% is formed within the fogging influence range. Of the plurality of evaluation pattern rows arranged in a line, the central plurality of evaluation patterns are drawn while performing the fogging effect correction with the fogging effect correction coefficient θ being variable in addition to the proximity effect correction. On the other hand, a plurality of evaluation pattern rows arranged in a line are drawn without performing fogging effect correction. When drawing a pattern layout with a background pattern (BKG), a pattern layout without a background pattern (NOBKG) is also drawn at the same time as shown in FIG. The evaluation pattern (second evaluation pattern) of the pattern layout having no background pattern (NOBKG) is similar to the evaluation pattern (first evaluation pattern) having the background pattern (BKG), for example, proximity effect density U (x) = A pattern layout is prepared for each of 0 (0%), 0.5 (50%), and 1 (100%). The evaluation pattern with no background pattern (NOBKG) is drawn while performing the fogging effect correction with the fogging effect correction coefficient θ being variable in addition to the proximity effect correction.

かぶり効果分布関数/かぶり効果補正係数算出工程(S108)として、かぶり効果分布関数とかぶり効果補正係数θを算出する。   As the fogging effect distribution function / fogging effect correction coefficient calculation step (S108), the fogging effect distribution function and the fogging effect correction coefficient θ are calculated.

図9は、実施の形態1におけるパターン寸法とかぶり効果補正係数との関係の一例を示す図である。図9において、縦軸はパターン寸法CDを示し、横軸はかぶり効果補正係数θを示している。図9の例では、かぶり効果補正係数θを可変にしてかぶり効果補正をしながら描画した場合のパターン寸法CDを示している。図2と同様、背景パターン有(BKG)のパターンレイアウトでは、近接効果密度によって寸法が変わらない位置AでのCDとθ値となる。かかるパターン寸法CDは、背景パターンなし(NOBKG)のパターンレイアウトにおける近接効果密度によって寸法が変わらないパターン寸法CDとは一致していない。実施の形態1では、背景パターン有(BKG)のパターンレイアウトでの近接効果密度によって寸法が変わらない位置Aにおけるかぶり効果補正係数θ0を求める。   FIG. 9 is a diagram showing an example of the relationship between the pattern dimension and the fogging effect correction coefficient in the first embodiment. In FIG. 9, the vertical axis indicates the pattern dimension CD, and the horizontal axis indicates the fogging effect correction coefficient θ. In the example of FIG. 9, the pattern dimension CD is shown when drawing is performed while the fogging effect correction coefficient θ is variable and the fogging effect correction is performed. As in FIG. 2, in the pattern layout with the background pattern (BKG), the CD and θ values at the position A whose dimensions do not change due to the proximity effect density are obtained. The pattern dimension CD does not coincide with the pattern dimension CD whose dimension does not change due to the proximity effect density in the pattern layout without a background pattern (NOBKG). In the first embodiment, the fogging effect correction coefficient θ0 at the position A where the dimension does not change depending on the proximity effect density in the pattern layout with the background pattern (BKG) is obtained.

図10は、実施の形態1におけるかぶり効果補正係数θ0とかぶり効果ドーズ量Dfとの相関関係の一例を示す図である。図10において、縦軸はかぶり効果補正係数θを示し、横軸はかぶり効果ドーズ量Dfogを示している。かぶり効果補正係数θ0は、かぶり効果ドーズ量Dfogによって異なるため、かぶり効果ドーズ量Dfogを可変にしてそれぞれ背景パターン有(BKG)のパターンレイアウトでの近接効果密度によって寸法が変わらない位置Aにおけるかぶり効果補正係数θ0を求める。このようにして、θとDfogとの相関関係を算出する。   FIG. 10 is a diagram showing an example of the correlation between the fogging effect correction coefficient θ0 and the fogging effect dose amount Df in the first embodiment. In FIG. 10, the vertical axis represents the fogging effect correction coefficient θ, and the horizontal axis represents the fogging effect dose amount Dfog. Since the fogging effect correction coefficient θ0 varies depending on the fogging effect dose amount Dfog, the fogging effect dose amount Dfog is made variable, and the fogging effect at the position A where the size does not change depending on the proximity effect density in the pattern layout with the background pattern (BKG). A correction coefficient θ0 is obtained. In this way, the correlation between θ and Dfog is calculated.

図11は、実施の形態1におけるかぶり効果分布関数の一例を示す図である。図11において、縦軸はパターン寸法CDを示し、横軸は位置xを示している。背景パターンを横切るように評価パターンをかぶり効果を補正せずに描画したことで、かぶり効果の分布関数が得られる。これにより、かぶり効果分布関数の半径σFが得られる。   FIG. 11 is a diagram illustrating an example of the fogging effect distribution function in the first embodiment. In FIG. 11, the vertical axis indicates the pattern dimension CD, and the horizontal axis indicates the position x. A distribution function of the fogging effect can be obtained by drawing the evaluation pattern so as to cross the background pattern without correcting the fogging effect. Thereby, the radius σF of the fogging effect distribution function is obtained.

かぶり補正残り量算出工程(S110)として、かぶり効果補正残り量CDresを算出する。かぶり効果補正係数θを可変にしてかぶり効果補正をしながら描画した結果、図9に示した背景パターン有(BKG)のパターンレイアウトでの近接効果密度によって寸法が変わらない位置Aにおけるパターン寸法CDと背景パターンなし(NOBKG)でのパターン寸法CDとの差がかぶり効果補正残り量CDresとなる。   As the fog correction remaining amount calculating step (S110), the fog effect remaining correction amount CDres is calculated. As a result of rendering while performing the fogging effect correction with the fogging effect correction coefficient θ being variable, the pattern dimension CD at the position A where the dimension does not change due to the proximity effect density in the pattern layout with the background pattern (BKG) shown in FIG. The difference from the pattern dimension CD without the background pattern (NOBKG) is the remaining fogging effect correction amount CDres.

図12は、実施の形態1におけるかぶり効果補正残り量CDresとかぶり効果ドーズ量Dfとの相関関係の一例を示す図である。図12において、縦軸はかぶり効果補正残り量CDresを示し、横軸はかぶり効果ドーズ量Dfogを示している。かぶり効果補正残り量CDresは、かぶり効果ドーズ量Dfogによって異なるため、かぶり効果ドーズ量Dfogを可変にしてそれぞれ背景パターン有(BKG)のパターンレイアウトでの近接効果密度によって寸法が変わらない位置Aにおけるかぶり効果補正残り量CDresを求める。このようにして、CDresとDfogとの相関関係を算出する。   FIG. 12 is a diagram showing an example of the correlation between the fogging effect correction remaining amount CDres and the fogging effect dose amount Df in the first embodiment. In FIG. 12, the vertical axis represents the fogging effect correction remaining amount CDres, and the horizontal axis represents the fogging effect dose amount Dfog. Since the fogging effect correction remaining amount CDres differs depending on the fogging effect dose amount Dfog, the fogging effect dose amount Dfog is made variable, and the fogging at the position A where the size does not change due to the proximity effect density in the pattern layout with the background pattern (BKG). The effect correction remaining amount CDres is obtained. In this way, the correlation between CDres and Dfog is calculated.

BKG描画工程(S112)として、背景パターン有(BKG)のパターンレイアウトを描画する。ここでは、アイソフォーカル条件となる照射条件で得られたパターン寸法、すなわち、ΔCD=0での基準照射量Dbaseと近接効果補正係数ηの組を用いて近接効果を補正し、θ0を使ってかぶり効果を補正しながら描画する。背景パターン有(BKG)のパターンレイアウトは、図8と同様である。そして、ライン状に並ぶ複数の評価パターン列のうち、中央部の複数の評価パターンについては近接効果補正、かぶり効果補正のほかに、さらに、ローディング効果補正係数γを可変にしてローディング効果補正をしながら描画する。一方、ライン状に並ぶ複数の評価パターン列について、ローディング効果補正をかけずに描画する。また、背景パターン有(BKG)のパターンレイアウトを描画する際には、図8に示したように同時に、背景パターン無(NOBKG)のパターンレイアウトも描画する。背景パターン無(NOBKG)のパターンレイアウトの評価パターン(第2の評価パターン)は、背景パターン有(BKG)の評価パターン(第1の評価パターン)と同様、例えば、近接効果密度U(x)=0(0%),0.5(50%),1(100%)の各場合のパターンレイアウトを用意する。そして、背景パターン無(NOBKG)の評価パターンについては近接効果補正、かぶり効果補正のほかに、さらに、ローディング効果補正係数γを可変にしてローディング効果補正をしながら描画する。   As a BKG drawing step (S112), a pattern layout with a background pattern (BKG) is drawn. Here, the proximity effect is corrected using the pattern size obtained under the irradiation condition as the isofocal condition, that is, the reference dose Dbase at ΔCD = 0 and the proximity effect correction coefficient η, and the fogging is performed using θ0. Draw while correcting the effect. The pattern layout with a background pattern (BKG) is the same as in FIG. Among the plurality of evaluation pattern rows arranged in a line, the central evaluation pattern is subjected to the loading effect correction by changing the loading effect correction coefficient γ in addition to the proximity effect correction and the fogging effect correction. Draw while. On the other hand, a plurality of evaluation pattern rows arranged in a line are drawn without performing loading effect correction. When drawing a pattern layout with a background pattern (BKG), a pattern layout without a background pattern (NOBKG) is also drawn at the same time as shown in FIG. The evaluation pattern (second evaluation pattern) of the pattern layout having no background pattern (NOBKG) is similar to the evaluation pattern (first evaluation pattern) having the background pattern (BKG), for example, proximity effect density U (x) = A pattern layout is prepared for each of 0 (0%), 0.5 (50%), and 1 (100%). The evaluation pattern without the background pattern (NOBKG) is drawn while performing the loading effect correction by changing the loading effect correction coefficient γ in addition to the proximity effect correction and the fogging effect correction.

ローディング効果分布関数/ローディング効果補正係数算出工程(S114)として、ローディング効果分布関数とローディング効果補正係数γを算出する。   As a loading effect distribution function / loading effect correction coefficient calculation step (S114), a loading effect distribution function and a loading effect correction coefficient γ are calculated.

図13は、実施の形態1におけるパターン寸法とローディング効果補正係数との関係の一例を示す図である。図13において、縦軸はパターン寸法CDを示し、横軸はローディング効果補正係数γを示している。図13の例では、ローディング効果補正係数γを可変にしてローディング効果補正をしながら描画した場合のパターン寸法CDを示している。一般にローディング効果ドーズ量は近接効果密度に依存しないので、背景パターン有(BKG)のパターンレイアウトで各近接効果密度のグラフが重なることになる。実施の形態1では、背景パターン有(BKG)のパターンレイアウトにおけるパターン寸法と背景パターンなし(NOBKG)のパターンレイアウトにおけるパターン寸法との差が、かぶり効果補正残り量CDresになるようにローディング効果補正係数γ0を求める。言い換えれば、かぶり効果の影響範囲内に別のパターンが配置される背景パターン有(BKG)の第1の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した際の第1の評価パターンの第1のパターン寸法と、かぶり効果の影響範囲内に別のパターンが配置されない背景パターンなし(NOBKG)の第2の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した場合の第2の評価パターンの第2のパターン寸法との第1の差が、第1の評価パターンに対して近接効果とかぶり効果を補正した際の第1の評価パターンの第3のパターン寸法と、第2の評価パターンに対して近接効果とかぶり効果を補正した際の第2の評価パターンの第4のパターン寸法との第2の差となるかぶり効果補正残り量CDresとが、一致するようにローディング効果補正係数γ0が設定される。   FIG. 13 is a diagram showing an example of the relationship between the pattern dimension and the loading effect correction coefficient in the first embodiment. In FIG. 13, the vertical axis indicates the pattern dimension CD, and the horizontal axis indicates the loading effect correction coefficient γ. In the example of FIG. 13, the pattern dimension CD is shown when drawing is performed while the loading effect correction coefficient γ is variable and the loading effect is corrected. In general, since the loading effect dose amount does not depend on the proximity effect density, the graphs of the proximity effect densities overlap in the pattern layout with the background pattern (BKG). In the first embodiment, the loading effect correction coefficient is set so that the difference between the pattern dimension in the pattern layout with the background pattern (BKG) and the pattern dimension in the pattern layout without the background pattern (NOBKG) becomes the remaining fogging effect correction amount CDres. Find γ0. In other words, the first evaluation pattern when the proximity effect, the fogging effect, and the loading effect are corrected with respect to the first evaluation pattern with the background pattern (BKG) in which another pattern is arranged within the range of influence of the fogging effect. When the proximity effect, the fogging effect, and the loading effect are corrected with respect to the second evaluation pattern without the background pattern (NOBKG) in which another pattern is not arranged within the range of influence of the fogging effect and the first pattern size The first difference between the second evaluation pattern and the second pattern dimension is the third pattern dimension of the first evaluation pattern when the proximity effect and the fogging effect are corrected with respect to the first evaluation pattern; The remaining fogging effect correction amount CD that becomes the second difference between the fourth pattern dimension of the second evaluation pattern when the proximity effect and the fogging effect are corrected for the second evaluation pattern es and is, loading effect correction coefficient γ0 is set to match.

図14は、実施の形態1におけるローディング効果分布関数の一例を示す図である。図14において、縦軸はパターン寸法CDを示し、横軸は位置xを示している。背景パターンを横切るように評価パターンを、ローディング効果を補正せずに描画したことで、ローディング効果の分布関数が得られる。これにより、ローディング効果分布関数の半径σLが得られる。   FIG. 14 is a diagram illustrating an example of the loading effect distribution function in the first embodiment. In FIG. 14, the vertical axis represents the pattern dimension CD, and the horizontal axis represents the position x. A distribution function of the loading effect can be obtained by drawing the evaluation pattern so as to cross the background pattern without correcting the loading effect. Thereby, the radius σL of the loading effect distribution function is obtained.

以上のようにして、描画装置100への入力前の段階で、かぶり効果補正パラメータとなる、かぶり効果ドーズ量Dfogとかぶり効果補正残り量CDresとの相関関係を示すDfog−CDres相関情報と、かぶり効果ドーズ量Dfogとかぶり効果補正係数θとの相関関係を示すDfog−θ相関情報と、かぶり効果分布関数の半径σFとが得られる。同様に、近接効果果補正パラメータとなる、パターン寸法誤差ΔCDと基準照射量Dbaseとの相関関係を示すΔCD−Dbase相関情報と、パターン寸法誤差ΔCDと近接効果補正係数ηとの相関関係を示すΔCD−η相関情報とが得られる。同様に、ローディング効果補正パラメータとなる、ローディング効果補正係数γと、ローディング効果分布関数の半径σLと、描画位置に依存したローディング効果寸法変動量CDpos(x)とが得られる。これらの情報を描画装置100に入力し、記憶装置140に格納しておく。   As described above, Dfog-CDres correlation information indicating the correlation between the fogging effect dose amount Dfog and the fogging effect correction remaining amount CDres, which is a fogging effect correction parameter, before the input to the drawing apparatus 100, and the fogging effect. The Dfog-θ correlation information indicating the correlation between the effect dose amount Dfog and the fogging effect correction coefficient θ, and the radius σF of the fogging effect distribution function are obtained. Similarly, ΔCD-Dbase correlation information indicating the correlation between the pattern dimension error ΔCD and the reference dose Dbase, which is a proximity effect correction parameter, and ΔCD indicating the correlation between the pattern dimension error ΔCD and the proximity effect correction coefficient η. -Η correlation information is obtained. Similarly, a loading effect correction coefficient γ, a loading effect distribution function radius σL, and a loading effect size variation amount CDpos (x) depending on the drawing position, which are loading effect correction parameters, are obtained. These pieces of information are input to the drawing apparatus 100 and stored in the storage device 140.

描画装置100内では、制御計算機110において前処理が行なわれ各種マップを作成し、制御計算機120において、得られたマップを使って描画動作とリアルタイムに照射量計算をおこっていく。   In the drawing apparatus 100, preprocessing is performed in the control computer 110 to create various maps, and the control computer 120 uses the obtained maps to perform drawing operations and dose calculation in real time.

描画データ入力工程(S202)として、パターン面積率マップ作成部10は、記憶装置142から描画データを入力する。   In the drawing data input step (S202), the pattern area ratio map creation unit 10 inputs drawing data from the storage device 142.

パターン面積率マップ算出工程(S204)として、パターン面積率マップ作成部10は、所定のサイズのメッシュ領域毎に配置されるパターン面積率ρ(x)を算出する。そして、描画領域全体のパターン面積率マップを作成する。例えば、メッシュ領域のサイズは、近接効果の影響範囲の1/10のサイズで作成するとよい。かかる近接効果メッシュサイズは、例えば、1μm程度が好適である。さらに、かぶり効果及びローディング効果の影響範囲の1/10のサイズで作成するとよい。かかるかぶり効果及びローディング効果メッシュサイズは、例えば、1mm程度が好適である。   As the pattern area ratio map calculating step (S204), the pattern area ratio map creating unit 10 calculates a pattern area ratio ρ (x) arranged for each mesh area of a predetermined size. Then, a pattern area ratio map of the entire drawing area is created. For example, the size of the mesh area may be created to be 1/10 of the influence range of the proximity effect. The proximity effect mesh size is preferably about 1 μm, for example. Furthermore, it is preferable that the size is 1/10 of the influence range of the fogging effect and the loading effect. The fogging effect and loading effect mesh size is preferably about 1 mm, for example.

ローディング効果/かぶり効果補正残り寸法変動量マップ算出工程(S206)として、ローディング量マップ作成部12は、記憶装置140からローディング効果補正係数γと分布関数の半径σLと位置依存のローディング効果寸法変動量CDpos(x)とを読み出す。そして、ローディング効果補正係数γとかぶり効果及びローディング効果メッシュ内のパターン面積密度ρ(x)の積に分布関数g(x,σL)をローディング効果の影響範囲以上の範囲で畳み込み積分した値(面積率依存の寸法変動量)に位置依存のローディング効果寸法変動量CDpos(x)とかぶり効果補正残りCDres(x)とを加算した値(寸法変動量CDerr(x))を算出する。かかる寸法変動量CDerr(x)は、次の式(2)で定義できる。xは位置を示すベクトルとする。まず、初期値として、CDres(x)=0、Dfog(x)=0のときのθ(x)を入力する。そして、描画領域全体の寸法変動量CDerr(x)マップを作成する。ローディング量マップ作成部12は寸法変動量算出部の一例となる。   In the loading effect / fogging effect correction remaining dimension fluctuation amount map calculation step (S206), the loading quantity map creation unit 12 reads the loading effect correction coefficient γ, the radius σL of the distribution function, and the position-dependent loading effect dimension fluctuation amount from the storage device 140. Read CDpos (x). Then, a value obtained by convolving and integrating the distribution function g (x, σL) with the product of the loading effect correction coefficient γ, the fogging effect, and the pattern area density ρ (x) in the loading effect mesh within the range of the influence of the loading effect (area) A value (size fluctuation amount CDerr (x)) obtained by adding the position-dependent loading effect size fluctuation amount CDpos (x) and the fogging effect correction remaining CDres (x) to the rate-dependent size fluctuation amount) is calculated. The dimensional variation amount CDerr (x) can be defined by the following equation (2). x is a vector indicating the position. First, θ (x) when CDres (x) = 0 and Dfog (x) = 0 is input as an initial value. Then, a dimensional variation amount CDerr (x) map of the entire drawing area is created. The loading amount map creation unit 12 is an example of a dimensional variation calculation unit.

基準照射量Dbaseマップ/近接効果補正係数ηマップ算出工程(S208)として、基準照射量マップ算出部16は、寸法変動量を近接効果による寸法変動量と共に補正する基準照射量Dbaseを算出する。具体的には、記憶装置140からΔCD−Dbase相関情報を読み出し、得られた寸法変動量CDerr(x)を、近接効果補正を維持しながら補正するためのDbaseを算出する。基準照射量マップ算出部16は、基準照射量算出部の一例である。   As the reference dose Dbase map / proximity effect correction coefficient η map calculation step (S208), the reference dose map calculation unit 16 calculates a reference dose Dbase that corrects the dimensional variation amount together with the dimensional variation amount due to the proximity effect. Specifically, ΔCD-Dbase correlation information is read from the storage device 140, and Dbase for correcting the obtained dimension variation amount CDerr (x) while maintaining proximity effect correction is calculated. The reference dose map calculation unit 16 is an example of a reference dose calculation unit.

そして、近接効果補正係数マップ算出部17は、寸法変動量を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する。具体的には、記憶装置140からΔCD−η相関情報を読み出し、得られた寸法変動量CDerr(x)を、近接効果補正を維持しながら補正するためのηを算出する。近接効果補正係数マップ算出部17は、近接効果補正係数算出部の一例である。   Then, the proximity effect correction coefficient map calculation unit 17 calculates a proximity effect correction coefficient for correcting the dimensional variation amount together with the dimensional variation amount due to the proximity effect. Specifically, ΔCD-η correlation information is read from the storage device 140, and η for correcting the obtained dimensional variation CDerr (x) while maintaining proximity effect correction is calculated. The proximity effect correction coefficient map calculation unit 17 is an example of a proximity effect correction coefficient calculation unit.

以上のようにして、Dbaseとηの組を算出する。基準照射量Dbaseは、寸法誤差ΔCDに依存するので、Dbase(x)=f1(CDerr(x))として求めることができる。同様に、近接効果補正係数ηは、寸法誤差ΔCDに依存するので、η(x)=f2(CDerr(x))として求めることができる。そして、そして、描画領域全体の基準照射量Dbaseマップと近接効果補正係数ηマップを作成する。   As described above, a set of Dbase and η is calculated. Since the reference dose Dbase depends on the dimensional error ΔCD, it can be obtained as Dbase (x) = f1 (CDerr (x)). Similarly, since the proximity effect correction coefficient η depends on the dimensional error ΔCD, it can be obtained as η (x) = f2 (CDerr (x)). Then, a reference dose Dbase map and a proximity effect correction coefficient η map for the entire drawing area are created.

また、描画領域全体の面積率ρ(x)マップと近接効果補正係数ηマップが作成できたので、これらに依存する近接効果補正照射係数Dpを算出しておくと好適である。そこで、近接効果補正照射係数マップ算出部18は、描画領域全体の面積率ρ(x)マップと近接効果補正係数ηマップを参照して、近接効果補正照射係数Dp(x)を算出する。近接効果補正照射係数Dp(x)は、面積率ρ(x)と近接効果補正係数ηに依存するので、Dp(x)=f3(ρ(x),η(x))で算出できる。そして、描画領域全体の近接効果補正照射係数Dpマップを作成する。ここでは、メッシュサイズが近接効果メッシュであることが望ましいが、これに限るものではなく、計算速度で律速しない範囲で細かいメッシュであればよい。   In addition, since the area ratio ρ (x) map and the proximity effect correction coefficient η map of the entire drawing area have been created, it is preferable to calculate the proximity effect correction irradiation coefficient Dp depending on these. Therefore, the proximity effect correction irradiation coefficient map calculation unit 18 calculates the proximity effect correction irradiation coefficient Dp (x) with reference to the area ratio ρ (x) map of the entire drawing region and the proximity effect correction coefficient η map. Since the proximity effect correction irradiation coefficient Dp (x) depends on the area ratio ρ (x) and the proximity effect correction coefficient η, it can be calculated by Dp (x) = f3 (ρ (x), η (x)). Then, a proximity effect correction irradiation coefficient Dp map for the entire drawing area is created. Here, it is desirable that the mesh size is a proximity effect mesh, but the mesh size is not limited to this, and any fine mesh may be used as long as it is not limited by the calculation speed.

かぶり効果補正照射係数Df算出工程(S210)として、かぶり効果補正照射係数マップ算出部20は、かぶり効果補正係数θと基準照射量Dbase(x)と近接効果補正係数η(x)とを用いてかぶり効果を補正するかぶり効果補正照射係数Dfを算出する。かぶり効果補正照射係数マップ算出部20は、かぶり効果補正照射係数算出部の一例である。かぶり効果補正照射係数Dfは、基準照射量Dbaseと近接効果補正係数ηとかぶり効果補正係数θに依存した値として求めることができる。よって、Df(x)=f4(Dbase(x),η(x),θ(x))で算出できる。そして、描画領域全体のかぶり効果補正照射係数Dfマップを作成する。   As the fogging effect correction irradiation coefficient Df calculation step (S210), the fogging effect correction irradiation coefficient map calculation unit 20 uses the fogging effect correction coefficient θ, the reference irradiation amount Dbase (x), and the proximity effect correction coefficient η (x). A fogging effect correction irradiation coefficient Df for correcting the fogging effect is calculated. The fogging effect correction irradiation coefficient map calculation unit 20 is an example of a fogging effect correction irradiation coefficient calculation unit. The fogging effect correction irradiation coefficient Df can be obtained as a value depending on the reference irradiation amount Dbase, the proximity effect correction coefficient η, and the fogging effect correction coefficient θ. Therefore, Df (x) = f4 (Dbase (x), η (x), θ (x)) can be calculated. Then, a fogging effect correction irradiation coefficient Df map for the entire drawing area is created.

かぶり効果ドーズ量算出工程(S212)として、かぶり効果ドーズ量算出部22は、かぶり効果ドーズ量Dfogを算出する。かぶり効果ドーズ量Dfogは、基準照射量Dbase(x)と近接効果補正照射係数Dp(x)とかぶり効果補正照射係数Dfとかぶり効果補正係数θの積に分布関数g(x,σF)をかぶり効果の影響範囲以上の範囲で畳み込み積分することで求めることができる。かかるかぶり効果ドーズ量Dfogは、次の式(3)で定義できる。xは位置を示すベクトルとする。   As the fogging effect dose amount calculating step (S212), the fogging effect dose amount calculation unit 22 calculates a fogging effect dose amount Dfog. The fogging effect dose Dfog is obtained by applying a distribution function g (x, σF) to the product of the reference irradiation dose Dbase (x), the proximity effect correction irradiation coefficient Dp (x), the fogging effect correction irradiation coefficient Df, and the fogging effect correction coefficient θ. It can be obtained by performing convolution integral within the range of influence of the effect. Such fogging effect dose Dfog can be defined by the following equation (3). x is a vector indicating the position.

かぶり効果補正残り量CDresマップ/かぶり効果補正係数θマップ算出工程(S214)として、かぶり効果補正係数マップ算出部26は、かぶり効果補正後のパターン寸法が近接効果密度によらず一致するかぶり効果補正係数θを取得する。具体的には、かぶり効果補正係数θはかぶり効果ドーズ量Dfogに依存するので、かぶり効果補正係数マップ算出部26は、記憶装置140からDfog−θ相関情報を読み出し、算出されたかぶり効果ドーズ量Dfogに対応するかぶり効果補正係数θを取得する。かぶり効果補正係数θはかぶり効果ドーズ量Dfogに依存した値として求めることができる。よって、θ(x)=f5(Dfog(x))で算出できる。かぶり効果補正係数マップ算出部26は、かぶり効果補正係数取得部の一例となる。そして、描画領域全体のかぶり効果補正係数θマップを作成する。   As the remaining fogging effect correction amount CDres map / fogging effect correction coefficient θ map calculation step (S214), the fogging effect correction coefficient map calculation unit 26 performs the fogging effect correction in which the pattern dimensions after the fogging effect correction match regardless of the proximity effect density. Obtain the coefficient θ. Specifically, since the fogging effect correction coefficient θ depends on the fogging effect dose amount Dfog, the fogging effect correction coefficient map calculation unit 26 reads the Dfog-θ correlation information from the storage device 140 and calculates the calculated fogging effect dose amount Dfog. The fogging effect correction coefficient θ corresponding to is obtained. The fogging effect correction coefficient θ can be obtained as a value depending on the fogging effect dose amount Dfog. Therefore, it is computable by (theta) (x) = f5 (Dfog (x)). The fogging effect correction coefficient map calculation unit 26 is an example of a fogging effect correction coefficient acquisition unit. Then, a fogging effect correction coefficient θ map for the entire drawing area is created.

同様に、かぶり効果補正残り量マップ算出部24は、かかるかぶり効果補正係数θを用いてかぶり効果を補正した際のかぶり効果補正残り量CDresを取得する。具体的には、かぶり効果補正残り量CDresはかぶり効果ドーズ量Dfogに依存するので、かぶり効果補正係数マップ算出部26は、記憶装置140からDfog−CDres相関情報を読み出し、算出されたかぶり効果ドーズ量Dfogに対応するかぶり効果補正残り量CDresを取得する。かぶり効果補正残り量CDresはかぶり効果ドーズ量Dfogに依存した値として求めることができる。よって、CDres(x)=f6(Dfog(x))で算出できる。かぶり効果補正残り量マップ算出部24は、かぶり効果補正残り量取得部の一例となる。そして、描画領域全体のかぶり効果補正残り量CDresマップを作成する。   Similarly, the remaining fogging effect correction amount map calculation unit 24 acquires the remaining fogging effect correction amount CDres when the fogging effect is corrected using the fogging effect correction coefficient θ. Specifically, since the remaining fogging effect correction amount CDres depends on the fogging effect dose amount Dfog, the fogging effect correction coefficient map calculation unit 26 reads out the Dfog-CDres correlation information from the storage device 140 and calculates the calculated fogging effect dose amount. The remaining fog effect correction amount CDres corresponding to Dfog is acquired. The remaining fog effect correction amount CDres can be obtained as a value depending on the fog effect dose amount Dfog. Therefore, it can be calculated by CDres (x) = f6 (Dfog (x)). The fogging effect correction remaining amount map calculation unit 24 is an example of a fogging effect correction remaining amount acquisition unit. Then, a fog effect correction remaining amount CDres map of the entire drawing area is created.

判定工程(S216)として、判定部28は、前回求められたかぶり効果補正残り量CDres(x)n−1と今回求められたかぶり効果補正残り量CDres(x)nとの差分の絶対値が閾値内になるかどうかを判定する。判定の結果、閾値より大きい場合には、S206に戻る。そして、前回求められたかぶり効果補正残り量CDres(x)n−1と今回求められたかぶり効果補正残り量CDres(x)nとの差分の絶対値が閾値内になるまでローディング効果/かぶり効果補正残り寸法変動量マップ算出工程(S206)から判定工程(S216)までを繰り返す。   In the determination step (S216), the determination unit 28 determines that the absolute value of the difference between the fog effect correction remaining amount CDres (x) n−1 obtained last time and the fog effect correction remaining amount CDres (x) n obtained this time is within the threshold value. Determine whether or not. If the result of determination is greater than the threshold, processing returns to S206. Then, the loading effect / fogging effect correction remaining until the absolute value of the difference between the fog effect correction remaining amount CDres (x) n−1 obtained last time and the fog effect correction remaining amount CDres (x) n obtained this time is within the threshold value. The dimension variation map calculation step (S206) to the determination step (S216) are repeated.

2回目以降のローディング効果/かぶり効果補正残り寸法変動量マップ算出工程(S206)では、かぶり効果補正残り量加算部14によって、前回のルーチンで得られたかぶり効果補正残り量CDres(x)が寸法変動量CDerr(x)マップに加算される。このように かぶり効果補正残り量加算部14は、ローディング効果補正係数に依存するローディング効果による寸法変動量とかぶり効果補正残り量CDres(x)との加算値を算出する。そして、加算された寸法変動量が新たな寸法変動量CDerr(x)となる。かぶり効果補正残り量加算部14は寸法変動量算出部の一例となる。ここでは、かぶり効果補正残り量加算部14が加算しているが、ローディング量マップ作成部12が、前回のルーチンで得られたかぶり効果補正残り量CDres(x)を用いて、式(2)の内容を再度計算し直してもよい。   In the second and subsequent loading effect / fogging effect correction remaining size variation map calculation step (S206), the fogging effect correction remaining amount CDres (x) obtained in the previous routine is subjected to dimensional variation by the fogging effect correction remaining amount adding unit 14. It is added to the quantity CDerr (x) map. As described above, the fogging effect correction remaining amount adding unit 14 calculates an addition value of the dimensional variation amount due to the loading effect depending on the loading effect correction coefficient and the fogging effect correction remaining amount CDres (x). The added dimension variation amount becomes a new dimension variation amount CDerr (x). The fogging effect correction remaining amount adding unit 14 is an example of a dimension variation calculating unit. Here, although the fogging effect correction remaining amount adding unit 14 adds, the loading amount map creating unit 12 uses the fogging effect correction remaining amount CDres (x) obtained in the previous routine to obtain the equation (2). The content may be recalculated.

また、2回目以降の基準照射量Dbaseマップ/近接効果補正係数ηマップ算出工程(S208)では、基準照射量マップ算出部16は、かぶり効果補正残り量CDres(x)を含む寸法変動量CDerr(x)を近接効果による寸法変動量と共に補正する基準照射量Dbaseを算出する。近接効果補正係数マップ算出部17は、かぶり効果補正残り量CDres(x)を含む寸法変動量CDerr(x)を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する。具体的には、新たに求められた寸法変動量CDerr(x)を近接効果補正を維持しながら補正するためのDbaseとηの組が算出される。そして、近接効果補正照射係数Dp(x)も今回得られたηを用いて算出される。   In the second and subsequent reference dose Dbase map / proximity effect correction coefficient η map calculation step (S208), the reference dose map calculation unit 16 performs the dimension variation amount CDerr (including the fogging effect correction remaining amount CDres (x)). A reference dose Dbase for correcting x) together with the dimensional variation due to the proximity effect is calculated. The proximity effect correction coefficient map calculation unit 17 calculates a proximity effect correction coefficient that corrects the dimensional variation amount CDerr (x) including the fogging effect correction remaining amount CDres (x) together with the dimensional variation amount due to the proximity effect. Specifically, a set of Dbase and η for correcting the newly obtained dimension variation amount CDerr (x) while maintaining the proximity effect correction is calculated. Then, the proximity effect correction irradiation coefficient Dp (x) is also calculated using η obtained this time.

また、2回目以降のかぶり効果補正照射係数Df算出工程(S210)では、前回のルーチンで得られたθと今回のルーチンで得られたDbaseとηの組を用いてかぶり効果補正照射係数Dfが算出される。   In the second and subsequent fogging effect correction irradiation coefficient Df calculation step (S210), the fogging effect correction irradiation coefficient Df is calculated using the combination of θ obtained in the previous routine and Dbase and η obtained in the current routine. Calculated.

また、2回目以降のかぶり効果ドーズ量算出工程(S212)では、前回のルーチンで得られたθと今回のルーチンで得られたDbaseとDp(x)とDfを用いてかぶり効果ドーズ量Dfogが算出される。   In the second and subsequent fogging effect dose calculation step (S212), the fogging effect dose amount Dfog is calculated using θ obtained in the previous routine, Dbase, Dp (x), and Df obtained in the current routine. Calculated.

また、2回目以降のかぶり効果補正残り量CDresマップ/かぶり効果補正係数θマップ算出工程(S214)では、今回のルーチンで得られたかぶり効果ドーズ量Dfogに対応するかぶり効果補正残り量CDresとかぶり効果補正係数θとが算出される。   In the second and subsequent fogging effect correction remaining amount CDres map / fogging effect correction coefficient θ map calculation step (S214), the fogging effect correction remaining amount CDres and the fogging effect corresponding to the fogging effect dose amount Dfog obtained in the current routine are obtained. A correction coefficient θ is calculated.

以上のように、かぶり効果補正係数θとかぶり効果補正残り量CDresをフィードバックして、前回求められたかぶり効果補正残り量CDresと今回求められたかぶり効果補正残り量CDresとの差分が閾値内になるまで、改めて寸法変動量CDerr(x)と基準照射量Dbaseと近接効果補正係数ηとかぶり効果補正照射係数Dfとかぶり効果ドーズ量Dfogとかぶり効果補正係数θとかぶり効果補正残り量CDresとが繰り返し求められる。繰り返し回数に制限は無いが、通常、1回繰り返す(2回ルーチンを行う)ことでかなりの高精度な補正が可能となる。そして、面積率ρ(x)マップと、最終的に得られたDbaseマップ、ηマップ、及びDfマップが出力部30によって制御計算機120に出力される。   As described above, the fogging effect correction coefficient θ and the fogging effect correction remaining amount CDres are fed back until the difference between the fogging effect correction remaining amount CDres obtained last time and the fogging effect correction remaining amount CDres obtained this time is within the threshold value. The size variation amount CDerr (x), the reference irradiation amount Dbase, the proximity effect correction coefficient η, the fogging effect correction irradiation coefficient Df, the fogging effect dose amount Dfog, the fogging effect correction coefficient θ, and the remaining fogging effect correction remaining amount CDres are repeatedly obtained. It is done. Although there is no limit to the number of repetitions, it is usually possible to perform correction with considerably high accuracy by repeating once (performing a routine twice). Then, the area ratio ρ (x) map and the finally obtained Dbase map, η map, and Df map are output to the control computer 120 by the output unit 30.

照射量算出工程(S218)として、近接効果補正係数マップ算出部32は、入力された面積率ρ(x)マップと近接効果補正係数ηマップを参照して、近接効果補正照射係数Dp(x)を算出する。近接効果補正照射係数Dp(x)は、面積率ρ(x)と近接効果補正係数ηに依存するので、上述したDp(x)=f3(ρ(x),η(x))で算出できる。そして、描画計算処理単位の近接効果補正照射係数Dpマップを作成する。照射量算出部34は、入力されたDbaseマップ及びDfマップと演算された近接効果補正照射係数Dpマップを用いて、描画する際の電子ビームの照射量Dを算出する。照射量Dは、DbaseとDpとDfの積で定義でき、D(x)=Dbase(x)・Dp(ρ(x),η(x))・Df(x)で求めることができる。そして、描画計算処理単位の照射量Dマップを作成する。   As the dose calculation step (S218), the proximity effect correction coefficient map calculation unit 32 refers to the input area ratio ρ (x) map and the proximity effect correction coefficient η map, and the proximity effect correction irradiation coefficient Dp (x). Is calculated. Since the proximity effect correction irradiation coefficient Dp (x) depends on the area ratio ρ (x) and the proximity effect correction coefficient η, it can be calculated by Dp (x) = f3 (ρ (x), η (x)) described above. . Then, a proximity effect correction irradiation coefficient Dp map for each drawing calculation processing unit is created. The irradiation amount calculation unit 34 calculates the irradiation amount D of the electron beam at the time of drawing using the input Dbase map and Df map and the calculated proximity effect correction irradiation coefficient Dp map. The dose D can be defined by the product of Dbase, Dp, and Df, and can be obtained by D (x) = Dbase (x) · Dp (ρ (x), η (x)) · Df (x). Then, a dose D map for each drawing calculation processing unit is created.

そして、照射時間演算部36は描画領域の各位置における電子ビーム200の照射時間Tを計算する。照射量Dは、照射時間Tと電流密度Jとの積で定義することができるので、照射時間Tは、照射量Dを電流密度Jで除することで求めることができる。算出された照射時間は偏向制御回路132に出力される。   Then, the irradiation time calculation unit 36 calculates the irradiation time T of the electron beam 200 at each position in the drawing area. Since the dose D can be defined by the product of the irradiation time T and the current density J, the irradiation time T can be obtained by dividing the dose D by the current density J. The calculated irradiation time is output to the deflection control circuit 132.

描画工程(S220)として、描画部150は、マップ位置毎に得られた照射量の電子ビーム200を用いて、試料101上に所望のパターンを描画する。具体的には、以下のように動作する。偏向制御回路132は、ショット毎の照射時間を制御するデジタル信号をDACアンプユニット130に出力する。そして、DACアンプユニット130は、デジタル信号をアナログ信号に変換し、増幅した上で偏向電圧としてブランキング偏向器212に印加する。   As the drawing step (S220), the drawing unit 150 draws a desired pattern on the sample 101 using the electron beam 200 having an irradiation amount obtained for each map position. Specifically, it operates as follows. The deflection control circuit 132 outputs a digital signal for controlling the irradiation time for each shot to the DAC amplifier unit 130. The DAC amplifier unit 130 converts the digital signal into an analog signal, amplifies it, and applies it to the blanking deflector 212 as a deflection voltage.

電子銃201(放出部)から放出された電子ビーム200は、ブランキング偏向器212内を通過する際にブランキング偏向器212によって、ビームONの状態では、ブランキングアパーチャ214を通過するように制御され、ビームOFFの状態では、ビーム全体がブランキングアパーチャ214で遮へいされるように偏向される。ビームOFFの状態からビームONとなり、その後ビームOFFになるまでにブランキングアパーチャ214を通過した電子ビーム200が1回の電子ビームのショットとなる。ブランキング偏向器212は、通過する電子ビーム200の向きを制御して、ビームONの状態とビームOFFの状態とを交互に生成する。例えば、ビームONの状態では電圧を印加せず、ビームOFFの際にブランキング偏向器212に電圧を印加すればよい。かかる各ショットの照射時間Tで試料101に照射される電子ビーム200のショットあたりの照射量が調整されることになる。   When the electron beam 200 emitted from the electron gun 201 (emission unit) passes through the blanking deflector 212, it is controlled by the blanking deflector 212 so as to pass through the blanking aperture 214 in the beam ON state. In the beam OFF state, the entire beam is deflected so as to be shielded by the blanking aperture 214. The electron beam 200 that has passed through the blanking aperture 214 until the beam is turned off after the beam is turned off becomes one shot of the electron beam. The blanking deflector 212 controls the direction of the passing electron beam 200 to alternately generate a beam ON state and a beam OFF state. For example, the voltage may be applied to the blanking deflector 212 when the beam is OFF, without applying a voltage when the beam is ON. The irradiation amount per shot of the electron beam 200 irradiated on the sample 101 is adjusted with the irradiation time T of each shot.

以上のようにブランキング偏向器212とブランキングアパーチャ214を通過することによって生成された各ショットの電子ビーム200は、照明レンズ202により矩形例えば長方形の穴を持つ第1の成形アパーチャ203全体を照明する。ここで、電子ビーム200をまず矩形例えば長方形に成形する。そして、第1の成形アパーチャ203を通過した第1のアパーチャ像の電子ビーム200は、投影レンズ204により第2の成形アパーチャ206上に投影される。偏向器205によって、かかる第2の成形アパーチャ206上での第1のアパーチャ像は偏向制御され、ビーム形状と寸法を変化させる(可変成形を行なう)ことができる。かかる可変成形はショット毎に行なわれ、通常ショット毎に異なるビーム形状と寸法に成形される。そして、第2の成形アパーチャ206を通過した第2のアパーチャ像の電子ビーム200は、対物レンズ207により焦点を合わせ、偏向器208によって偏向され、連続的に移動するXYステージ105に配置された試料の所望する位置に照射される。以上のように、各偏向器によって、電子ビーム200の複数のショットが順に基板となる試料101上へと偏向される。   As described above, the electron beam 200 of each shot generated by passing through the blanking deflector 212 and the blanking aperture 214 illuminates the entire first shaping aperture 203 having a rectangular hole, for example, a rectangular hole, by the illumination lens 202. To do. Here, the electron beam 200 is first formed into a rectangle, for example, a rectangle. Then, the electron beam 200 of the first aperture image that has passed through the first shaping aperture 203 is projected onto the second shaping aperture 206 by the projection lens 204. The deflector 205 controls the deflection of the first aperture image on the second shaping aperture 206 and can change the beam shape and dimensions (variable shaping is performed). Such variable shaping is performed for each shot, and is usually shaped into different beam shapes and dimensions for each shot. The electron beam 200 of the second aperture image that has passed through the second shaping aperture 206 is focused by the objective lens 207, deflected by the deflector 208, and placed on the XY stage 105 that moves continuously. The desired position is irradiated. As described above, a plurality of shots of the electron beam 200 are sequentially deflected onto the sample 101 serving as the substrate by each deflector.

以上のように実施の形態1によれば、かぶり効果モデルで想定しきれていなかった寸法変動であるかぶり効果補正残りも近接効果補正を崩さずに合わせて補正できる。よって、高精度な寸法で描画できる。   As described above, according to the first embodiment, the remaining fogging effect correction that is a dimensional variation that could not be assumed in the fogging effect model can be corrected without breaking the proximity effect correction. Therefore, it is possible to draw with highly accurate dimensions.

以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。   The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.

また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。   In addition, although descriptions are omitted for parts and the like that are not directly required for the description of the present invention, such as a device configuration and a control method, a required device configuration and a control method can be appropriately selected and used. For example, although the description of the control unit configuration for controlling the drawing apparatus 100 is omitted, it goes without saying that the required control unit configuration is appropriately selected and used.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての荷電粒子ビーム描画装置及び方法は、本発明の範囲に包含される。   In addition, all charged particle beam writing apparatuses and methods that include elements of the present invention and that can be appropriately modified by those skilled in the art are included in the scope of the present invention.

10 パターン面積率マップ作成部
12 ローディング量マップ作成部
14 かぶり効果補正残り量加算部
16 基準照射量マップ算出部
17 近接効果補正係数マップ算出部
18 近接効果補正照射係数マップ算出部
20 かぶり効果補正照射係数マップ算出部
22 かぶり効果ドーズ量算出部
24 かぶり効果補正残り量算出部
26 かぶり効果補正係数マップ算出部
28 判定部
30 出力部
32 近接効果補正係数マップ算出部
34 照射量算出部
36 照射時間演算部
38 描画処理制御部
100 描画装置
101 試料
102 電子鏡筒
103 描画室
105 XYステージ
110,120 制御計算機
111,121 メモリ
130 DACアンプユニット
132 偏向制御回路
140,142 記憶装置
150 描画部
160 制御部
200 電子ビーム
201 電子銃
202 照明レンズ
203 第1の成形アパーチャ
204 投影レンズ
205 偏向器
206 第2の成形アパーチャ
207 対物レンズ
208 偏向器
212 ブランキング偏向器
214 ブランキングアパーチャ
330 電子線
340 試料
410 第1のアパーチャ
411 開口
420 第2のアパーチャ
421 可変成形開口
430 荷電粒子ソース
DESCRIPTION OF SYMBOLS 10 Pattern area ratio map preparation part 12 Loading amount map preparation part 14 Coverage effect correction remaining amount addition part 16 Reference irradiation amount map calculation part 17 Proximity effect correction coefficient map calculation part 18 Proximity effect correction irradiation coefficient map calculation part 20 Coverage effect correction irradiation Coefficient map calculation unit 22 Fog effect dose amount calculation unit 24 Fog effect correction remaining amount calculation unit 26 Fog effect correction coefficient map calculation unit 28 Determination unit 30 Output unit 32 Proximity effect correction coefficient map calculation unit 34 Irradiation amount calculation unit 36 Irradiation time calculation Unit 38 drawing processing control unit 100 drawing device 101 sample 102 electron column 103 drawing room 105 XY stage 110, 120 control computer 111, 121 memory 130 DAC amplifier unit 132 deflection control circuit 140, 142 storage device 150 drawing unit 160 control unit 200 Electron beam 201 electron 202 Illumination lens 203 First shaping aperture 204 Projection lens 205 Deflector 206 Second shaping aperture 207 Objective lens 208 Deflector 212 Blanking deflector 214 Blanking aperture 330 Electron beam 340 Sample 410 First aperture 411 Opening 420 Second Two apertures 421 Variable shaped aperture 430 Charged particle source

Claims (5)

かぶり効果補正後のパターン寸法が近接効果密度によらず一致するかぶり効果補正係数を取得するかぶり効果補正係数取得部と、
前記かぶり効果補正係数を用いてかぶり効果を補正した際のかぶり効果の補正残り量を取得するかぶり効果補正残り量取得部と、
前記かぶり効果補正残り量を近接効果による寸法変動量と共に補正する基準照射量を算出する基準照射量算出部と、
前記かぶり効果補正残り量を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する近接効果補正係数算出部と、
前記かぶり効果補正係数と前記基準照射量と前記近接効果補正係数とを用いてかぶり効果を補正するかぶり効果補正照射係数を算出するかぶり効果補正照射係数算出部と、
前記かぶり効果補正照射係数を用いて描画する際の荷電粒子ビームの照射量を算出する照射量算出部と、
前記照射量で試料にパターンを描画する描画部と、
を備えたことを特徴とする荷電粒子ビーム描画装置。
A fogging effect correction coefficient acquisition unit that acquires a fogging effect correction coefficient in which the pattern dimensions after the fogging effect correction match regardless of the proximity effect density;
A fogging effect correction remaining amount acquisition unit that acquires a correction remaining amount of the fogging effect when correcting the fogging effect using the fogging effect correction coefficient;
A reference dose calculation unit for calculating a reference dose for correcting the remaining fog correction correction amount together with a dimensional variation due to the proximity effect;
A proximity effect correction coefficient calculation unit that calculates a proximity effect correction coefficient for correcting the fogging effect correction remaining amount together with a dimensional variation amount due to the proximity effect;
A fogging effect correction irradiation coefficient calculation unit for calculating a fogging effect correction irradiation coefficient for correcting a fogging effect using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient;
A dose calculation unit that calculates a dose of the charged particle beam when drawing using the fogging effect correction irradiation coefficient;
A drawing unit for drawing a pattern on the sample with the irradiation amount;
A charged particle beam drawing apparatus comprising:
前記かぶり効果補正係数と前記かぶり効果補正残り量をフィードバックして、前回求められたかぶり効果補正残り量と今回求められたかぶり効果補正残り量との差分が閾値内になるまで、改めて前記かぶり効果補正係数と前記かぶり効果補正残り量と前記基準照射量と前記近接効果補正係数と前記かぶり効果補正照射係数とが繰り返し求められることを特徴とする請求項1記載の荷電粒子ビーム描画装置。   The fogging effect correction coefficient and the remaining fogging effect correction remaining amount are fed back until the difference between the previously obtained fogging effect correction remaining amount and the currently obtained fogging effect correction remaining amount falls within the threshold value again. 2. The charged particle beam drawing apparatus according to claim 1, wherein the fogging effect correction remaining amount, the reference irradiation amount, the proximity effect correction coefficient, and the fogging effect correction irradiation coefficient are repeatedly obtained. 前記基準照射量と前記近接効果補正係数とを用いて、かぶり効果により生じるかぶり効果ドーズ量を算出するかぶり効果ドーズ量算出部をさらに備え、
前記かぶり効果補正係数と前記かぶり効果補正残り量は、かぶり効果ドーズ量に依存して取得されることを特徴とする請求項1又は2記載の荷電粒子ビーム描画装置。
Further comprising a fogging effect dose amount calculation unit for calculating a fogging effect dose amount caused by a fogging effect using the reference irradiation amount and the proximity effect correction coefficient,
3. The charged particle beam drawing apparatus according to claim 1, wherein the fogging effect correction coefficient and the remaining fogging effect correction amount are acquired depending on a fogging effect dose amount.
かぶり効果の影響範囲内に別のパターンが配置される第1の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した際の前記第1の評価パターンの第1のパターン寸法と、かぶり効果の影響範囲内に別のパターンが配置されない第2の評価パターンに対して近接効果とかぶり効果とローディング効果を補正した場合の前記第2の評価パターンの第2のパターン寸法との第1の差が、前記第1の評価パターンに対して近接効果とかぶり効果を補正した際の前記第1の評価パターンの第3のパターン寸法と、前記第2の評価パターンに対して近接効果とかぶり効果を補正した際の前記第2の評価パターンの第4のパターン寸法との第2の差と、一致するように設定されたローディング効果補正係数を記憶する記憶部と、
前記ローディング効果補正係数に依存するローディング効果による寸法変動量と前記かぶり効果補正残り量との加算値を算出する寸法変動量算出部と、
をさらに備え、
前記基準照射量算出部は、前記基準照射量を、加算された寸法変動量を近接効果による寸法変動量と共に補正するように算出し、
前記近接効果補正係数算出部は、前記近接効果補正係数を、加算された寸法変動量を近接効果による寸法変動量と共に補正するように算出し、
前記かぶり効果ドーズ量算出部は、前記かぶり効果ドーズ量を、加算された寸法変動量に基づく前記基準照射量と前記近接効果補正係数とを用いて算出し、
前記かぶり効果補正係数取得部は、前記かぶり効果補正係数を、加算された寸法変動量に基づく前記かぶり効果ドーズ量に応じて取得し、
前記かぶり効果補正残り量取得部は、前記かぶり効果補正残り量を、加算された寸法変動量に基づく前記かぶり効果ドーズ量に応じて取得し、
前記かぶり効果補正照射係数算出部は、前記かぶり効果補正照射係数を、共に加算された寸法変動量に基づく、前記かぶり効果補正係数と前記基準照射量と前記近接効果補正係数とを用いて算出することを特徴とする請求項3記載の荷電粒子ビーム描画装置。
The first pattern size of the first evaluation pattern when the proximity effect, the fogging effect, and the loading effect are corrected with respect to the first evaluation pattern in which another pattern is arranged within the range of influence of the fogging effect, and the fogging The first pattern dimensions of the second evaluation pattern when the proximity effect, the fogging effect, and the loading effect are corrected with respect to the second evaluation pattern in which another pattern is not arranged within the effect influence range. The difference is the third pattern size of the first evaluation pattern when the proximity effect and the fogging effect are corrected for the first evaluation pattern, and the proximity effect and the fogging effect for the second evaluation pattern. A storage unit for storing a loading effect correction coefficient set so as to match a second difference between the second evaluation pattern and the fourth pattern dimension when the second evaluation pattern is corrected,
A dimensional variation calculation unit that calculates an added value of the dimensional variation due to the loading effect depending on the loading effect correction coefficient and the fogging effect correction remaining amount;
Further comprising
The reference dose calculation unit calculates the reference dose so as to correct the added dimensional variation amount together with the dimensional variation amount due to the proximity effect,
The proximity effect correction coefficient calculation unit calculates the proximity effect correction coefficient so as to correct the added dimensional variation amount together with the dimensional variation amount due to the proximity effect,
The fogging effect dose amount calculating unit calculates the fogging effect dose amount using the reference irradiation amount based on the added dimensional variation amount and the proximity effect correction coefficient,
The fogging effect correction coefficient acquisition unit acquires the fogging effect correction coefficient according to the fogging effect dose based on the added dimensional variation amount,
The fogging effect correction remaining amount acquisition unit acquires the fogging effect correction remaining amount according to the fogging effect dose based on the added dimensional variation amount;
The fogging effect correction irradiation coefficient calculation unit calculates the fogging effect correction irradiation coefficient using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient based on the dimensional variation added together. The charged particle beam drawing apparatus according to claim 3.
かぶり効果補正後のパターン寸法が近接効果密度によらず一致するかぶり効果補正係数を取得する工程と、
前記かぶり効果補正係数を用いてかぶり効果を補正した際のかぶり効果の補正残り量を取得する工程と、
前記かぶり効果補正残り量を近接効果による寸法変動量と共に補正する基準照射量を算出する工程と、
前記かぶり効果補正残り量を近接効果による寸法変動量と共に補正する近接効果補正係数を算出する工程と、
前記かぶり効果補正係数と前記基準照射量と前記近接効果補正係数とを用いてかぶり効果を補正するかぶり効果補正照射係数を算出する工程と、
前記かぶり効果補正照射係数を用いて描画する際の荷電粒子ビームの照射量を算出する工程と、
前記照射量で試料にパターンを描画する工程と、
を備えたことを特徴とする荷電粒子ビーム描画方法。
A step of obtaining a fogging effect correction coefficient in which the pattern dimensions after the fogging effect correction match regardless of the proximity effect density;
Obtaining the remaining correction amount of the fogging effect when the fogging effect is corrected using the fogging effect correction coefficient;
Calculating a reference irradiation amount for correcting the fogging effect correction remaining amount together with a dimensional variation amount due to a proximity effect;
Calculating a proximity effect correction coefficient for correcting the remaining fogging effect correction amount together with a dimensional variation amount due to the proximity effect;
Calculating a fogging effect correction irradiation coefficient for correcting a fogging effect using the fogging effect correction coefficient, the reference irradiation amount, and the proximity effect correction coefficient;
Calculating the irradiation amount of the charged particle beam when drawing using the fogging effect correction irradiation coefficient;
Drawing a pattern on the sample with the irradiation amount;
A charged particle beam drawing method comprising:
JP2010212342A 2010-09-22 2010-09-22 Charged particle beam drawing device and drawing method of charged particle beam Pending JP2012069667A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010212342A JP2012069667A (en) 2010-09-22 2010-09-22 Charged particle beam drawing device and drawing method of charged particle beam

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010212342A JP2012069667A (en) 2010-09-22 2010-09-22 Charged particle beam drawing device and drawing method of charged particle beam

Publications (1)

Publication Number Publication Date
JP2012069667A true JP2012069667A (en) 2012-04-05

Family

ID=46166596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010212342A Pending JP2012069667A (en) 2010-09-22 2010-09-22 Charged particle beam drawing device and drawing method of charged particle beam

Country Status (1)

Country Link
JP (1) JP2012069667A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016100445A (en) * 2014-11-20 2016-05-30 株式会社ニューフレアテクノロジー Charged particle beam drawing device and charged particle beam drawing method
US9837247B2 (en) 2013-08-08 2017-12-05 NuFlare Technology Co., Inc. Charged particle beam writing apparatus and method utilizing a sum of the weighted area density of each figure pattern

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9837247B2 (en) 2013-08-08 2017-12-05 NuFlare Technology Co., Inc. Charged particle beam writing apparatus and method utilizing a sum of the weighted area density of each figure pattern
US10199200B2 (en) 2013-08-08 2019-02-05 Nuflare Technology, Inc. Charged particle beam writing apparatus and charged particle beam writing method
US10381194B2 (en) 2013-08-08 2019-08-13 Nuflare Technology, Inc. Charged particle beam writing apparatus and charged particle beam writing method
JP2016100445A (en) * 2014-11-20 2016-05-30 株式会社ニューフレアテクノロジー Charged particle beam drawing device and charged particle beam drawing method

Similar Documents

Publication Publication Date Title
JP4476975B2 (en) Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
JP4976071B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
KR101244525B1 (en) Charged particle beam writing method and apparatus
JP5871558B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
KR100865021B1 (en) Writing method of charged particle beam, support apparatus of charged particle beam writing apparatus, writing data generating method and program-recorded readable recording medium
JP5020849B2 (en) Charged particle beam drawing apparatus, pattern dimensional error correction apparatus, and pattern dimensional error correction method
TWI652715B (en) Charged particle beam drawing device and charged particle beam drawing method
JP5616674B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP6057635B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
KR20080053257A (en) Pattern generation method and charged particle beam writing apparatus
JP5731257B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5242963B2 (en) Charged particle beam drawing apparatus, pattern dimension resizing apparatus, charged particle beam drawing method, and pattern dimension resizing method
JP5985852B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012069667A (en) Charged particle beam drawing device and drawing method of charged particle beam
JP6869695B2 (en) Charged particle beam drawing device and charged particle beam drawing method
JP5758325B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP6171062B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5871557B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5441806B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2012023279A (en) Charged particle beam lithography apparatus and charged particle beam lithography method
JP2020184582A (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP5525902B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5079408B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5773637B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method