JP5863882B2 - Patterning of magnetic thin films using high energy ions. - Google Patents

Patterning of magnetic thin films using high energy ions. Download PDF

Info

Publication number
JP5863882B2
JP5863882B2 JP2014114835A JP2014114835A JP5863882B2 JP 5863882 B2 JP5863882 B2 JP 5863882B2 JP 2014114835 A JP2014114835 A JP 2014114835A JP 2014114835 A JP2014114835 A JP 2014114835A JP 5863882 B2 JP5863882 B2 JP 5863882B2
Authority
JP
Japan
Prior art keywords
magnetic
thin film
magnetic thin
resist
ions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014114835A
Other languages
Japanese (ja)
Other versions
JP2014209404A (en
Inventor
オムカラム ナラマス,
オムカラム ナラマス,
スティーヴン ヴァーハーヴァーベイク,
スティーヴン ヴァーハーヴァーベイク,
マジェード フォード,
マジェード フォード,
マハリンガム ヴェンカテサン,
マハリンガム ヴェンカテサン,
ネティ エム. クリシュナ,
ネティ エム. クリシュナ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/255,865 external-priority patent/US8551578B2/en
Priority claimed from US12/255,833 external-priority patent/US8535766B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014209404A publication Critical patent/JP2014209404A/en
Application granted granted Critical
Publication of JP5863882B2 publication Critical patent/JP5863882B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/84Processes or apparatus specially adapted for manufacturing record carriers
    • G11B5/855Coating only part of a support with a magnetic layer
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5826Treatment with charged particles
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/743Patterned record carriers, wherein the magnetic recording layer is patterned into magnetic isolated data islands, e.g. discrete tracks
    • G11B5/746Bit Patterned record carriers, wherein each magnetic isolated data island corresponds to a bit
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11BINFORMATION STORAGE BASED ON RELATIVE MOVEMENT BETWEEN RECORD CARRIER AND TRANSDUCER
    • G11B5/00Recording by magnetisation or demagnetisation of a record carrier; Reproducing by magnetic means; Record carriers therefor
    • G11B5/74Record carriers characterised by the form, e.g. sheet shaped to wrap around a drum
    • G11B5/82Disk carriers

Description

本発明は、一般的に磁気薄膜のパターン化に関し、より詳細には、高エネルギーイオンを使用して磁気記録媒体の磁気薄膜をパターン化する方法に関する。   The present invention relates generally to patterning of magnetic thin films, and more particularly to a method for patterning magnetic thin films of magnetic recording media using high energy ions.

コンピュータ用のさらに高密度の情報記憶媒体に対する要求が常に存在している。今日、普及している記憶媒体は、ハードディスクドライブ(HDD)である。HDDは、磁気表面を持った高速回転ディスクにディジタル符号化データを格納する不揮発性記憶デバイスである。ディスクは円形で、中心穴がある。ディスクは、非磁気材料、普通はガラスまたはアルミニウムから作られ、コバルトをベースにした合金薄膜などの磁気薄膜が一方の面または両面にコーティングされている。HDDは、磁気膜の領域を2つの特定の向きのうちの1つで磁化することによってデータを記録して、薄膜を使った2進データ記憶を行えるようにする。格納されたデータは、膜の磁化領域の向きを検出することによって読み取られる。   There is always a need for higher density information storage media for computers. A storage medium that is popular today is a hard disk drive (HDD). An HDD is a non-volatile storage device that stores digitally encoded data on a high-speed rotating disk having a magnetic surface. The disc is circular and has a central hole. The disk is made of a non-magnetic material, usually glass or aluminum, and is coated on one or both sides with a magnetic thin film, such as an alloy thin film based on cobalt. The HDD records data by magnetizing a region of the magnetic film in one of two specific orientations, allowing binary data storage using a thin film. The stored data is read by detecting the orientation of the magnetization region of the film.

典型的なHDD設計は、読取り/書込みヘッドが1つまたは複数のディスクの一方または両面にアクセスできるように十分に間隔を空けて配置された1つまたは複数のディスクを保持するスピンドルから成る。ディスクは、ディスクの中心穴に挿入されたクランプによってスピンドルに固定される。ディスクは、非常に高速でスピン回転される。情報は、ディスクが読取り/書込みヘッドのそばを通って回転するときにディスクに書き込まれ、またディスクから読み取られる。ヘッドは、磁気薄膜の表面に非常に密接して動く。読取り/書込みヘッドは、それの直ぐ真下の材料の磁化を検出および/または修正するために使用される。スピンドルの磁気ディスク表面ごとに1つのヘッドがある。アームが、スピン回転するディスクを横切ってヘッドを動かして、各ヘッドが対応するディスクのほとんど全表面にアクセスできるようにする。   A typical HDD design consists of a spindle that holds one or more disks spaced sufficiently to allow a read / write head to access one or both sides of one or more disks. The disc is fixed to the spindle by a clamp inserted in the central hole of the disc. The disc is spun at a very high speed. Information is written to and read from the disk as it rotates by the read / write head. The head moves very closely to the surface of the magnetic thin film. The read / write head is used to detect and / or modify the magnetization of the material directly beneath it. There is one head for each magnetic disk surface of the spindle. The arm moves the heads across the spinning disks so that each head has access to almost the entire surface of the corresponding disk.

従来の磁気媒体では、各ビットセルは、無秩序に分散された複数の磁気グレインを含んでいる。理想的には、この複数の磁気グレインは、改善された書込み能力、信号対雑音比(SNR)および熱安定性を実現するように互いに物理的に分離されている。   In conventional magnetic media, each bit cell includes a plurality of randomly distributed magnetic grains. Ideally, the plurality of magnetic grains are physically separated from each other to achieve improved write capability, signal-to-noise ratio (SNR), and thermal stability.

磁気記録媒体の面密度が増すにつれて、1平方インチ当たりのビットセルの数が増す。このことは、ビットセルのサイズを縮小する。遷移を効果的に測定するために、ビットセル中に最小数の磁気グレインが必要とされる。ビットセルのサイズが縮小するにつれて、磁気グレインサイズは、ビットセル中に最小数の磁気グレインを与えるように対応して縮小されなければならない。磁気グレインの分離および磁気グレインサイズの縮小が推進されて低雑音を保証すると、記録密度は、熱的擾乱のために制限されるだろう。   As the areal density of magnetic recording media increases, the number of bit cells per square inch increases. This reduces the size of the bit cell. In order to effectively measure transitions, a minimum number of magnetic grains in the bit cell is required. As the size of the bit cell is reduced, the magnetic grain size must be correspondingly reduced to provide a minimum number of magnetic grains in the bit cell. If magnetic grain separation and magnetic grain size reduction are driven to ensure low noise, the recording density will be limited due to thermal disturbances.

記録密度の改善のために、媒体上の記録セルサイズを縮小することが望ましいが、これは、媒体から発生される信号磁界強度の弱化を引き起こす。記録システムに要求されるSNRを満たすために、信号強度の弱化に対応して雑音が引き下げられなければならない。媒体雑音は、主に、磁化遷移の揺らぎによって生じ、この揺らぎは、磁気グレインで作られた磁化反転ユニットのサイズに比例している。したがって、媒体雑音を引き下げるために、磁気グレイン間の交換相互作用を分断することによって磁気グレインを分離することが必要とされる。   In order to improve the recording density, it is desirable to reduce the recording cell size on the medium, but this causes a weakening of the signal magnetic field strength generated from the medium. In order to meet the SNR required for the recording system, the noise must be reduced in response to the weakening of the signal strength. The medium noise is mainly caused by the fluctuation of the magnetization transition, and this fluctuation is proportional to the size of the magnetization reversal unit made of magnetic grains. Therefore, in order to reduce the media noise, it is necessary to separate the magnetic grains by breaking the exchange interaction between the magnetic grains.

分離された単一磁気グレインの磁気エネルギーは、磁気異方性エネルギー密度とグレインの体積との積によって与えられる。磁化遷移幅を縮小するために、媒体厚さを縮小することが望ましい。低雑音に必要な条件を満たすために、グレインサイズを縮小することがまた望ましい。縮小された磁気グレインサイズは、磁気グレインの体積を相当に小さくし、さらにグレインの磁気エネルギーを相当に小さくする。磁気媒体中の或る特定の磁気グレインの磁気エネルギーが、動作温度(例えば、室温)で熱エネルギーの数百倍であれば、熱的擾乱に対する抵抗は、十分であると考えられる。しかし、磁気グレインの磁気エネルギーが熱エネルギーの百倍未満であれば、磁気グレインの磁化方向は、熱的擾乱によって反転されることがあり、ことによると記録情報の喪失につながる可能性がある。   The magnetic energy of a single isolated magnetic grain is given by the product of the magnetic anisotropy energy density and the grain volume. In order to reduce the magnetization transition width, it is desirable to reduce the media thickness. It is also desirable to reduce the grain size to meet the requirements for low noise. The reduced magnetic grain size significantly reduces the magnetic grain volume and further reduces the magnetic energy of the grains. If the magnetic energy of a particular magnetic grain in the magnetic medium is several hundred times the thermal energy at the operating temperature (eg, room temperature), the resistance to thermal disturbance is considered sufficient. However, if the magnetic energy of the magnetic grains is less than 100 times the thermal energy, the magnetization direction of the magnetic grains may be reversed by thermal disturbance, possibly leading to loss of recorded information.

熱的擾乱の問題を克服する様々な選択肢が提案されている。1つの選択肢は、高い磁気異方性を持った磁気材料を使用することである。この磁気材料では、磁気媒体に書き込むためにヘッドの記録飽和磁界がさらに高くなければならない。他の選択肢は、熱支援記録を使用することであり、この場合、高異方性磁気材料が使用され、記録部は、記録中、光照射によって加熱される。この熱が、磁気グレインの異方性および記録飽和磁界を小さくする。このことによって、従来の磁気ヘッドで磁気媒体に書き込むことが可能になる。   Various options have been proposed to overcome the problem of thermal disturbance. One option is to use a magnetic material with high magnetic anisotropy. With this magnetic material, the recording saturation field of the head must be higher in order to write to the magnetic medium. Another option is to use heat assisted recording, in which case a highly anisotropic magnetic material is used and the recording part is heated by light irradiation during recording. This heat reduces the magnetic grain anisotropy and the recording saturation magnetic field. This makes it possible to write on a magnetic medium with a conventional magnetic head.

面密度が増すにつれて、依然として1ビットセル当たりに要求される最小数の磁気グレインがあり、さらに、どんなに小さな磁気グレインが実際に達成できるかということに限界がある。   As the areal density increases, there is still a minimum number of magnetic grains required per bit cell, and there is a limit to how small magnetic grains can actually be achieved.

調査されている代替え磁気媒体は、パターン化された媒体であり、この場合、磁気部は非磁気部と交互になっている。例えば、ビットパターン媒体は、非磁気部によって囲繞されたアイランドとして磁気ドメインを画定する磁気部を有することがある。トラックパターン媒体は、例えば、非磁気部で隔てられた磁気部の同心トラックを有することがある。   The alternative magnetic medium being investigated is a patterned medium, where the magnetic parts alternate with non-magnetic parts. For example, a bit pattern medium may have a magnetic portion that defines a magnetic domain as an island surrounded by a non-magnetic portion. The track pattern medium may have, for example, concentric tracks of magnetic parts separated by non-magnetic parts.

様々な選択肢が、これらの媒体を製造するために提案されているが、依然として、費用対効果が高くかつ大量製造に適合した方法を考え出す必要がある。この開示の実施形態が生まれたのは、この背景においてである。   Various options have been proposed for producing these media, but there is still a need to come up with a method that is cost effective and compatible with mass production. It is in this context that the embodiments of this disclosure were born.

この開示の概念および方法は、磁気薄膜のいくつかの部分が磁気薄膜の他の部分と異なる磁気特性を示すようになっている磁気媒体の大量製造を見込んでいる。   The concepts and methods of this disclosure allow for the mass production of magnetic media in which some portions of the magnetic thin film exhibit different magnetic properties than other portions of the magnetic thin film.

一態様では、本開示は、基板上の磁気薄膜をパターン化する方法である。本方法は、磁気薄膜の周りにパターンを設けるステップを含み、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。1つまたは複数の元素の高エネルギーイオンは、パターンの選択領域および選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。基板は高エネルギーイオンを受け取るために配置される。選択領域に近接した磁気薄膜の部分は、磁気薄膜の選択された他の部分と異なる磁気特性を示す。   In one aspect, the present disclosure is a method for patterning a magnetic thin film on a substrate. The method includes providing a pattern around the magnetic thin film, the selected region of the pattern allowing high energy ions of one or more elements to penetrate and impact a portion of the magnetic thin film. . High energy ions of one or more elements are generated with sufficient energy to penetrate a selected area of the pattern and a portion of the magnetic thin film proximate to the selected area. The substrate is arranged to receive high energy ions. The portion of the magnetic thin film proximate to the selected region exhibits different magnetic properties than other selected portions of the magnetic thin film.

他の態様では、本開示は、両面に磁気薄膜の付いた、2つの面を有する磁気媒体をパターン化する方法である。本方法は、磁気媒体の両面の磁気薄膜の周りにパターンを設けるステップを含み、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。1つまたは複数の元素の高エネルギーイオンは、磁気媒体の両面のパターンの選択領域および選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。磁気媒体は、高エネルギーイオンを受け取るように配置される。磁気媒体の両面の選択領域に近接した磁気薄膜の部分は、磁気薄膜の選択された他の部分と異なる磁気特性を示す。   In another aspect, the present disclosure is a method of patterning a magnetic medium having two sides with a magnetic thin film on both sides. The method includes providing a pattern around the magnetic thin film on both sides of the magnetic medium, the selected region of the pattern having high energy ions of one or more elements penetrating and impinging on a portion of the magnetic thin film. Is possible. High energy ions of one or more elements are generated with sufficient energy to penetrate a selected area of the pattern on both sides of the magnetic medium and a portion of the magnetic thin film adjacent to the selected area. The magnetic medium is arranged to receive high energy ions. The portions of the magnetic thin film proximate to the selected areas on both sides of the magnetic medium exhibit different magnetic properties than other selected portions of the magnetic thin film.

本発明のこれらおよび他の態様および特徴は、本発明の特定の実施形態についての次の説明を、添付の図に関連して精査すると直ぐに当業者には明らかになるだろう。   These and other aspects and features of the present invention will become apparent to those of ordinary skill in the art upon review of the following description of specific embodiments of the invention in conjunction with the accompanying figures.

この開示の代表的な方法を示すプロセス流れ図である。2 is a process flow diagram illustrating an exemplary method of this disclosure. 磁気薄膜の周りでパターンとして使用するための代表的なマスクを示す部分平面図である。It is a partial top view which shows the typical mask for using it as a pattern around a magnetic thin film. 磁気薄膜の周りに配置された、パターンの付いた代表的なレジストを示す図である。FIG. 2 shows a representative patterned resist disposed around a magnetic thin film. この開示で使用するためのプロセスチャンバを示す概略図であり、この開示の第1のディスクホルダ装置を示している。FIG. 2 is a schematic diagram showing a process chamber for use in the present disclosure, illustrating the first disc holder device of the present disclosure. 磁気薄膜の周りのパターンを示す断面図である。It is sectional drawing which shows the pattern around a magnetic thin film. イオン侵入後の磁気薄膜を示す断面図である。It is sectional drawing which shows the magnetic thin film after ion penetration. レジストおよび磁気薄膜にわたってヘリウムイオン侵入分布を示す図である。It is a figure which shows helium ion penetration | invasion distribution over a resist and a magnetic thin film. レジストおよび磁気薄膜にわたってヘリウムイオン侵入分布を示す図である。It is a figure which shows helium ion penetration | invasion distribution over a resist and a magnetic thin film. ヘリウムイオン注入に供されなかった磁気膜の部分の磁化曲線を示す図である。It is a figure which shows the magnetization curve of the part of the magnetic film which was not used for helium ion implantation. ヘリウムイオン注入に供された磁気膜の部分の磁化曲線を示す図である。It is a figure which shows the magnetization curve of the part of the magnetic film used for helium ion implantation. レジストおよび磁気薄膜にわたってホウ素イオン侵入分布を示す図である。It is a figure which shows boron ion penetration | invasion distribution over a resist and a magnetic thin film. レジストおよび磁気薄膜にわたってホウ素イオン侵入分布を示す図である。It is a figure which shows boron ion penetration | invasion distribution over a resist and a magnetic thin film. ホウ素イオン注入後の磁気薄膜中のホウ素イオンおよびコバルトイオンの濃度を示す図である。It is a figure which shows the density | concentration of the boron ion and cobalt ion in the magnetic thin film after boron ion implantation. ホウ素イオン注入に供されなかった磁気膜の部分の磁化曲線を示す図である。It is a figure which shows the magnetization curve of the part of the magnetic film which was not provided to boron ion implantation. ホウ素イオン注入に供された磁気膜の部分の磁化曲線を示す図である。It is a figure which shows the magnetization curve of the part of the magnetic film used for boron ion implantation. 磁気薄膜にわたって珪素イオン侵入分布を示す図である。It is a figure which shows a silicon ion penetration | invasion distribution over a magnetic thin film. 珪素イオン注入後の磁気薄膜中の珪素イオンの深さ分布を示す図である。It is a figure which shows the depth distribution of the silicon ion in the magnetic thin film after silicon ion implantation.

本開示は、これから図面に関連して詳細に説明され、図面は、当業者が本開示を実施できるようにするために、本開示の例示の実施例として提供される。注目に値することに、以下の図および実施例は、本開示の範囲を単一の実施形態に限定する意図でなく、説明されたまたは例示された要素のいくつかまたは全てを入れ替えることにより他の実施形態が可能である。さらに、本開示の或る要素が、知られている構成要素を使用して部分的にまたは完全に実現可能である場合、そのような知られた構成要素のうちの、本開示を理解するために必要な部分だけが説明され、そのような知られた構成要素の他の部分の詳細な説明は、本開示を曖昧にしないために省略される。本明細書では、特異な構成要素を示す実施形態は、限定的なものと考えられるべきでない。それどころか、本開示は、本明細書で違った風に明示的に述べられない限り、複数の同じ構成要素を含む他の実施形態を網羅する意図であり、逆の場合も同じである。さらに、出願者は、明示的にそのようなものとして述べない限り、明細書および特許請求の範囲のどんな用語も珍しいまたは特別な意味のものとみなすつもりでない。さらに、本開示は、例示として本明細書で言及される知られた構成要素の現在および将来知られている同等物を網羅する。     The present disclosure will now be described in detail with reference to the drawings, which are provided as illustrative examples of the present disclosure so as to enable those skilled in the art to practice the disclosure. Notably, the following figures and examples are not intended to limit the scope of the present disclosure to a single embodiment, but may be different by replacing some or all of the elements described or illustrated. Embodiments are possible. Further, if an element of the present disclosure is partially or fully feasible using known components, in order to understand the present disclosure of such known components Only those portions that are necessary will be described, and detailed descriptions of other portions of such known components will be omitted so as not to obscure the present disclosure. In the present specification, embodiments showing unique components should not be considered limiting. On the contrary, the disclosure is intended to cover other embodiments that include a plurality of the same components, and vice versa, unless explicitly stated differently herein. In addition, applicants do not intend to regard any terms in the specification and claims as unusual or special unless explicitly stated as such. Furthermore, this disclosure covers the present and future known equivalents of known components referred to herein by way of example.

一般に、本開示は、1つまたは複数の元素のイオンが貫通して磁気薄膜の部分に衝突することを可能にする選択領域を持ったパターンを設けることを考えている。1つまたは複数の元素の高エネルギーイオンは、パターンの選択領域およびこの選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った状態で生成される。基板は、高エネルギーイオンを受け取るように配置される。選択領域に近接した磁気薄膜の部分は、磁気薄膜の他の部分と異なる磁気特性を示す。この方法は、ハードディスクドライブの製作に応用可能であり、非常に高い面密度の情報記憶を行えるようにする。   In general, the present disclosure contemplates providing a pattern with selected regions that allow ions of one or more elements to penetrate and impact portions of the magnetic thin film. High energy ions of one or more elements are generated with sufficient energy to penetrate a selected region of the pattern and a portion of the magnetic thin film proximate to the selected region. The substrate is arranged to receive high energy ions. The portion of the magnetic thin film adjacent to the selected region exhibits different magnetic characteristics from the other portions of the magnetic thin film. This method can be applied to the production of a hard disk drive, and enables information storage with a very high surface density.

この開示の代表的な方法が図1に示されている。基板上の磁気薄膜をパターン化する方法は、次のステップを含む。すなわち、(1)1つまたは複数の元素の高エネルギーイオンの貫通を可能にする選択領域を持ったパターンを、磁気薄膜の周りに設けるステップと、(2)パターンの選択領域およびこの選択領域に近接した磁気薄膜の一部に侵入するのに十分なエネルギーを持った、1つまたは複数の元素の高エネルギーイオンを発生させるステップと、(3)高エネルギーイオンを受け取るように基板を配置するステップと、(4)選択領域に近接した磁気薄膜の部分が磁気薄膜の選択された他の部分と異なる磁気特性を示すようにするステップと、を含む。   A representative method of this disclosure is shown in FIG. The method for patterning a magnetic thin film on a substrate includes the following steps. That is, (1) providing a pattern having a selection region that allows high-energy ions of one or more elements to penetrate around the magnetic thin film; and (2) a pattern selection region and the selection region. Generating high energy ions of one or more elements having sufficient energy to penetrate a portion of the adjacent magnetic thin film; and (3) positioning the substrate to receive the high energy ions. And (4) causing the portion of the magnetic thin film proximate to the selected region to exhibit a different magnetic characteristic from the other selected portions of the magnetic thin film.

一実施形態では、イオンの貫通を可能にする選択領域を持った、高エネルギーイオンの貫通に貢献しないマスクがパターンとして使用可能である。図2は、磁気薄膜の周りでパターンとして使用するための代表的なマスク200の部分平面図を示す。例えば、マスク200は、高エネルギーイオンの貫通に貢献しない部分202と高エネルギーイオンの貫通に貢献する選択領域204とを持った重合体材料、例えばポリビニルアルコール(PVA)材料から作られることがある。PVAテンプレートを作る代表的な方法は、米国特許第6,849,558号にSchaperによって説明されており、この特許は、参照して本明細書に組み込まれる。Schaperの教示は、高エネルギーイオンの貫通に貢献しない部分202と高エネルギーイオンの貫通に貢献する選択領域204とを持ったマスク200を作るのに適応されることがある。例えば、部分202の厚さは、高エネルギーイオンが部分202を完全には貫通しないように選ばれる可能性がある。部分202は丸であるように示されているが、当業者は理解するように、部分202の形および位置は有益なように選ばれる可能性がある。例えば、部分202の形は、卵形、正方形、長方形、または応用の必要に依存して任意の他の形である可能性がある。   In one embodiment, a mask that does not contribute to the penetration of high-energy ions with a selected area that allows the penetration of ions can be used as the pattern. FIG. 2 shows a partial plan view of an exemplary mask 200 for use as a pattern around a magnetic thin film. For example, the mask 200 may be made of a polymer material, such as a polyvinyl alcohol (PVA) material, having a portion 202 that does not contribute to the penetration of high energy ions and a selected region 204 that contributes to the penetration of high energy ions. An exemplary method of making a PVA template is described by Schaper in US Pat. No. 6,849,558, which is incorporated herein by reference. Schaper's teachings may be adapted to make a mask 200 having a portion 202 that does not contribute to the penetration of high energy ions and a selection region 204 that contributes to the penetration of high energy ions. For example, the thickness of the portion 202 may be selected such that high energy ions do not penetrate the portion 202 completely. Although portion 202 is shown to be round, as those skilled in the art will appreciate, the shape and location of portion 202 may be chosen to be beneficial. For example, the shape of portion 202 can be oval, square, rectangular, or any other shape depending on the needs of the application.

さらに他の実施形態では、レジストが、磁気薄膜の上にコーティングされ、例えばナノインプリントリソグラフィを使用してパターンがそのレジスト中に作られることがある。本開示に応用することができるよく知られた2つのタイプのナノインプリントリソグラフィがある。第1のものは、熱可塑ナノインプリントリソグラフィ(T‐NIL)であり、これは次のステップを含んでいる。すなわち、(1)基板に熱可塑性重合体レジストをコーティングするステップと、(2)所望の三次元パターンを持った型をレジストと接触させ、さらに所定の圧力を加えるステップと、(3)レジストをガラス遷移温度よりも高く加熱するステップと、(4)レジストがガラス遷移温度よりも高くなったとき、型をレジストに押し込むステップと、(5)レジストを冷却し、型をレジストから分離して、所望の三次元パターンをレジスト中に残すステップと、である。   In yet other embodiments, a resist may be coated over the magnetic thin film and a pattern may be created in the resist using, for example, nanoimprint lithography. There are two well-known types of nanoimprint lithography that can be applied to the present disclosure. The first is thermoplastic nanoimprint lithography (T-NIL), which includes the following steps. (1) coating the substrate with a thermoplastic polymer resist; (2) bringing a mold having a desired three-dimensional pattern into contact with the resist; and applying a predetermined pressure; Heating above the glass transition temperature; (4) pushing the mold into the resist when the resist is above the glass transition temperature; and (5) cooling the resist and separating the mold from the resist. Leaving a desired three-dimensional pattern in the resist.

ナノインプリントリソグラフィの第2のタイプは、光ナノインプリントリソグラフィ(P‐NIL)であり、これは、次のステップを含む。すなわち、(1)光硬化性の液体レジストが基板に塗布されるステップと、(2)所望の三次元パターンを持った透明な型が、その型が基板に接触するまで液体レジストに押し込まれるステップと、(3)液体レジストが紫外光で硬化されて、液体レジストを固体に変えるステップと、(4)型がレジストから分離されて、所望の三次元パターンをレジスト中に残すステップと、である。P‐NILでは、型は、溶融石英のような透明材料から作られる。   The second type of nanoimprint lithography is optical nanoimprint lithography (P-NIL), which includes the following steps. That is, (1) a step in which a photocurable liquid resist is applied to a substrate, and (2) a step in which a transparent mold having a desired three-dimensional pattern is pushed into the liquid resist until the mold contacts the substrate. (3) the liquid resist is cured with ultraviolet light to convert the liquid resist into a solid, and (4) the mold is separated from the resist to leave a desired three-dimensional pattern in the resist. . In P-NIL, the mold is made from a transparent material such as fused silica.

図3は、ナノインプリントリソグラフィ後の代表的なパターン300の断面図を示す。基板330に付いた磁気薄膜320上のパターン化されたレジスト310は、レジストが実質的に押し出された選択領域350の窪み340のある状態で示されている。しかし、選択領域350には、磁気薄膜320の表面を覆って少量のレジストが残っている。このことは、ナノインプリントプロセスで一般的なことである。レジストパターンをイオン注入用のマスクとして使用するとき、打込み種が打ち込まれる場所のレジスト層全部が除去される必要はない。しかし、残りの層は、打込み種が貫通すべき実質的な障壁の原因とならないように十分に薄くなければならない。さらに、厚いレジストの場所と薄い残りレジストの場所との間の差異は、厚いレジストを有する場所のレジストが、イオン種が磁気薄膜に達する前にイオン種を止めることができるように、十分に厚くなければならない。代わりに、選択領域350の薄い残りレジストは、デスカムプロセスまたは微弱アッシュプロセスまたは任意の他の適切な技術のような等方性レジスト除去プロセスで除去可能である。   FIG. 3 shows a cross-sectional view of an exemplary pattern 300 after nanoimprint lithography. The patterned resist 310 on the magnetic thin film 320 attached to the substrate 330 is shown with a depression 340 in the selected area 350 where the resist is substantially extruded. However, a small amount of resist remains in the selected region 350 so as to cover the surface of the magnetic thin film 320. This is common in nanoimprint processes. When the resist pattern is used as a mask for ion implantation, it is not necessary to remove the entire resist layer where the implantation seed is implanted. However, the remaining layers must be thin enough so that the implant species do not cause a substantial barrier to penetrate. Further, the difference between the thick resist location and the thin remaining resist location is sufficiently thick so that the resist with the thick resist can stop the ionic species before they reach the magnetic thin film. There must be. Alternatively, the thin residual resist in selected area 350 can be removed with an isotropic resist removal process such as a descum process or a weak ash process or any other suitable technique.

ナノインプリントリソグラフィでは、インプリントプロセスはレジストを押し出して選択領域350を形成するので、窪み340に対応する複数の突起を有する型がレジストと接触させられ圧力が加えられるとき押し出されるレジストの量を制御する必要がある。一般には、スタンピングプロセス中に押し出されるレジストの量を制御するために、窪み340の幅wは、窪み340の深さdとほとんど同じ寸法であることがあり、さらに、レジストの高さhは窪み340の深さdと少なくともほぼ同じである。窪み340の深さdが窪み340の幅wよりも実質的に大きい場合には、押し出されるレジストの量が非常に高くなる可能性があるので、パターンを型からレジスト310に正確に移すことが実際的でないことがある。   In nanoimprint lithography, the imprint process extrudes resist to form selected regions 350, thus controlling the amount of resist that is extruded when a mold having a plurality of protrusions corresponding to the depressions 340 is brought into contact with the resist and pressure is applied. There is a need. In general, to control the amount of resist extruded during the stamping process, the width w of the recess 340 may be approximately the same dimension as the depth d of the recess 340, and the resist height h is the recess. At least approximately the same as the depth d of 340. If the depth d of the recess 340 is substantially greater than the width w of the recess 340, the amount of resist that is extruded can be very high, so that the pattern can be accurately transferred from the mold to the resist 310. It may not be practical.

ナノインプリントリソグラフィプロセスは、全ディスクナノインプリント方式を使用して実現されることがあり、この場合、型は、1つの表面全体にインプリントできるだけ十分に大きい。代わりに、ステップアンドリピートインプリントプロセスが使用可能である。好ましい実施形態では、全ディスク方式が使用される。ナノインプリントプロセスは、また、一度に両面に行われることがある。例えば、ディスクは、最初に、両面にレジスト層をコーティングされることがある。次に、ディスクはプレスに入り、型がディスクの両面に押し付けられて所望のパターンをディスクの両面に同時にインプリントする。   The nanoimprint lithography process may be implemented using an all-disk nanoimprint scheme, where the mold is large enough to imprint across one surface. Instead, a step-and-repeat imprint process can be used. In the preferred embodiment, an all-disk scheme is used. The nanoimprint process may also be performed on both sides at once. For example, a disk may first be coated with a resist layer on both sides. The disc then enters the press and the mold is pressed against both sides of the disc to imprint the desired pattern on both sides of the disc simultaneously.

従来のフォトリソグラフィプロセスが、また、使用されることがあり、この場合には、フォトレジストがディスク上でスピン回転され、その後にマスクを通したレジストの露光および露光されたレジストの現像が続く。   Conventional photolithographic processes may also be used, in which case the photoresist is spun on the disk, followed by exposure of the resist through a mask and development of the exposed resist.

パターン化後、ディスクにはレジストのパターンがあり、そのパターンの選択領域350は、高エネルギーイオンが貫通して選択領域350に近接した磁気薄膜320の部分に衝突することを可能にしている。選択領域350以外のレジストの部分、例えば部分360は、高エネルギーイオンの貫通を防ぐのに十分な厚さを有し、それによって、高エネルギーイオンが磁気薄膜に衝突するのを防いでいる。   After patterning, there is a resist pattern on the disk, and the selected area 350 of the pattern allows high energy ions to penetrate and strike the portion of the magnetic thin film 320 proximate to the selected area 350. The portion of the resist other than the selected region 350, for example the portion 360, has a thickness sufficient to prevent the penetration of high energy ions, thereby preventing the high energy ions from colliding with the magnetic thin film.

マスク200が代わりに使用される場合には、マスク200は磁気薄膜に近接して配置され、マスク200の選択領域204は、高エネルギーイオンがマスクを貫通して選択領域204に近接した磁気薄膜の部分に衝突することを可能にしている。一実施形態では、マスク200は、磁気薄膜に近接して位置決めされる。他の実施形態では、マスク200は、磁気薄膜またはコーティングで覆われた磁気薄膜と接触して位置決めされる。コーティングは、マスクの付着に貢献することがある。コーティングは、また、磁気薄膜を覆う保護コーティングとして作用することがある。コーティングは、磁気薄膜を覆う保護コーティングとして作用する炭素層であることがある。   If the mask 200 is used instead, the mask 200 is placed in close proximity to the magnetic thin film, and the selected region 204 of the mask 200 is a magnetic thin film in which high energy ions penetrate the mask and are close to the selected region 204. It is possible to collide with the part. In one embodiment, the mask 200 is positioned proximate to the magnetic thin film. In other embodiments, the mask 200 is positioned in contact with a magnetic thin film or a magnetic thin film covered with a coating. The coating may contribute to mask deposition. The coating may also act as a protective coating over the magnetic thin film. The coating may be a carbon layer that acts as a protective coating over the magnetic thin film.

ここで再び図1を参照すると、ステップ104で、1つまたは複数の元素の高エネルギーイオンが、パターンの選択領域を貫通して選択領域に近接した磁気薄膜の部分に衝突するのに十分なエネルギーを持った状態で生成される。一実施形態では、真空チャンバが設けられ、1つまたは複数の元素の化合物を含有する1つまたは複数のガスが送り込まれる。高電圧を使用することによってプラズマが発火され、1つまたは複数の元素の高エネルギーイオンが解放される。   Referring now again to FIG. 1, at step 104, sufficient energy for the high energy ions of one or more elements to strike the portion of the magnetic thin film proximate to the selected region through the selected region of the pattern. It is generated with In one embodiment, a vacuum chamber is provided and one or more gases containing a compound of one or more elements are pumped in. By using a high voltage, the plasma is ignited and high energy ions of one or more elements are released.

ステップ106で、基板は、高エネルギーイオンを受け取るように配置される。一実施形態では、基板は、1つまたは複数の元素の高エネルギーイオンが発生される真空チャンバ中に配置される。一実施形態では、基板は、1つまたは複数の高エネルギーイオンを含有するプラズマの中に配置される。一実施形態では、基板に、高エネルギーイオンを引き寄せるようにバイアスをかける。マスク200が使用される場合には、高エネルギーイオンは、マスク200の選択領域204を通過して選択領域204に近接した磁気薄膜の部分に衝突する。レジスト310がパターンとして使用される場合には、高エネルギーイオンは、選択領域350を通過して選択領域350に近接した磁気薄膜の部分に衝突する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に侵入する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に部分的に侵入する。一実施形態では、高エネルギーイオンは、選択領域350に近接した磁気薄膜の部分に実質的に侵入する。   At step 106, the substrate is positioned to receive high energy ions. In one embodiment, the substrate is placed in a vacuum chamber in which high energy ions of one or more elements are generated. In one embodiment, the substrate is placed in a plasma containing one or more high energy ions. In one embodiment, the substrate is biased to attract high energy ions. When mask 200 is used, high energy ions pass through selected area 204 of mask 200 and impinge on the portion of the magnetic thin film proximate to selected area 204. When resist 310 is used as a pattern, high energy ions pass through selected area 350 and impinge on the portion of the magnetic thin film proximate to selected area 350. In one embodiment, the high energy ions penetrate the portion of the magnetic thin film proximate the selected region 350. In one embodiment, the high energy ions partially penetrate the portion of the magnetic thin film proximate the selected region 350. In one embodiment, the high energy ions substantially penetrate the portion of the magnetic thin film proximate the selected region 350.

一実施形態では、低エネルギーで高打込みドーズ量を実現するために、プラズマイオン浸漬注入が使用されることがある。スパッタされた磁気薄膜は、一般に、厚さがほんの数十ナノメートルであるので、低イオンエネルギーが効果的であり、高ドーズ量は、高処理量を実現する。さらに、図4から明らかなように、ディスクの両面のプラズマイオン注入が、同時に行われることがある。両面プラズマイオン打込みが好ましいが、この開示の精神から逸脱することなしに片面プラズマイオン打込みが使用されることがある。片面プラズマイオン打込みでは、第1の面が打ち込まれ、それからディスクがひっくり返されて第2の面が打ち込まれる。   In one embodiment, plasma ion immersion implantation may be used to achieve a high implant dose at low energy. Sputtered magnetic thin films are typically only a few tens of nanometers thick, so low ion energy is effective, and high doses provide high throughput. Further, as is apparent from FIG. 4, plasma ion implantation on both sides of the disk may be performed simultaneously. While double-sided plasma ion implantation is preferred, single-sided plasma ion implantation may be used without departing from the spirit of this disclosure. In single-sided plasma ion implantation, the first surface is implanted, then the disk is turned over and the second surface is implanted.

ディスク、例えば磁気薄膜の付いた基板を処理するように構成されたプラズマイオン注入ツール400が図4に示され、その磁気薄膜の周りにパターンがあり、そのパターンの選択領域は、1つまたは複数の元素の高エネルギーイオンが貫通して磁気薄膜の部分に衝突することを可能にしている。   A plasma ion implantation tool 400 configured to process a disk, eg, a substrate with a magnetic thin film, is shown in FIG. 4 and has a pattern around the magnetic thin film, the selected region of the pattern being one or more. The high energy ions of these elements can penetrate and collide with the magnetic thin film portion.

図4を参照すると、チャンバ410は、真空ポンプ420によって真空の状態に維持される。ガス供給430が、パイプ432およびバルブ435によってチャンバ410に接続されている。2以上のガスがバルブ435を通して供給されることがあり、複数のガス供給およびバルブが使用されることがある。例えば、1つまたは複数の種元素を含有するドーパントガスが、チャンバ410に供給されることがある。ロッド440が、ディスク450を保持している。無線周波(RF)電源460が、ロッド440とチャンバ410の壁との間に接続されている。チャンバ410の壁は、電気的アースに接続されている。RF電源の外に、インピーダンス整合デバイスおよび直流(DC)バイアスを加えるための電源が含まれることがある。ロッド440は、グラファイトまたはシリコンでコーティングされてプラズマから保護されることがある。さらに、ロッドおよびそれの表面は、ロッドとディスクの間の優れた電気的接触を容易にするように高導電性である。ディスク450は、クランプ455または他の手段を使用して所定の位置に固定されることがある。クランプ455は、ディスク450を所定の位置に固定するだけでなく、ディスク450とロッド440の間の優れた電気的接続も保証している。ロッドは、多くのディスクを支えるように構成されている(例示を容易にするために、ただ3個のディスク450だけが示されている)。さらに、チャンバ410は、同時プラズマイオン注入用のディスクが載置された多くのロッドを保持するように構成されることがある。ロッド440は、チャンバ410に出し入れして容易に動かされる。   Referring to FIG. 4, the chamber 410 is maintained in a vacuum state by a vacuum pump 420. A gas supply 430 is connected to the chamber 410 by a pipe 432 and a valve 435. More than one gas may be supplied through valve 435 and multiple gas supplies and valves may be used. For example, a dopant gas containing one or more seed elements may be supplied to the chamber 410. A rod 440 holds the disc 450. A radio frequency (RF) power source 460 is connected between the rod 440 and the wall of the chamber 410. The wall of chamber 410 is connected to electrical ground. In addition to the RF power source, an impedance matching device and a power source for applying a direct current (DC) bias may be included. The rod 440 may be coated with graphite or silicon to protect it from the plasma. Furthermore, the rod and its surface are highly conductive so as to facilitate excellent electrical contact between the rod and the disk. The disk 450 may be secured in place using a clamp 455 or other means. The clamp 455 not only secures the disk 450 in place, but also ensures an excellent electrical connection between the disk 450 and the rod 440. The rod is configured to support many discs (only three discs 450 are shown for ease of illustration). Further, the chamber 410 may be configured to hold a number of rods on which a disk for simultaneous plasma ion implantation is mounted. The rod 440 is easily moved into and out of the chamber 410.

プラズマイオン注入ツール400でのディスクの処理は、次のように進む。ディスク450の1つまたは複数がロッド440に載置される。ロッド440が、チャンバ410中に装填される。真空ポンプ420が動作して所望のチャンバ圧力を達成する。打込み種を含有する所望のガスは、所望の動作圧力が達成されるまで、ガス供給430からバルブ435を通してチャンバの中へ漏らされる。RF電源460がディスク450の1つまたは複数の表面を取り囲むプラズマを発火させるように動作する。DC電源が、使用されて、磁気薄膜に打ち込まれるイオンのエネルギーを制御することがある。RFバイアスも使用されることがある。   The processing of the disk by the plasma ion implantation tool 400 proceeds as follows. One or more of the disks 450 are mounted on the rod 440. A rod 440 is loaded into the chamber 410. The vacuum pump 420 operates to achieve the desired chamber pressure. The desired gas containing the implanted species is leaked from gas supply 430 through valve 435 and into the chamber until the desired operating pressure is achieved. The RF power source 460 operates to ignite a plasma that surrounds one or more surfaces of the disk 450. A DC power source may be used to control the energy of ions that are implanted into the magnetic film. An RF bias may also be used.

プラズマから容易に打ち込み可能で、かつCo‐PtおよびCo‐Pdなどの一般的なスパッタ磁気薄膜の磁気特性を修正するのに効果的なイオンは、水素、ヘリウム、ホウ素、硫黄、アルミニウム、リチウム、ネオンおよびゲルマニウム、およびこれらの元素の組合せである。このリストは、網羅的である意図でない。プラズマ中で容易に形成され、かつ磁気薄膜の磁気特性を修正するのに効果的などんなイオンでも十分である。理想的には、磁気薄膜の磁気特性を最小ドーズ量で熱的に安定なより磁気の弱い場所またはより磁気の強い場所に変えることができるイオンが好ましい。   Ions that can be easily implanted from plasma and that are effective in modifying the magnetic properties of common sputtered magnetic thin films such as Co-Pt and Co-Pd include hydrogen, helium, boron, sulfur, aluminum, lithium, Neon and germanium, and combinations of these elements. This list is not intended to be exhaustive. Any ion that is easily formed in the plasma and effective to modify the magnetic properties of the magnetic thin film is sufficient. Ideally, ions that can change the magnetic properties of the magnetic thin film to a weaker or more magnetic location that is thermally stable with a minimum dose are preferred.

プラズマイオン注入チャンバおよび処理方法のさらなる詳細は、参照して本明細書に組み込まれる、Collins等の米国特許第7,288,491号および第7,291,545号で得られる。本開示のチャンバとCollins等のチャンバの主な差は、基板を保持するための異なる構成である。本開示のディスクホルダは、一度に両面の注入を行えるようにするが、Collins等では基板は、処理の間中ウェーハチャック上に置かれている。当業者は、Collins等のプラズマイオン注入ツールおよび方法が本開示でどのように利用できるかを理解するだろう。   Further details of the plasma ion implantation chamber and processing method are available in US Pat. Nos. 7,288,491 and 7,291,545 to Collins et al., Which are incorporated herein by reference. The main difference between the chamber of the present disclosure and the chamber such as Collins is a different configuration for holding the substrate. The disc holder of the present disclosure allows for double-sided implantation at once, but in Collins et al. The substrate is placed on the wafer chuck throughout the process. Those skilled in the art will understand how plasma ion implantation tools and methods such as Collins can be utilized with this disclosure.

ステップ106で高エネルギーイオンを受け取るように基板を配置した後で、選択領域に近接した磁気薄膜の部分は、ステップ108で熱励起に供されることがある。一実施形態では、無線周波またはマイクロ波エネルギーが、選択領域を加熱するために使用されることがある。さらに他の実施形態では、基板が加熱されることがある。さらに他の実施形態では、レーザまたはフラッシュアニールが行われることがある。いくつかの実施形態では、高速熱アニールまたは炉が使用されることがある。   After placing the substrate to receive the high energy ions at step 106, the portion of the magnetic thin film proximate the selected region may be subjected to thermal excitation at step 108. In one embodiment, radio frequency or microwave energy may be used to heat the selected area. In yet other embodiments, the substrate may be heated. In still other embodiments, a laser or flash anneal may be performed. In some embodiments, a rapid thermal anneal or furnace may be used.

当業者は理解するように、熱励起ステップ108は、レジスト層が依然として磁気薄膜上に存在している状態で行われることがある。いくつかの実施形態では、レジスト層が除去されることがあり、それから磁気薄膜が熱励起に供される。この実施形態では、イオン注入に供された部分とイオン注入に供されなかった部分の両方のある磁気薄膜が、熱励起に供される。この手法は、熱励起から恩恵を受ける可能性のある或るタイプの磁気薄膜で、例えばイオン注入に供されなかった磁気薄膜の部分に対して、有利に使用されることがある。   As those skilled in the art will appreciate, the thermal excitation step 108 may be performed with the resist layer still on the magnetic film. In some embodiments, the resist layer may be removed, and then the magnetic thin film is subjected to thermal excitation. In this embodiment, a magnetic thin film having both a portion subjected to ion implantation and a portion not subjected to ion implantation is subjected to thermal excitation. This approach may be advantageously used with certain types of magnetic thin films that may benefit from thermal excitation, such as portions of the magnetic thin film that have not been subjected to ion implantation.

マスク200、例えばPVAマスクが使用される場合には、プロセスは、マスク200の除去を追加的に含むことがある。一実施形態では、PVAマスクは、PVAマスク200を溶かすプロセスを使用して、例えば水溶液を使用して除去されることがある。いくつかの実施形態では、非水溶液が使用されることがある。いくつかの実施形態では、マスク200が除去され、それから磁気薄膜が、熱励起に供される。この実施形態では、イオン注入に供された部分とイオン注入に供されなかった部分の両方のある磁気薄膜が、熱励起に供される。この手法は、熱励起から恩恵を受ける可能性のある或るタイプの磁気薄膜で、例えばイオン注入に供されなかった磁気薄膜の部分に対して、有利に使用されることがある。いくつかの実施形態では、熱励起ステップ108は、マスク200が依然として存在している状態で行われることがある。   If a mask 200, such as a PVA mask, is used, the process may additionally include removal of the mask 200. In one embodiment, the PVA mask may be removed using a process that dissolves the PVA mask 200, for example using an aqueous solution. In some embodiments, non-aqueous solutions may be used. In some embodiments, the mask 200 is removed and then the magnetic thin film is subjected to thermal excitation. In this embodiment, a magnetic thin film having both a portion subjected to ion implantation and a portion not subjected to ion implantation is subjected to thermal excitation. This approach may be advantageously used with certain types of magnetic thin films that may benefit from thermal excitation, such as portions of the magnetic thin film that have not been subjected to ion implantation. In some embodiments, the thermal excitation step 108 may be performed with the mask 200 still present.

いくつかの実施形態では、イオン注入ツール400のチャンバ410の中に適切な熱源を組み込み、イオン注入後に熱源を選択的にオンにすることによって、磁気薄膜は、このチャンバ410中で熱励起に供されることがある。   In some embodiments, the magnetic thin film is subjected to thermal excitation in this chamber 410 by incorporating a suitable heat source in the chamber 410 of the ion implantation tool 400 and selectively turning on the heat source after ion implantation. May be.

高エネルギーイオンステップ106および/またはステップ108の熱励起の後で、選択領域に近接した磁気薄膜の部分は、ステップ110で例示されるように、選択された他の領域と異なる磁気特性を示す。一実施形態では、選択領域350に近接した磁気薄膜の部分に侵入する高エネルギーイオンは、選択領域に近接した磁気薄膜のその部分が選択された他の領域と異なる磁気特性を示すようにする。レジストがパターンとして使用される場合には、このプロセスは、レジスト剥離ステップを追加的に含むことがある。レジスト剥離ステップは、ディスクを取り出すより前の、プラズマイオン注入チャンバ内での従来のデスカムおよびアッシュ作業によって容易にされることがある。レジスト剥離ステップは、当技術分野でよく知られている湿式化学プロセスであることがある。いくつかの実施形態では、レジスト剥離ステップは、上で述べられたように、熱励起ステップ108の前に行われることがある。   After thermal excitation of the high energy ion step 106 and / or step 108, the portion of the magnetic thin film proximate to the selected region exhibits different magnetic properties than the other selected regions, as illustrated at step 110. In one embodiment, high energy ions that penetrate a portion of the magnetic thin film proximate to the selected region 350 cause that portion of the magnetic thin film proximate the selected region to exhibit different magnetic properties than other selected regions. If resist is used as the pattern, this process may additionally include a resist stripping step. The resist stripping step may be facilitated by conventional descum and ash operations in the plasma ion implantation chamber prior to removing the disk. The resist stripping step may be a wet chemical process that is well known in the art. In some embodiments, the resist stripping step may be performed before the thermal excitation step 108, as described above.

プラズマ注入プロセスから得られるイオンのエネルギーは、約100eVから約15kevの範囲である。しかし、厚さ数十ナノメートルの磁気薄膜に打ち込むために、望ましいエネルギーの範囲は、約1kevから約11kevの範囲である。選ばれるエネルギー範囲は、選ばれる元素、レジスト厚さ、レジストのイオン停止能力、および所望の磁気特性に基づいている。例えば、約1kVから11kVのバイアス電圧が、望ましいエネルギー範囲を発生させるために使用されることがある。   The energy of the ions obtained from the plasma implantation process ranges from about 100 eV to about 15 kev. However, for implanting magnetic thin films with a thickness of several tens of nanometers, the desired energy range is from about 1 kev to about 11 kev. The energy range chosen is based on the element chosen, the resist thickness, the ion stopping capability of the resist, and the desired magnetic properties. For example, a bias voltage of about 1 kV to 11 kV may be used to generate the desired energy range.

図5は、磁気薄膜520の周りに配置されたパターン510の断面図であり、矢印530は、高エネルギーイオンの大体のボンバード方向を表している。高エネルギーイオンは、レジスト510の選択領域540を貫通し、選択領域540に近接した磁気薄膜520の一部550に侵入する。   FIG. 5 is a cross-sectional view of the pattern 510 disposed around the magnetic thin film 520, and the arrow 530 represents the approximate bombard direction of high-energy ions. The high energy ions penetrate the selection region 540 of the resist 510 and enter a part 550 of the magnetic thin film 520 adjacent to the selection region 540.

図6は、イオン注入後の磁気薄膜520の断面図であり、部分550はイオン注入に供されている。磁気薄膜520の部分550は、磁気薄膜520の選択された他の的部分560と異なる磁気特性を示す。   FIG. 6 is a cross-sectional view of the magnetic thin film 520 after ion implantation, and the portion 550 is subjected to ion implantation. The portion 550 of the magnetic thin film 520 exhibits different magnetic properties than other selected target portions 560 of the magnetic thin film 520.

次の実施例は、所望の磁気特性を達成するためのイオン注入の様々な応用を例示するために提供される。   The following examples are provided to illustrate various applications of ion implantation to achieve the desired magnetic properties.

或る特定のバイアス電圧においてヘリウムイオンおよびホウ素イオンに対するレジストのイオン停止特性を決定する実験が行われた。   Experiments have been performed to determine the ion stopping properties of the resist for helium and boron ions at a certain bias voltage.

ヘリウムイオン打込み:バイアス電圧7kVおよび2kVでのヘリウムイオン注入について実験が行われた。7kVでは、ヘリウムイオンのレジスト層の貫通を阻止するために必要なレジスト厚さは約120nmであった。パターンの選択領域のレジスト厚さは、45nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてヘリウムイオンを貫通させうる。2kVでは、ヘリウムイオンのレジスト層の貫通を阻止するのに必要なレジスト厚さは約85nmであった。パターンの選択領域でのレジスト厚さは、10nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてヘリウムイオンを貫通させうる。   Helium ion implantation: Experiments were conducted on helium ion implantation at bias voltages of 7 kV and 2 kV. At 7 kV, the resist thickness required to prevent helium ion penetration through the resist layer was about 120 nm. The resist thickness of the selected region of the pattern can be 45 nm, and helium ions can still penetrate the 20 nm thick Co-based magnetic thin film proximate to the selected region of the pattern. At 2 kV, the resist thickness required to prevent helium ion penetration through the resist layer was about 85 nm. The resist thickness in the selected area of the pattern can be 10 nm, and helium ions can still penetrate the 20 nm thick Co-based magnetic thin film proximate to the selected area of the pattern.

ホウ素イオン打込み:バイアス電圧9kVでのホウ素イオン注入について実験が行われた。9kVでは、レジスト層をホウ素イオンが貫通することを阻止するために必要なレジスト厚さは約65nmであった。パターンの選択領域のレジスト厚さは、10nmとすることができ、パターンの選択領域に近接した厚さ20nmのCoベースの磁気薄膜に依然としてホウ素イオンを貫通させうる。
磁気特性
実施例1a:
Boron ion implantation: An experiment was conducted on boron ion implantation with a bias voltage of 9 kV. At 9 kV, the resist thickness required to prevent boron ions from penetrating the resist layer was about 65 nm. The resist thickness of the selected region of the pattern can be 10 nm, and boron ions can still penetrate the 20 nm thick Co-based magnetic thin film proximate to the selected region of the pattern.
Magnetic Properties Example 1a:

約100nmのFeNi合金軟質下層をスパッタされたガラス基板が使用された。約20nmのCoCrPt合金磁気薄膜層が、FeNi合金軟質下層上にスパッタされた。上で説明されたように準備されたサンプルは、ドーパントガスのヘリウムをプロセスチャンバの中へ送り込むことによって、ヘリウムイオンを含有するプラズマに供された。プロセスチャンバの圧力は、約15ミリトルであり、RFバイアス電圧は約2kVであり、電源電力は約500ワットであり、ドーパントガスのヘリウムは約300sccmの流量で送り込まれ、打込み時間は約25秒であった。随意に、プラズマの生成を支援するために不活性ガスも送り込まれることがある。例えば、約16sccmの流量のアルゴンも送り込まれることがある。   A glass substrate sputtered with a soft underlayer of about 100 nm of FeNi alloy was used. An approximately 20 nm CoCrPt alloy magnetic thin film layer was sputtered onto the FeNi alloy soft underlayer. Samples prepared as described above were subjected to a plasma containing helium ions by pumping the dopant gas helium into the process chamber. The process chamber pressure is about 15 millitorr, the RF bias voltage is about 2 kV, the source power is about 500 watts, the dopant gas helium is pumped at a flow rate of about 300 sccm, and the implantation time is about 25 seconds. there were. Optionally, an inert gas may also be pumped to assist in plasma generation. For example, argon at a flow rate of about 16 sccm may be fed.

サンプル中へのHeイオンの侵入の分布が、上で説明されたプロセスパラメータでシミュレーションプログラムを使用して調べられた。TRIMとして知られているシミュレーションプログラムが、シミュレーションを行うために使用可能である。TRIMプログラムは、www.srim.orgから、SRIMとして知られているプログラムのグループの一部として入手可能である。図7Aおよび7Bは、シミュレーションの結果を示す。ここで図7Aを参照すると、厚さ約85nmのレジストは、高エネルギーHeイオンがCoCrPt磁気薄膜層の中へ侵入するのを阻止するのに十分であることが明らかである。ここで図7Bを参照すると、約10nmのレジスト層と約28オングストロームの炭素層が高エネルギーイオンによってうまく貫通され、さらに高エネルギーイオンは、約20nmのCoCrPt磁気薄膜層の実質的に全体にわたって侵入していることが明らかである。   The distribution of He ion penetration into the sample was examined using a simulation program with the process parameters described above. A simulation program known as TRIM can be used to perform the simulation. The TRIM program is available at www. srim. available from org as part of a group of programs known as SRIM. 7A and 7B show the results of the simulation. Referring now to FIG. 7A, it is clear that a resist of about 85 nm thickness is sufficient to prevent high energy He ions from penetrating into the CoCrPt magnetic thin film layer. Referring now to FIG. 7B, a resist layer of about 10 nm and a carbon layer of about 28 angstroms are successfully penetrated by high energy ions, and the high energy ions penetrate substantially throughout the about 20 nm CoCrPt magnetic thin film layer. It is clear that

Heイオン注入に供されなかったサンプルについて、磁気膜の磁気特性が、物理特性測定システムを使用して測定されて、ベースラインが設定された。サンプルをHeイオン注入に供した後で、Heイオン注入に供された磁気膜の部分の磁気特性が、物理特性測定システムを使用して測定された。図7Cは、Heイオン注入に供されなかった磁気膜の磁化曲線を示す。飽和磁気(Ms)が約1.36テスラであることが、図7Cから明らかである。図7Dは、Heイオン注入に供された磁気膜の部分の磁化曲線を示す。Heイオン注入に供された磁気膜の部分の飽和磁気(Ms)は、Heイオン注入に供されなかったベースライン磁気薄膜に比べて、約0.1テスラまで落ちている。したがって、磁気薄膜は、適切なプロセス条件の下でHeイオン注入に供されて、選択部分が相当に異なる磁気特性を示す状態に磁気特性を実質的に変えることがある。
実施例1b:
For samples that were not subjected to He ion implantation, the magnetic properties of the magnetic film were measured using a physical property measurement system to establish a baseline. After subjecting the sample to He ion implantation, the magnetic properties of the portion of the magnetic film subjected to He ion implantation were measured using a physical property measurement system. FIG. 7C shows the magnetization curve of the magnetic film that was not subjected to He ion implantation. It is clear from FIG. 7C that the saturation magnetism (Ms) is about 1.36 Tesla. FIG. 7D shows the magnetization curve of the portion of the magnetic film subjected to He ion implantation. The saturation magnetism (Ms) of the portion of the magnetic film subjected to He ion implantation is reduced to about 0.1 Tesla compared to the baseline magnetic thin film not subjected to He ion implantation. Thus, a magnetic thin film can be subjected to He ion implantation under appropriate process conditions to substantially change the magnetic properties to a state where selected portions exhibit significantly different magnetic properties.
Example 1b:

サンプルが熱アニールに供されたことを除いて、実施例1aで使用されたのと同様なサンプルが、実施例1bで使用された。熱アニールは、摂氏約100度と摂氏約200度の両方で、約10トルから約5トルの圧力の真空中で約1時間行われた。   A sample similar to that used in Example 1a was used in Example 1b, except that the sample was subjected to thermal annealing. The thermal anneal was performed at about 100 degrees Celsius and about 200 degrees Celsius in a vacuum at a pressure of about 10 Torr to about 5 Torr for about 1 hour.

サンプルを熱アニールに供した後で、Heイオン注入と熱アニールの両方に供された磁気膜の部分の磁気特性が、物理特性測定システムを使用して測定された。Heイオン注入に供されなかった磁気膜のベースライン磁気曲線は、約1.36テスラの飽和磁気(Ms)を示す。Heイオン注入と摂氏100度での熱アニールの両方に供された磁気膜の部分の磁化曲線は、約0.01テスラの飽和磁気(Ms)を示した。Heイオン注入と摂氏200度での熱アニールの両方に供された磁気膜の部分の磁化曲線は、約0.03テスラの飽和磁気(Ms)を示した。実施例1aおよび1bのサンプルの結果に基づいて、サンプルを熱アニールすることで、アニールに供された磁気薄膜の部分の飽和磁気(Ms)はさらに下がったことが明らかである。したがって、磁気薄膜は、適切なプロセス条件の下でHeイオン注入と熱アニールの両方に供されて、選択的部分が相当に異なる磁気特性を示す状態に磁気特性を実質的に変えることがある。実験は、約2kVのバイアス電圧で行われたが、バイアス電圧は、1kVから11kVの範囲、好ましくは1kVから3kVの範囲である可能性がある。
実施例2:
After subjecting the sample to thermal annealing, the magnetic properties of the portions of the magnetic film that were subjected to both He ion implantation and thermal annealing were measured using a physical property measurement system. The baseline magnetic curve of the magnetic film not subjected to He ion implantation shows a saturation magnetism (Ms) of about 1.36 Tesla. The magnetization curve of the portion of the magnetic film subjected to both He ion implantation and thermal annealing at 100 degrees Celsius showed a saturation magnetism (Ms) of about 0.01 Tesla. The magnetization curve of the portion of the magnetic film subjected to both He ion implantation and thermal annealing at 200 degrees Celsius showed a saturation magnetism (Ms) of about 0.03 Tesla. Based on the results of the samples of Examples 1a and 1b, it is clear that the thermal annealing of the samples further lowered the saturation magnetism (Ms) of the portion of the magnetic thin film subjected to annealing. Thus, a magnetic thin film may be subjected to both He ion implantation and thermal annealing under appropriate process conditions to substantially change the magnetic properties to a state in which selective portions exhibit significantly different magnetic properties. The experiment was performed with a bias voltage of about 2 kV, but the bias voltage can range from 1 kV to 11 kV, preferably from 1 kV to 3 kV.
Example 2:

実施例1aで使用されたのと同様なサンプルが、ホウ素イオンの侵入について使用された。上で説明されたように準備されたサンプルは、ドーパントガスBF3をプロセスチャンバの中へ送り込むことによって、ホウ素イオンを含有するプラズマに供された。プロセスチャンバの圧力は約15ミリトルに維持され、RFバイアス電圧は約9kVであり、電源電力は約500ワットであり、ドーパントガスBF3は約300sccmの流量で送り込まれ、さらに打込み時間は約20秒であった。随意に、プラズマの生成を支援するために、不活性ガスも送り込まれることがある。例えば、約16sccmの流量のアルゴンも送り込まれることがある。   A sample similar to that used in Example 1a was used for boron ion intrusion. The sample prepared as described above was subjected to a plasma containing boron ions by pumping dopant gas BF3 into the process chamber. The process chamber pressure is maintained at about 15 millitorr, the RF bias voltage is about 9 kV, the source power is about 500 watts, the dopant gas BF3 is pumped at a flow rate of about 300 sccm, and the implantation time is about 20 seconds. there were. Optionally, an inert gas may also be pumped to assist in plasma generation. For example, argon at a flow rate of about 16 sccm may be fed.

サンプル中へのホウ素イオンの侵入の分布が、上で説明されたプロセスパラメータでシミュレーションプログラムを使用して調べられた。図8Aおよび8Bは、シミュレーションの結果を示す。ここで図8Aを参照すると、厚さ約65nmのレジストは、高エネルギーホウ素イオンがCoCrPt磁気薄膜層の中へ侵入するのを阻止するのに十分であることが明らかである。図8Aから明らかなことであるが、約10nmのレジスト層および約28オングストロームの炭素層が高エネルギーイオンによってうまく貫通されることがある。高エネルギーイオンは、さらに、約20nmのCoCrPt磁気薄膜層の実質的に全体にわたって侵入することができる。   The distribution of boron ion penetration into the sample was examined using a simulation program with the process parameters described above. 8A and 8B show the results of the simulation. Referring now to FIG. 8A, it is apparent that a resist of about 65 nm thickness is sufficient to prevent high energy boron ions from penetrating into the CoCrPt magnetic thin film layer. As is apparent from FIG. 8A, a resist layer of about 10 nm and a carbon layer of about 28 Å may be successfully penetrated by high energy ions. High energy ions can also penetrate substantially throughout the approximately 20 nm CoCrPt magnetic thin film layer.

図8Cを参照すると、ホウ素原子およびCo原子の濃度は、二次イオン質量分光器(SIMS)を使用して決定された。図8Cから、Co濃度は実質的にもとのままであったことが明らかである。また、明らかなことであるが、ホウ素濃度は、深さ約10nmにわたって一定のままであり、その後で徐々に減少した。   Referring to FIG. 8C, the concentration of boron and Co atoms was determined using a secondary ion mass spectrometer (SIMS). From FIG. 8C, it is clear that the Co concentration was essentially intact. It is also clear that the boron concentration remained constant over a depth of about 10 nm and then gradually decreased.

ホウ素イオン注入に供されなかったサンプルについて、磁気膜の磁気特性が、物理特性測定システムを使用して測定されて、ベースラインが設定された。サンプルをホウ素イオン注入に供した後で、ホウ素イオン注入に供された磁気膜が、物理特性測定システムを使用して測定された。図8Dは、ホウ素イオン注入に供されなかった磁気膜の磁化曲線を示す。図8Dから明らかなように、飽和磁気(Ms)は約1.36テスラである。図8Eは、ホウ素イオン注入に供された磁気膜の部分の磁化曲線を示す。図8Eから明らかなように、ホウ素イオン注入に供された磁気膜の部分の飽和磁気(Ms)は、ホウ素イオン注入に供されなかった磁気薄膜に比べて、約0.5テスラまで落ちている。これらの実験条件の下でホウ素イオン注入は、磁化を約50%だけ引き下げた。   For samples that were not subjected to boron ion implantation, the magnetic properties of the magnetic film were measured using a physical property measurement system to establish a baseline. After subjecting the sample to boron ion implantation, the magnetic film subjected to boron ion implantation was measured using a physical property measurement system. FIG. 8D shows the magnetization curve of the magnetic film that was not subjected to boron ion implantation. As is apparent from FIG. 8D, the saturation magnetism (Ms) is about 1.36 Tesla. FIG. 8E shows the magnetization curve of the portion of the magnetic film subjected to boron ion implantation. As is clear from FIG. 8E, the saturation magnetism (Ms) of the portion of the magnetic film subjected to boron ion implantation has dropped to about 0.5 Tesla compared to the magnetic thin film not subjected to boron ion implantation. . Under these experimental conditions, boron ion implantation reduced the magnetization by about 50%.

したがって、磁気薄膜は、或るプロセス条件の下でホウ素イオン注入に供されて、異なる磁気特性を示すように選択部分の磁気特性を変えることがある。例えば、選択部分の磁気特性は、ホウ素イオン注入に供されなかった部分よりも弱い磁気特性を示すように変えられることがある。実験は、約9kVのバイアス電圧で行われたが、バイアス電圧は1kVから11kVの範囲、好ましくは7kVから11kVの範囲であることがある。
実施例3
Thus, the magnetic thin film may be subjected to boron ion implantation under certain process conditions to change the magnetic properties of selected portions to exhibit different magnetic properties. For example, the magnetic properties of the selected portion may be changed to show weaker magnetic properties than the portion that was not subjected to boron ion implantation. The experiment was performed with a bias voltage of about 9 kV, but the bias voltage may be in the range of 1 kV to 11 kV, preferably in the range of 7 kV to 11 kV.
Example 3

約20nmのCo合金層をスパッタされたシリコン基板が、この実施例のサンプルとして準備された。準備されたサンプルは、ドーパントガスSiH4をプロセスチャンバの中へ送り込むことによって、珪素イオンを含有するプラズマに供された。プロセスチャンバの圧力は約30ミリトルであり、RFバイアス電圧は約9kVであり、電源電力は約500ワットであり、ドーパントガスSiH4は約75sccmの流量で送り込まれ、打込み時間は約20秒であった。   A silicon substrate sputtered with a Co alloy layer of about 20 nm was prepared as a sample for this example. The prepared sample was subjected to a plasma containing silicon ions by pumping the dopant gas SiH4 into the process chamber. The process chamber pressure was about 30 millitorr, the RF bias voltage was about 9 kV, the source power was about 500 watts, the dopant gas SiH4 was pumped at a flow rate of about 75 sccm, and the implantation time was about 20 seconds. .

サンプルの中への珪素イオンの侵入の分布が、上で説明されたようなプロセスパラメータでシミュレーションプログラムを使用して調べられた。図9Aは、シミュレーションの結果を示す。ここで図9Aを参照すると、Siは約5〜6nmの深さに侵入し、いくらかの裾が深さ10nmまであることが明らかである。   The distribution of silicon ion penetration into the sample was examined using a simulation program with process parameters as described above. FIG. 9A shows the result of the simulation. Referring now to FIG. 9A, it is clear that Si penetrates to a depth of about 5-6 nm, with some tails up to a depth of 10 nm.

サンプルを珪素イオン注入に供した後で、20nmのCo膜中のSi打込みの深さ分布がSIMSを使用して測定された。図9Bは、Si打込みの深さ分布を示す。Siイオンが深さ約5〜6nmまで侵入したことが、図9Bから明らかである。シミュレーションプログラムを使用して調べられたSiイオン侵入深さの分布が、Si侵入深さの実際の測定と良好な相関関係にあることは注目に値する。   After subjecting the sample to silicon ion implantation, the depth distribution of Si implantation in the 20 nm Co film was measured using SIMS. FIG. 9B shows the depth distribution of Si implantation. It is clear from FIG. 9B that Si ions have penetrated to a depth of about 5-6 nm. It is worth noting that the distribution of Si ion penetration depth, investigated using a simulation program, is in good correlation with the actual measurement of Si penetration depth.

いくつかの実施形態では、イオン注入後に、磁気薄膜は、例えば熱アニールによって、熱励起に供されることがある。熱アニールは、実施例1bから明らかなように、熱励起に供された磁気薄膜の部分の飽和磁気(Ms)をさらに引き下げるかもしれないことは予想される。   In some embodiments, after ion implantation, the magnetic thin film may be subjected to thermal excitation, for example by thermal annealing. It is expected that thermal annealing may further reduce the saturation magnetism (Ms) of the portion of the magnetic thin film subjected to thermal excitation, as is apparent from Example 1b.

上の実施例から明らかなことであるが、高エネルギーイオンがレジスト層を貫通して磁気薄膜に衝突するのを阻止するために必要なレジスト厚さは、使用される元素種と、プロセスパラメータと、帯電イオンの貫通を可能にする、レジスト層の選択領域に近接した磁気薄膜中へのイオンの所望の侵入深さと、に依存している。帯電イオンの貫通を可能にする、レジスト層の選択領域の寸法が小さくなるにつれて、パターン生成中に効果的なナノリソグラフィプロセスを可能にするようにレジスト厚さを減らす必要がある。レジスト厚さが減少するときに、レジスト層は、選択領域以外の領域で高エネルギーイオンの貫通をもはや阻止することができない可能性がある。   As is apparent from the above examples, the resist thickness required to prevent high energy ions from penetrating the resist layer and colliding with the magnetic thin film depends on the element type used, the process parameters, Depending on the desired penetration depth of the ions into the magnetic thin film proximate to the selected region of the resist layer, allowing the penetration of charged ions. As the dimensions of selected regions of the resist layer that allow the penetration of charged ions are reduced, the resist thickness needs to be reduced to allow an effective nanolithographic process during pattern generation. As the resist thickness decreases, the resist layer may no longer be able to prevent the penetration of high energy ions in regions other than the selected region.

この問題を克服する1つのやり方は、帯電イオンの貫通に対する抵抗を大きくするドーパントをレジストに加えることである。例えば、帯電イオンのレジストの貫通に対して抵抗を大きくする珪素含有化合物が、レジストにドープされることがある。帯電イオンの貫通に対して抵抗を大きくするために使用可能な他のドーパントには、硫黄および燐を含む化合物がある。一実施形態では、帯電イオンの貫通に対する抵抗を調節するために添加物としてナノ粒子が加えられることがある。例えば、酸化アルミニウム(Al2O3)、二酸化珪素(SiO2)、セリア(CeO2)、および二酸化チタン(TiO2)のナノ粒子が、帯電イオンの貫通に対する抵抗を調節するために使用されることがある。   One way to overcome this problem is to add a dopant to the resist that increases the resistance to penetration of charged ions. For example, the resist may be doped with a silicon-containing compound that increases the resistance to penetration of charged ions through the resist. Other dopants that can be used to increase resistance to penetration of charged ions include compounds containing sulfur and phosphorus. In one embodiment, nanoparticles may be added as an additive to adjust the resistance to penetration of charged ions. For example, aluminum oxide (Al 2 O 3), silicon dioxide (SiO 2), ceria (CeO 2), and titanium dioxide (TiO 2) nanoparticles may be used to adjust the resistance to penetration of charged ions.

上の実施例から明らかなことであるが、異なる元素種は、プロセスパラメータと、磁気薄膜中へのイオンの所望の侵入深さとに基づいて、磁気特性に対して異なる効果を持っている。例えば、1つまたは複数の元素は、磁気膜の磁気特性を修正するように有利に使用されることがある。例として、ヘリウムとホウ素の組合せは、追加の利益を実現することがある。例えば、分子量のより小さなヘリウムは、より小さなバイアス電圧を使用して磁気薄膜の中へより深く侵入し磁気特性を変えることができる。より大きな分子量のホウ素は、ヘリウムの侵入の前か後かのどちらかで使用されて、磁気薄膜の磁気特性にさらに影響を及ぼし、また時間の経過につれて磁気薄膜からヘリウムイオンが逃げ出すのを防ぐ障壁として作用することがある。   As is apparent from the above examples, different elemental species have different effects on magnetic properties based on process parameters and the desired penetration depth of ions into the magnetic thin film. For example, one or more elements may be advantageously used to modify the magnetic properties of the magnetic film. As an example, a combination of helium and boron may realize additional benefits. For example, helium with a lower molecular weight can penetrate deeper into the magnetic film using a lower bias voltage and change the magnetic properties. Larger molecular weight boron is used either before or after helium penetration to further influence the magnetic properties of the magnetic thin film and prevent helium ions from escaping from the magnetic thin film over time. May act as.

ヘリウムとホウ素の組合せが説明されたが、当業者は理解することだが、磁気特性の修正の維持および強化に好都合な磁気特性および他の特性を引き出すように、元素の様々な他の置換および組合せが連続してまたは一緒に使用されることがある。   While helium and boron combinations have been described, those skilled in the art will appreciate that various other substitutions and combinations of elements to derive magnetic and other properties that are advantageous for maintaining and enhancing magnetic property modifications. May be used sequentially or together.

また、上の実施例から明らかなことであるが、異なる元素種が、磁気薄膜の磁気特性を修正するために使用されることがある。例えば、イオン注入で薄膜の磁気特性を高める元素を含有する化合物が使用されることがある。例えば、白金イオン注入は、磁気薄膜の磁気特性を高めることがある。   Also, as is apparent from the above example, different element species may be used to modify the magnetic properties of the magnetic thin film. For example, a compound containing an element that enhances the magnetic properties of a thin film by ion implantation may be used. For example, platinum ion implantation may enhance the magnetic properties of the magnetic thin film.

本開示は、様々なタイプの磁気記録媒体に使用可能である。例えば、本開示の教示は、粒状磁気構造を有する記録媒体で使用されることがある。本開示は、また、多層の磁気薄膜にも使用されることがある。磁気薄膜は、また、連続した磁気膜であることがあり、またパターン化された媒体で使用されることがある。パターン媒体は、ビットパターン媒体またはトラックパターン媒体であることがある。一実施形態では、磁気薄膜は、熱支援磁気記録に適した高異方性磁気材料から作られることがある。   The present disclosure can be used with various types of magnetic recording media. For example, the teachings of the present disclosure may be used with recording media having a granular magnetic structure. The present disclosure may also be used for multilayer magnetic thin films. The magnetic thin film may also be a continuous magnetic film and may be used in patterned media. The pattern medium may be a bit pattern medium or a track pattern medium. In one embodiment, the magnetic thin film may be made from a highly anisotropic magnetic material suitable for heat assisted magnetic recording.

本開示は、非常に短いプロセス時間を見込んでいる。例えば、ディスクに打ち込むのに約10秒かかることがある。入力および出力真空ロードロックによって、チャンバに出し入れするディスクの高速移送ができるようになり、ポンプ故障の喪失時間が無くなり、したがって、非常に高い処理量が見込まれる。当業者は、自動移送システム、ロボットおよびロードロックシステムがどのようにして本開示のプラズマイオン注入装置と一体化できるかを理解するだろう。   The present disclosure allows for very short process times. For example, it may take about 10 seconds to drive a disc. Input and output vacuum load locks allow high speed transfer of the discs into and out of the chamber, eliminating the loss of pump failure, and therefore very high throughput is expected. Those skilled in the art will understand how automatic transfer systems, robots, and load lock systems can be integrated with the plasma ion implanter of the present disclosure.

或る実施形態では、本開示は、磁気媒体の磁気薄膜の部分の磁気特性を選択的に修正する方法を提供する。選択的修正は、磁気媒体の面密度、書込み能力、SNRおよび熱安定性のような望ましい特性の1つまたは複数を高めるために有利に使用可能である。   In certain embodiments, the present disclosure provides a method for selectively modifying the magnetic properties of a portion of a magnetic thin film of a magnetic medium. Selective modification can be advantageously used to increase one or more of the desirable properties such as areal density, write capability, SNR and thermal stability of the magnetic media.

本開示は、特に、好ましい実施形態に関連して説明されたが、本開示の精神および範囲から逸脱することなく形状および細部の変更および修正が行われる可能性があることは、当業者には容易に明らかなはずである。添付の特許請求の範囲はそのような変更および修正を網羅する意図である。   Although the present disclosure has been described with particular reference to preferred embodiments, it will be understood by those skilled in the art that changes and modifications in shape and detail may be made without departing from the spirit and scope of the disclosure. Should be readily apparent. The appended claims are intended to cover such changes and modifications.

Claims (7)

プラズマをドープされた磁気薄膜がその上に配置されている基板を備える磁気記録媒体であって、前記磁気薄膜がコバルト合金層を備え、
前記コバルト合金層が、第1のドープされたホウ素イオンのイオン濃度と第2のドープされたヘリウムイオンのイオン濃度を有する第1の領域のパターンを有し、前記ヘリウムイオンは第1の深さで打ち込まれ、前記ホウ素イオンは前記第1の深さより短い第2の深さで打ち込まれ、ドープされたイオン度を有する前記第1の領域が、前記第1の領域に近接した領域とは異なる磁気特性を示す、
磁気記録媒体。
A magnetic recording medium comprising a substrate on which a plasma-doped magnetic thin film is disposed, the magnetic thin film comprising a cobalt alloy layer,
It said cobalt alloy layer, the first has a pattern of areas, the helium ions are first depth with an ion density and ion concentration in the second doped helium ions of the first doped boron ions implanted in, the boron ions are implanted with a short second depth than said first depth, said first region having a doped ion concentration is, and the first close to the area region Showing different magnetic properties,
Magnetic recording medium.
前記ープされたイオン濃度が、約10nmの深さにわたって実質的に一定のままである、
請求項1に記載の磁気記録媒体。
The de-loop ion concentration remains substantially constant over a depth of about 10 nm,
The magnetic recording medium according to claim 1.
前記ドープされたイオンが、水素、ヘリウム、ホウ素、硫黄、アルミニウム、リチウム、ネオン、ゲルマニウム、およびこれらの組合せから成るグループから選ばれている、
請求項1に記載の磁気記録媒体。
The doped ions are selected from the group consisting of hydrogen, helium, boron, sulfur, aluminum, lithium, neon, germanium, and combinations thereof;
The magnetic recording medium according to claim 1.
前記プラズマをドープされた磁気薄膜は、Co‐Ptを含む、
請求項1に記載の磁気記録媒体。
The plasma-doped magnetic thin film includes Co-Pt.
The magnetic recording medium according to claim 1.
前記プラズマをドープされた磁気薄膜は、Co‐Pdを含む、
請求項1に記載の磁気記録媒体。
The plasma-doped magnetic thin film includes Co-Pd.
The magnetic recording medium according to claim 1.
前記プラズマをドープされた磁気薄膜は、CoCrPt合金を含む、
請求項1に記載の磁気記録媒体。
The plasma-doped magnetic thin film includes a CoCrPt alloy.
The magnetic recording medium according to claim 1.
更に、FeNi合金を含む軟質下層を含み、
前記プラズマをドープされた磁気薄膜は、前記軟質下層上に配置される、
請求項1に記載の磁気記録媒体。
Furthermore, including a soft lower layer containing FeNi alloy,
The plasma-doped magnetic thin film is disposed on the soft underlayer,
The magnetic recording medium according to claim 1.
JP2014114835A 2008-10-22 2014-06-03 Patterning of magnetic thin films using high energy ions. Expired - Fee Related JP5863882B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US12/255,865 US8551578B2 (en) 2008-02-12 2008-10-22 Patterning of magnetic thin film using energized ions and thermal excitation
US12/255,833 US8535766B2 (en) 2008-10-22 2008-10-22 Patterning of magnetic thin film using energized ions
US12/255,833 2008-10-22
US12/255,865 2008-10-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011533240A Division JP5640011B2 (en) 2008-10-22 2009-10-15 Patterning of magnetic thin films using high energy ions.

Publications (2)

Publication Number Publication Date
JP2014209404A JP2014209404A (en) 2014-11-06
JP5863882B2 true JP5863882B2 (en) 2016-02-17

Family

ID=42119905

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011533240A Expired - Fee Related JP5640011B2 (en) 2008-10-22 2009-10-15 Patterning of magnetic thin films using high energy ions.
JP2014114835A Expired - Fee Related JP5863882B2 (en) 2008-10-22 2014-06-03 Patterning of magnetic thin films using high energy ions.

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2011533240A Expired - Fee Related JP5640011B2 (en) 2008-10-22 2009-10-15 Patterning of magnetic thin films using high energy ions.

Country Status (5)

Country Link
JP (2) JP5640011B2 (en)
KR (1) KR101622568B1 (en)
CN (2) CN102197426B (en)
TW (1) TWI478159B (en)
WO (1) WO2010048030A2 (en)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5238781B2 (en) * 2010-09-17 2013-07-17 株式会社東芝 Method for manufacturing magnetic recording medium
JP5238780B2 (en) * 2010-09-17 2013-07-17 株式会社東芝 Magnetic recording medium, method for manufacturing the same, and magnetic recording apparatus
US8679356B2 (en) 2011-05-19 2014-03-25 Varian Semiconductor Equipment Associates, Inc. Mask system and method of patterning magnetic media
FR2991096B1 (en) * 2012-05-22 2014-06-20 Centre Nat Rech Scient METHOD FOR MANUFACTURING A FILM COMPRISING THREE DIMENSIONAL MAGNETIC MICROSTRUCTURES
US9384773B2 (en) * 2013-03-15 2016-07-05 HGST Netherlands, B.V. Annealing treatment for ion-implanted patterned media
KR102260263B1 (en) 2014-10-14 2021-06-02 엘지디스플레이 주식회사 Touch panel and touch panel integrated organic light emitting display device
KR102299875B1 (en) 2014-11-07 2021-09-07 엘지디스플레이 주식회사 Touch panel, method of manufacturing the same and touch panel integrated organic light emitting display device
KR20170012798A (en) * 2015-07-24 2017-02-03 에스케이하이닉스 주식회사 Electronic device and method for fabricating the same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0356668A (en) * 1989-07-24 1991-03-12 Ricoh Co Ltd Sputtering device
JPH0636362A (en) * 1992-07-14 1994-02-10 Kuraray Co Ltd Production of optical information recording medium
GB9216074D0 (en) * 1992-07-28 1992-09-09 Johnson Matthey Plc Magneto-optical recording materials system
JPH06104172A (en) * 1992-09-18 1994-04-15 Fujitsu Ltd Formation of thin film pattern
TW275123B (en) * 1994-01-31 1996-05-01 Tera Store Inc
US5858474A (en) * 1996-02-20 1999-01-12 Seagate Technology, Inc. Method of forming a magnetic media
US6168845B1 (en) * 1999-01-19 2001-01-02 International Business Machines Corporation Patterned magnetic media and method of making the same using selective oxidation
JP2000298825A (en) * 1999-04-12 2000-10-24 Sony Corp Magnetic recording medium and its manufacture
JP4560693B2 (en) * 1999-05-27 2010-10-13 ソニー株式会社 Surface treatment apparatus and surface treatment method
CN1275790A (en) * 1999-05-27 2000-12-06 索尼株式会社 Surface treatment method and equipment
JP2001043530A (en) * 1999-07-28 2001-02-16 Anelva Corp Formation of protective film for information recording disk and apparatus for forming thin film for information recording disk
JP2001250217A (en) * 2000-03-07 2001-09-14 Hitachi Maxell Ltd Information recording medium and its manufacturing method
JP2002288813A (en) * 2001-03-26 2002-10-04 Fuji Electric Co Ltd Magnetic recording medium and its manufacturing method
JP3886802B2 (en) * 2001-03-30 2007-02-28 株式会社東芝 Magnetic patterning method, magnetic recording medium, magnetic random access memory
SG122746A1 (en) * 2001-10-01 2006-06-29 Inst Data Storage Method of magnetically patterning a thin film by mask-controlled local phase transition
US6849349B2 (en) * 2001-10-22 2005-02-01 Carnegie Mellon University Magnetic films having magnetic and non-magnetic regions and method of producing such films by ion irradiation
US6849558B2 (en) * 2002-05-22 2005-02-01 The Board Of Trustees Of The Leland Stanford Junior University Replication and transfer of microstructures and nanostructures
US7611911B2 (en) * 2003-10-08 2009-11-03 International Business Machines Corporation Method and system for patterning of magnetic thin films using gaseous transformation to transform a magnetic portion to a non-magnetic portion
JP2005158095A (en) * 2003-11-20 2005-06-16 Matsushita Electric Ind Co Ltd Manufacturing method of master information carrier
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
JP4145305B2 (en) * 2005-01-13 2008-09-03 光洋サーモシステム株式会社 Heat treatment apparatus and method of using the same
JP2006286159A (en) * 2005-04-05 2006-10-19 Canon Inc Magnetic recording medium and its manufacturing method
JP2006309841A (en) * 2005-04-27 2006-11-09 Tdk Corp Magnetic pattern forming method, magnetic recording medium, magnetic recording and reproducing device
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
JP2007115323A (en) * 2005-10-19 2007-05-10 Sony Corp Method of manufacturing magnetic disk
JP4221415B2 (en) * 2006-02-16 2009-02-12 株式会社東芝 Method for manufacturing magnetic recording medium
JP2008052860A (en) * 2006-08-28 2008-03-06 Showa Denko Kk Manufacturing method of magnetic recording medium and magnetic recording and reproducing device
JP4597933B2 (en) * 2006-09-21 2010-12-15 昭和電工株式会社 Manufacturing method of magnetic recording medium and magnetic recording / reproducing apparatus
KR100790474B1 (en) * 2006-10-26 2008-01-02 연세대학교 산학협력단 Manufacturing method for pattern, manufacturing method for magnetoresistant effect film using patterning, and magnetoresistant effect film and magnetic applied device manufactured by the same
JP2008183681A (en) * 2007-01-31 2008-08-14 Hitachi High-Technologies Corp Disc chucking mechanism and disc handling robot
JP2008226428A (en) * 2007-02-13 2008-09-25 Hoya Corp Magnetic recording medium, and its manufacturing method
CN101681781B (en) * 2007-02-26 2013-04-03 威科仪器有限公司 Ion sources and methods of operating an electromagnet of an ion source
US20090201722A1 (en) * 2008-02-12 2009-08-13 Kamesh Giridhar Method including magnetic domain patterning using plasma ion implantation for mram fabrication
JP5276337B2 (en) * 2008-02-22 2013-08-28 エイチジーエスティーネザーランドビーブイ Method for manufacturing magnetic recording medium

Also Published As

Publication number Publication date
CN102197426B (en) 2014-11-05
TWI478159B (en) 2015-03-21
WO2010048030A2 (en) 2010-04-29
KR101622568B1 (en) 2016-05-19
JP5640011B2 (en) 2014-12-10
WO2010048030A4 (en) 2010-09-02
CN103996404B (en) 2017-08-04
WO2010048030A3 (en) 2010-07-22
CN103996404A (en) 2014-08-20
JP2014209404A (en) 2014-11-06
JP2012506601A (en) 2012-03-15
KR20110090943A (en) 2011-08-10
TW201029003A (en) 2010-08-01
CN102197426A (en) 2011-09-21

Similar Documents

Publication Publication Date Title
US9263078B2 (en) Patterning of magnetic thin film using energized ions
US8551578B2 (en) Patterning of magnetic thin film using energized ions and thermal excitation
JP5863882B2 (en) Patterning of magnetic thin films using high energy ions.
JP5752939B2 (en) Magnetic domain pattern formation using plasma ion implantation
CN103824569B (en) HDD pattern implant system
JP2002288813A (en) Magnetic recording medium and its manufacturing method
JPH10275369A (en) Manufacture of information recording medium and information recording medium made by the same
US8673162B2 (en) Methods for substrate surface planarization during magnetic patterning by plasma immersion ion implantation
SG174578A1 (en) Use special ion source apparatus and implant with molecular ions to process hdd (high density magnetic disks) with patterned magnetic domains
JP2010176784A (en) Multiferroic storage medium
US20090199768A1 (en) Magnetic domain patterning using plasma ion implantation
JP4032050B2 (en) Magnetic recording medium and method for manufacturing the same
US10233538B2 (en) Demagnetization of magnetic media by C doping for HDD patterned media application
US8802188B2 (en) Method for manufacturing magnetic recording medium, magnetic recording medium, and magnetic recording and reproducing apparatus
KR101570893B1 (en) Method of manufacturing magnetic recording medium, magnetic recording medium, and information storage device
US9384773B2 (en) Annealing treatment for ion-implanted patterned media
US8771850B2 (en) Carbon-deuterium protective overcoat layer
JP2006528401A (en) Method for producing nanoparticle medium
JP2006252594A (en) Recording and reproducing method of information recording medium

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150609

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150709

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151222

R150 Certificate of patent or registration of utility model

Ref document number: 5863882

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees