JP5681280B2 - アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ - Google Patents

アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ Download PDF

Info

Publication number
JP5681280B2
JP5681280B2 JP2013519750A JP2013519750A JP5681280B2 JP 5681280 B2 JP5681280 B2 JP 5681280B2 JP 2013519750 A JP2013519750 A JP 2013519750A JP 2013519750 A JP2013519750 A JP 2013519750A JP 5681280 B2 JP5681280 B2 JP 5681280B2
Authority
JP
Japan
Prior art keywords
computer
architecture
computer readable
readable code
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013519750A
Other languages
English (en)
Other versions
JP2013532856A (ja
Inventor
サティシュ パドゥマナバン
サティシュ パドゥマナバン
パイアス ウン
パイアス ウン
アナン パンドゥランガン
アナン パンドゥランガン
スレッシュ カディヤラ
スレッシュ カディヤラ
アナン ダーバ
アナン ダーバ
タック シギハラ
タック シギハラ
Original Assignee
アルゴトゥチップ コーポレーション
アルゴトゥチップ コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アルゴトゥチップ コーポレーション, アルゴトゥチップ コーポレーション filed Critical アルゴトゥチップ コーポレーション
Publication of JP2013532856A publication Critical patent/JP2013532856A/ja
Application granted granted Critical
Publication of JP5681280B2 publication Critical patent/JP5681280B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

(相互に参照される出願)
出願人を共通とする、同日に出願された米国特許出願第12/835,603号『アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ(AUTOMATIC OPTIMAL INTEGRATED CIRCUIT GENERATOR FROM ALGORITHMS AND SPECIFICATION)』、米国特許出願第12/835,621号『アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ(AUTOMATIC OPTIMAL INTEGRATED CIRCUIT GENERATOR FROM ALGORITHMS AND SPECIFICATION)』、米国特許出願第12/835,628号『駆動電力ゲーティングの応用(APPLICATION DRIVEN POWER GATING)』、米国特許出願第12/835,631号『集積回路におけるシステム、アーキテクチャおよびマイクロアーキテクチャ(SAMA)表現(SYSTEM, ARCHITECTURE AND MICRO‐ARCHITECTURE (SAMA) REPRESENTATION OF AN INTEGRATED CIRCUIT)』、および米国特許出願第12/835,640号『アーキテクチャ・レベルの省電力指向の最適化およびリスク軽減(ARCHITECTURAL LEVEL POWER‐AWARE OPTIMIZATION AND RISK MITIGATION)』が相互に関連しており、これらの文献によって参照される内容が、本願に援用される。
本発明は、カスタム集積回路または特定用途向け集積回路(ASIC)を設計するための方法に関する。
近年の電子機器および産業製品は、標準およびカスタム集積回路(IC)といった電子デバイスに頼っている。特定の目的のために設計され、製造されるICは、ASICと呼ばれる。トランジスタの数に置き換えられる、ICのそれぞれに含まれる機能の数は、半導体テクノロジにおける進歩に起因して年を追って急速に増え続けている。その種の傾向を反映して、ICを設計する方法が変化してきた。過去においては、ICが、多数の汎用ICの単なる組み合わせとして設計されていた。しかしながら最近では、設計者は、必要とされる任意の機能を実行することができる自分のオリジナルのICを作り出すことが求められる。概して言えば、単価およびサイズが減少する一方において設計機能が増加している。
通常、チップ設計プロセスは、チップが実行しなければならないすべての機能をアルゴリズム設計者が規定するときから始まる。これは、一般に、CまたはMATLAB(マトラボ)等の言語においてなされる。その後、チップ・スペシャリスト、ツール技術者、検証技術者、およびファームウエア技術者のチームが多くのマン・イヤー(man-year)を費やしてアルゴリズムをハードウエア・チップおよび関連するファームウエアにマップする。これは非常に費用の掛かるプロセスであり、また多くのリスクもはらんでいる。
今日の設計は、絶えることなく続くサイズ、コスト、および電力における低減とともに優れた機能が要求されてますます複雑化している。電力消費、信号インタラクション、進み続ける複雑性、および悪化する寄生効果は、すべて、チップ設計技法がより込み入ったものとなる一因である。設計の傾向は、デジタル設計のために数百万を超えるトランジスタ数を伴う、さらに高いトランジスタの集積化レベルさえ示している。現在のテクノロジを用いる場合、先進のシミュレーション・ツールおよびデータを再使用する能力は、その種の複雑な設計に後れを取っている。
最先端カスタムIC設計の開発により、解決されることを要するいくつかの課題が生じた。より高い処理速度は、多クロック領域、ますます複雑化するクロック乗算および同期テクニック、ノイズ・コントロール、および高速I/O等の、以前は本質的に純粋なデジタル領域の条件をアナログ領域内に導入することを招いた。設計の複雑さが増加し続ける一方、それらの製品を市場に提供するまでの設計者が有する時間がより少なくなっていることから、設計および検証サイクル内には障害が生じ、その結果、設計コストについての償却費が低下してしまう。設計の複雑さが増加することにより、設計の成功を達成するために必要と成り得る、追加の製造工程が生じてしまう。さらに別の課題は、有能な労働者を確保できるかという側面もある。ASIC回路設計における急速な成長は、有能なIC技術者の不足を同時に生じている。
1つの態様においては、カスタム集積回路を自動的に設計する方法は、コンピュータ可読コードおよびカスタム集積回路に対する1つまたは複数の制約を含むカスタム集積回路の仕様を受け取り、制約を満たすコンピュータ可読コードに対して固有のカスタマイズがなされたプロセッサ・アーキテクチャの導出およびプロセッサ・チップ仕様の生成を自動的に行ない、チップ仕様をカスタム集積回路のレイアウトに合成する。この方法は、入力としてのアルゴリズムに則ったプロセスまたはコードに基づき、高度に自動化されたツールを使用してカスタム集積回路の自動的な設計および生成を行ない、それには人間の係り合いが実質的にまったく必要とされない。
上記の態様の実装には、次に示す内容のうちの1つまたは複数を含めることができる。システムは、コンピュータ可読コードの静的プロファイリングおよび/またはコンピュータ可読コードの動的プロファイリングの実行を含む。システムのチップ仕様は、コンピュータ可読コードのプロファイルに基づいて設計される。チップ仕様は、さらに、コンピュータ可読コードの静的および動的プロファイリングに基づいて漸進的に最適化することが可能である。コンピュータ可読コードは、最適アッセンブリ・コードにコンパイルされ、それがリンクされて、選択されたアーキテクチャのためのファームウエアを生成することができる。シミュレータが、ファームウエアのサイクル精度のシミュレーションを実行することが可能である。システムは、ファームウエアの動的プロファイリングを実行することができる。方法は、さらに、プロファイリングが行なわれたファームウエアに基づいて、またはアッセンブリ・コードに基づいてチップ仕様の最適化を行なうことを含む。システムは、設計されたチップ仕様のために、レジスタ・トランスファ・レベル(RTL)コードを自動的に生成することが可能である。システムは、また、RTLコードの合成を行なってシリコンを製造することも可能である。
システムの好ましい実施態様の利点には、次に示す内容のうちの1つまたは複数が含まれる。このシステムは、チップ設計の問題を緩和し、それを単純なプロセスにする。これらの実施態様は、プロダクト開発プロセスの焦点を、ハードウエア実装プロセスからプロダクト仕様およびコンピュータ可読コードまたはアルゴリズム設計に戻すようにシフトさせる。特定のハードウエアを選択することに束縛される代わりに、コンピュータ可読コードまたはアルゴリズムが、それの適用のために特に最適化されたプロセッサ上において実装されることが可能となる。好ましい実施態様は、最適化されたプロセッサを自動的に、すべての関連付けされたソフトウエア・ツールおよびファームウエア・アプリケーションとともに生成する。このプロセスは、これまで数年の問題として対処されていた事項を、数日の問題として対処することを可能とする。このシステムは、ハードウエア・チップ・ソリューションが設計される方法におけるパラダイムを完全にシフトするものである。
このシステムは、チップ設計の知識をまったく伴うことなく、アルゴリズム設計者自身が直接ハードウエア・チップを作ることが可能となるように、リスクを取り除き、チップ設計を自動的なプロセスにする。システムへの一次的な入力は、CまたはMATLAB(マトラボ)等のより高水準の言語で記述されたコンピュータ可読コードまたはアルゴリズム仕様となる。
このシステムを使用する多くの利益のうちのいくつかには、次のようなものが含まれる。
(1)スケジュール:チップ設計サイクルが数年単位ではなく数週単位に落ち着くことになる場合には、このシステムを使用している会社が、自社の製品をすばやく市場に持ち込むことによって急速に変化する市場に浸透することが可能になる。
(2)コスト:一般にチップの実装のために雇用する必要がある多数の技術者が不要となる。このことは、このシステムを使用している会社に夥しいコストの節約をもたらす。
(3)最適性:このシステム・プロダクトを使用して設計されたチップは、優れたパフォーマンス、面積、および電力消費を有している。
このシステムは、デジタル・チップ構成要素に向けた、それを有するシステムの設計で使用される方法におけるパラダイムを完全にシフトするものである。このシステムは、C/MATLAB(マトラボ)で記述されたアルゴリズムからデジタル・ハードウエアを生成する完全に自動化されたソフトウエア・プロダクトである。このシステムは、CまたはMATLAB(マトラボ)等の高水準言語を採用してハードウエア・チップを実現するプロセスへの独特のアプローチを使用する。要約して言えば、これは、チップ設計を完全に自動化されたソフトウエア・プロセスにする。
図1は、カスタムICを自動的に生成するシステムの一例を示す図である。 図2は、カスタムICを自動的に生成するワークフローの一例を示す図である。 図3は、カスタムICを自動的に生成するプロセスの一例を示す図である。 図4は、Cコード・プロファイルの一例を示す図である。 図5は、ベース・レベルのチップ仕様を示す図である。 図6は、図5のチップ仕様からの第1のアーキテクチャを示す図である。 図7は、図5のチップ仕様からの第2のアーキテクチャを示す図である。
図1は、カスタムICを自動的に生成するシステムの一例を示している。図1のシステムは、選択された目標とするアプリケーションに対する最適カスタム集積回路ソリューションを自動的に生成することをサポートする。目標とするアプリケーションの仕様は、一般に、C、MATLAB(マトラボ)、SystemC(システムC)、フォートラン、エイダ等の高水準言語またはそのほかのいずれかの言語によるコンピュータ可読コードとして表現されたアルゴリズムを通じてなされる。仕様は、目標とするアプリケーションの記述を含み、またそれには、望ましいコスト、面積、電力、速度、パフォーマンス、およびそのほかのハードウエア・ソリューションの属性といった1つまたは複数の制約も含まれる。
図1においては、ICカスタマがプロダクト仕様102を生成する。通常は、所望のプロダクトのすべての主要機能を取り込んだ初期プロダクト仕様が存在する。そのプロダクトから、アルゴリズムの専門家がそのプロダクトに必要とされるコンピュータ可読コードまたはアルゴリズムを識別する。それらのアルゴリズムのうちのいくつかは、サードパーティから、または標準開発委員会からのIPとして利用可能となることがある。それらのうちのいくつかは、製品開発の一部として開発されなければならない。この態様においては、さらにプロダクト仕様102が、とりわけCプログラム等のプログラムまたはMATLAB(マトラボ)モデル等の数学モデルとして表現することが可能なコンピュータ可読コードまたはアルゴリズム104で詳述される。プロダクト仕様102は、また、とりわけコスト、面積、電力、プロセス・タイプ、ライブラリ、およびメモリ・タイプ等の要件106も含んでいる。
コンピュータ可読コードまたはアルゴリズム104および要件106は、自動化されたICジェネレータ110に提供される。コードまたはアルゴリズム104およびチップ設計に課せられた制約だけに基づいて、ICジェネレータ110は、図2のプロセスを使用し、人間の係り合いをまったく伴うことなく、GDSファイル112、ICに実行させるファームウエア114、ソフトウエア開発キット(SDK)116、および/またはテスト・スイート118を含む出力を自動的に生成する。GDSファイル112は、カスタム・チップ120の製造に使用される。その後ファームウエア114が、製造されたこのチップ上で実行されて、カスタマ・プロダクト仕様102を実装する。
このシステムは、チップ設計の課題を緩和し、それを単純なプロセスにする。このシステムは、プロダクト開発プロセスの焦点を、ハードウエア実装プロセスからプロダクト仕様およびアルゴリズム設計に戻すようにシフトさせる。特定のハードウエアを選択することに束縛される代わりに、アルゴリズムが、その適用のために特に最適化されたデジタル・チップ・プロセッサ上において実装されることが常に可能となる。システムは、この最適化されたプロセッサを自動的に、すべての関連付けされたソフトウエア・ツールおよびファームウエア・アプリケーションとともに生成する。この全体的なプロセスは、これまで数年を要する問題として対処されていた事項を、数日の問題として対処することを可能とする。要約して言えば、このシステムは、プロダクト開発のデジタル・チップ設計部分をブラック・ボックス化する。
1つの実施態様においては、このシステム・プロダクトが、次に示すものを入力することが可能である。
C/MATLAB(マトラボ)で定義されたコンピュータ可読コードまたはアルゴリズム、
必要とされる周辺機器、
IO仕様、
面積目標、
電力目標、
マージン目標(将来的なファームウエア更新のためにどの程度のオーバーヘッドを組み込むべきか、またどの程度複雑性が増加するか)、
プロセスの選択肢、
標準セル・ライブラリの選択肢、
メモリ・コンパイラの選択肢、
テスト可能性(スキャン、タップ・コントローラ、内蔵セルフ・テスト機能等)。
システムの出力は、関連付けされるファームウエアすべてを伴ったデジタル・ハード・マクロとすることができる。このデジタル・ハード・マクロのために最適化されたソフトウエア開発キット(SDK)もまた自動的に生成されて、ファームウエアに対する将来的なアップグレードがプロセッサの交換を強いることなく実装されることが可能である。
図2は、カスタムICを自動的に生成するワークフローの一例を示している。このシステムは、選択された目標とするアプリケーションに対する完全かつ最適なハードウエア・ソリューションを自動的に生成する。共通の目標とするアプリケーションは埋め込みアプリケーション領域内にあるが、それらは、必ずしもそれに限定されない。
図2を参照すると、まずASICカスタマがプロダクト仕様202を生成する。プロダクト仕様202は、さらに、とりわけCプログラム等のプログラムまたはMATLAB(マトラボ)モデル等の数学モデルとして表現することが可能なコンピュータ可読コードまたはアルゴリズム204で詳述される。プロダクト仕様202は、また、とりわけコスト、面積、電力、プロセス・タイプ、ライブラリ、およびメモリ・タイプ等のプロダクト・パラメータおよび要件206も含んでいる。コンピュータ可読コードまたはアルゴリズム204およびプロダクト・パラメータ206は、自動最適インストラクション・セット・アーキテクチャジェネレータ(AOISAG)(210)を含む自動化されたICジェネレータ110に提供される。ジェネレータ210は、自動最適チップ・ジェネレータ(AOCHIPG)244を駆動する自動最適RTLジェネレータ(AORTLG)242をコントロールする。AOCHIPG 244およびAORTLG 242の出力は、AOISAG 210のフィードバック・ループ内に提供されている。またAOISAG 210は、自動最適ファームウエア・ツール・ジェネレータ(AOFTG)246もコントロールし、それの出力は、自動最適ファームウエア・ジェネレータ(AOFG)248に提供される。AOFG 248の出力もまた、AOISAGへのフィードバック・ループ内に提供される。
ICジェネレータ110は、出力として、GDSファイル212、ICを実行させるファームウエア214、ソフトウエア開発キット(SDK)216を生成する。GDSファイル212およびファームウエア214は、カスタム・チップ220を製造するTSMCまたはUMC等のIC製造器230に提供される。
1つの実施態様においては、システムが完全に自動化される。人力の介入または誘導は、まったく必要とされない。このシステムは、最適化されている。ツールが、最適ソリューションを自動的に生成することになる。このほかの実施態様においては、必要であればユーザが間に割り込んで人間による誘導を提供することが可能である。
AOISAG 210は、最適インストラクション・セット・アーキテクチャ(ISAと呼ばれている)を自動的に生成することが可能である。ISAは、プログラマブル・ハードウエア・ソリューションの実現に必要とされ、かつ全デジタル・チップ仕様を規定するあらゆる詳細まで定義される。これらの詳細は、次に例として示すファクタのうちの1つまたは複数が含まれる。
(1)インストラクション・セットの機能、エンコーディング、および圧縮、
(2)コ‐プロセッサ/マルチ‐プロセッサ・アーキテクチャ、
(3)スカラー性、
(4)レジスタ・ファイル・サイズおよび幅、アクセス待ち時間およびポート、
(5)固定小数点サイズ、
(6)静的および動的分岐予測、
(7)コントロール・レジスタ、
(8)スタック演算、
(9)ループ、
(10)サーキュラー・バッファ、
(11)データ・アドレシング、
(12)パイプラインの深さおよび機能、
(13)サーキュラー・バッファ、
(14)周辺機器、
(15)メモリ・アクセス/待ち時間/幅/ポート、
(16)スキャン/タップ・コントローラ、
(17)専用アクセラレータ・モジュール、
(18)クロック仕様、
(19)データ・メモリおよびキャッシュ・システム、
(20)データ・プリ‐フェッチ・メカニズム、
(21)プログラム・メモリおよびキャッシュ・システム、
(22)プログラム・プリ‐フェッチ・メカニズム。
AORTLG 242は、最適ISAから、レジスタ・トランスファ言語(RTL)でのハードウエア・ソリューションの自動生成を提供する自動最適RTLジェネレータである。AORTLG 242は、完全に自動化されている。人力の介入または誘導は、まったく必要とされない。ツールが、最適ソリューションを自動的に生成することになる。生成されたRTLは合成可能、かつコンパイル可能である。
AOCHIPG 244は、最適RTLからGDSIIハードウエア・ソリューションの自動生成を提供する自動最適チップ・ジェネレータである。ツール244は、完全に自動化されている。人力の介入または誘導は、まったく必要とされない。ツールが、最適ソリューションを自動的に生成することになる。生成されたチップは、完全に機能を実現するものであり、かつ修正を伴うことなく標準FABを使用して製造することが可能である。
AOFTG 246は、ハードウエア・ソリューション上におけるファームウエア・コードの開発に必要とされるソフトウエア・ツールを自動的に生成するための自動最適ファームウエア・ツール・ジェネレータである。これは、完全に自動化されている。人力の介入または誘導は、まったく必要とされない。ツールが、最適ソリューションを自動的に生成することになる。コンパイラ、アッセンブラ、リンカ、機能シミュレータ、サイクル精度のシミュレータといった標準ツールを、デジタル・チップ仕様に基づいて自動的に生成することが可能である。AOFG 248は、結果として得られるチップ120による実行が必要になるファームウエアの自動生成を実行する自動最適ファームウエア・ジェネレータである。このツールは、完全に自動化されている。人力の介入または誘導は、まったく必要とされない。それに加えて、このツールは、最適ソリューションを自動的に生成することになる。最適化されたリアルタイム・オペレーティング・システム(RTOS)もまた、自動的に生成することが可能である。
チップ仕様は、カスタマ・アプリケーションの実行に必要とされる正確な機能ユニットを定義する。またこれは、並列に使用されるこれらのユニットの数が決定されるように固有の並列処理も正確に定義する。ミクロ的およびマクロ的レベルの並列処理の複雑性が、すべて、プロファイリング情報から抽出され、したがってチップ仕様は、この情報を伴って設計される。したがって、チップ仕様は、最適に設計され、この種のプロファイリング情報を伴わないでチップ仕様が設計される場合に起こり得るような、設計が過剰になることまたは設計が不足することがない。
動的プロファイリングの間に分岐の統計値が収集され、この情報に基づいて分岐予測メカニズムが最適に設計される。また、連続するインストラクションの間におけるすべての依存性チェックも、このプロファイリングから既知となり、したがってチップ仕様のパイプラインおよびインストラクション・スケジューリングに関する点のすべてが最適に設計される。
チップ仕様は、次に挙げるようなオプションを提供することが可能である。
* ラッピングについて絶えずテストすることを必要とせずに、サーキュラー・バッファが実装されることを可能にする、ハードウエア・モジュロ・アドレシング。
* DMAを広範囲に使用し、コードを予測してキャッシュ階層および関連する遅延についての情報が書き込まれる、ストリーミング・データのために設計されたメモリ・アーキテクチャ。
* 複数の演算ユニットの駆動は、メモリ・アーキテクチャが毎インストラクション・サイクル当たりいくつかのアクセスをサポートすることを要求する。
* 独立したプログラムおよびデータ・メモリ(ハーバード・アーキテクチャ)、および場合によっては複数のデータ・バス上における同時アクセス。
* 特殊SIMD(単一インストラクション多重データ)演算。
* いくつかのプロセッサは、VLIWテクニックを使用し、したがって各インストラクションが複数の演算ユニットを並列に駆動する。
* 特殊な演算、たとえば高速積和演算(MAC)等。
* ビット反転アドレシング、FFTの計算に有用な特殊なアドレシング・モード。
* 特殊なループ・コントロール、たとえばインストラクションのフェッチまたは出口テストのためのオーバーヘッドを伴わない非常にタイトなループ内において少数のインストラクション・ワードを実行するためのアーキテクチャ上のサポート等。
* データ欠如のために実行ユニットが機能停止することが皆無であるように、データ・プリ‐フェッチ・メカニズムと結合された特殊なプリ‐フェッチ・インストラクション。これにより、メモリ帯域幅が、所定の実行ユニットおよびその種の実行ユニットを使用するインストラクションのスケジューリングのために最適に設計される。
* 所定のアプリケーションのために最適パフォーマンスを獲得し、かつ同時に非常にコンパクトなインストラクション・フットプリントを達成する最適な可変長/複数離散長のインストラクション・エンコーディング。
図3は、図1のカスタム・チップ120を自動的に生成するためのプロセス・フローの一例を示している。ここで図3を参照するが、まずカスタマ・プロダクト仕様が生成される(302)。カスタマ・プロダクト仕様302は、さらに、とりわけCプログラム等のプログラムまたはMATLAB(マトラボ)モデル等の数学モデルとして表現することが可能なコンピュータ可読コードまたはアルゴリズム304で詳述される。
カスタマ・アルゴリズム304は、静的316および動的318にプロファイリングが行なわれる。このプロファイリングから収集された統計が、アーキテクチャ・オプティマイザ・ユニット320内において使用される。このユニットはまた、カスタマ仕様302も受け取る。ベース機能ジェネレータ314は、カスタマ・アルゴリズム304の実装に必要となる基本的演算子または実行ユニットを決定する。ベース機能ジェネレータ314の出力はまた、アーキテクチャ・オプティマイザ320へも供給される。アーキテクチャ・オプティマイザ320には、面積、タイミング、および電力を最小化する内部実装分析に加えて、ベース機能ジェネレータから面積、タイミング、および電力情報が与えられる。
アーキテクチャ・オプティマイザ320の出力に基づいて、初期チップ仕様がアーキテクチャ322として定義される。続いてこれが、ツール・ジェネレータ332ユニットに供給されて自動的にコンパイラ306、アッセンブラ308、リンカ310、およびサイクル精度のシミュレータ338を生成する。その後、これらの一連のツールを使用して、カスタマ・アルゴリズム304が、アーキテクチャ322上で実行することが可能なファームウエア312に変換される。
アッセンブラ308の出力は静的プロファイリング334が行ない、サイクル精度のシミュレータ338の出力は動的プロファイリング340が行なう。これらのプロファイル情報は、その後、アーキテクチャ・オプティマイザ342によって使用され、アーキテクチャ322の純化および改善が行なわれる。
カスタマ仕様が満たされるまで322から332、306、308、310、312、338、340、342へと至り、322に戻るフィードバック・ループ、および322から332、306、308、334、342へと至り、322に戻るフィードバック・ループが、反復的に実行される。これらのフィードバック・ループは、人間の介入をまったく伴わずに自動的に生じ、したがって自動的に、最適ソリューションに到達する。
アーキテクチャ・オプティマイザ342は、アーキテクチャ・フロア‐プランナ336および、合成およびP&R 328フィードバックにも基づいている。アーキテクチャの決定は、アプリケーション・プロファイリング情報だけでなく、物理的な場所およびルート情報も参照して行なわれる。アーキテクチャの最適化は正確であり、設計済みアーキテクチャのバック・エンド設計で起き得る驚きの事態が生じることはない。たとえば、アーキテクチャ・オプティマイザが、2つの16ビットのオペランドを入力として取り、32ビットの結果を生成する乗算器ユニットを使用することを選択したものとする。アーキテクチャ・オプティマイザ342は、それらのオペランドを適用した時点と、フロア‐プランナ336および合成328からの結果を利用可とする時点との間における正確なタイミング遅延を承知している。アーキテクチャ・オプティマイザ342はまた、実際のチップ内において乗算器が配置され、ルーティングされるときの正確な面積も承知している。したがって、この乗算器を使用するためのアーキテクチャは、プロファイリング・データからのこの乗算器の必要性に基づくだけでなく、面積、タイミング遅延(パフォーマンスとも呼ばれている)、および電力の観点から見たこの乗算器に関連するコストにも基づいて決定される。
別の例においては、パフォーマンスがカスタム・チップ上における制約事項である場合に、パフォーマンスを高速化するために、コンパイラ306は、シリアル・プロセッサ上での実行に長時間を要するプログラム、コード、またはアルゴリズムを抽出し、同時演算が可能な複数の処理ユニットを含む新しいアーキテクチャがあれば、それを多重処理ユニット内において並列に、またはオーバーラップ態様で処理することが可能である複数の部品に分解することによってプログラムの実行時間を短縮する。フロント・エンドの追加のタスクは、並列処理を捜し出すことであり、バック・エンドの追加のタスクは、正しい結果および向上したパフォーマンスが獲得される態様でそれをスケジュールすることである。システムは、どのような種類の部品をプログラムが分割するか、およびどのようにそれらの部品を再アレンジできるかについて決定する。これには、次の事項が含まれる。
・ 並列処理の精度、レベル、および程度、
・ 並列実行の候補の間における依存度の分析。
別の例においては、空間または電力がカスタム・チップ上における制約事項である場合に、たとえばコンパイラは、コードをシーケンシャルに実行して電力およびチップの地積要件を節約する単一の低電力プロセッサDSPを生成することになる。
アーキテクチャ・ブロック322から、プロセスは、RTLジェネレータ(324)を使用してRTLを生成することができる。RTLコードが生成され(326)、当該RTLコードを、合成配置およびルーティング・ブロック(328)に提供することができる。アーキテクチャ・フロア‐プランナからの情報もまた考慮に入れることが可能である(336)。レイアウトを生成することが可能である(330)。このレイアウトは、たとえば、GDSIIファイル・フォーマットとすることができる。
本発明の1つの態様は、統一されたアーキテクチャ322の表現形式であり、ソフトウエア・ツール・ジェネレータ332およびハードウエアRTLジェネレータ324の両方がこの表現形式を使用することが可能となるように作り出される。この表現形式は、SAMA(システム、アーキテクチャ、およびマイクロ‐アーキテクチャ)と呼ばれている。
アーキテクチャ設計動作は、カスタム・チップによって実行されることになるプログラム、コード、またはアルゴリズムの分析に基づく。1つの実装においては、ユニスカラー・プロセッサ上での実行に長時間を要するプログラムがある場合に、システムは、多重処理ユニット内において並列に、またはオーバーラップ態様で処理することが可能な複数の部品に処理要件を分解することによってパフォーマンスを向上させることが可能である。フロント・エンドの追加のタスクは、並列処理を捜し出すことであり、バック・エンドの追加のタスクは、正しい結果および向上したパフォーマンスが獲得される態様でそれをスケジュールすることである。システムは、どのような種類の部品をプログラムが分割するか、およびどのようにそれらの部品を再アレンジできるかについて決定することが可能である。これは、並列処理の精度、程度をはじめ、並列実行の候補の間における依存度の分析を伴う。プログラムの部品および複数の処理ユニットが広い範囲にわたることから、異なるコンパイル・アプローチを必要とする適正な数の組み合わせが可能である。
これらの組み合わせのために、チップ仕様は、設計の過剰または不足がまったく存在しないように計算ユニットのサポートに必要とされるデータ帯域幅が正しく設計される。アーキテクチャ・オプティマイザ342は、最初にプログラム内の潜在的な並列ユニットを識別し、続いて、それらに対する依存度分析を実行して、互いに独立であって、しかも同時に実行することが可能なセグメントを見つけ出す。
アーキテクチャ・オプティマイザ342は、マシン・インストラクションの精度レベルの点で並列処理を識別する。たとえば、標準スカラー・プロセッサ上における2つのN要素ベクトルの加算は、一度に1つのインストラクションを実行することになる。しかしながら、ベクトル・プロセッサ上においては、すべてのN個のインストラクションをN個の独立したプロセッサ上で実行することが可能であり、そのことは合計時間を、単一の加算の実行に必要とされる時間のN倍をわずかに超える程度にまで短縮する。アーキテクチャ・オプティマイザは、ベクトル・ステートメントに等価なシーケンシャル・ステートメントを採用し、ベクトル・マシン・インストラクションへの翻訳を行なう。ベクトル化を可能にする条件は、ソース・オペランドの要素が結果のオペランドから独立していなければならないということである。たとえば、次のようなコードがある。
DO 100 J=1,N
DO 100 I=1,N
DO 100 K=1,N
C(I,J)=C(I,J)+A(I,K)×B(K,J)
100 CONTINUE
この行列乗算の例では、各繰り返しが、直前の繰り返しにおいて計算されたC(I,J)の値を使用してC(I,J)を計算しており、したがってベクトル化は可能でない。パフォーマンスが希望されているのであれば、システムは、このコードを次のように変換する。
DO 100 J=1,N
DO 100 K=1,N
DO 100 I=1,N
C(I,J)=C(I,J)+A(I,K)×B(K,J)
100 CONTINUE
この場合においては、連続するインストラクションが互いに独立しているC(I‐1,J)およびC(I,J)を計算し、異なるプロセッサ上において同時に実行可能であることからベクトル化が可能である。このように、インストラクション・レベルにおける依存度分析は、オペランド・レベルの依存度を認識し、適切な最適化を適用してベクトル化を可能にする補助となることが可能である。
図4乃至6は、Cコードとして表現された次に示すアルゴリズムのためのカスタム・チップ仕様設計を実行するためのプロセスの一例を示している。
for (i=0; i<ilimit; i++) {
a[i]=b[i]+2×c[i];
t=t+a[i];
図4は、GIMPLE(ジンプル)静的プロファイリングを使用する静的プロファイリングの一例を示している。プロファイリングにおいては、動的プログラム分析(静的コード分析に対抗するものとして)の形式が、プログラムの実行時に収集された情報を使用してプログラムの挙動を調査する。この分析の一般的な目的は、プログラムのいずかれのセクションを最適化し、それの全体的な速度を増加させるか、それのメモリ要件を減少させるか、または場合によってはその両方を行なうかを決定することにある。(コード)プロファイラは、パフォーマンス分析ツールであり、もっとも一般的にはそれが、機能呼び出しの頻度および持続時間のみを測定するが、より包括的なプロファイラのほかに、そのほかの特定のタイプの、広範囲にわたるパフォーマンス・データを収集する能力を有するプロファイラ(たとえば、メモリ・プロファイラ)も存在する。
図4の例においては、Cコードが2つのオペランド演算を有する一連の演算に縮小されている。このように、最初の4つの演算がa[i]=b[i]+2×c[i]+tを実行し、それと並列に残り4つの演算が、次のiの値についてa[i]=b[i]+2×c[i]+tを実行し、両方のグループの結果が最後の演算で合計される。
図5は、上記のアプリケーションを実装するための単純なベース・レベルのチップ仕様を示す。各変数i、a[i]、b[i]、c[i]、t、およびtmpが、読み出しまたは書き込みされるとして特徴が記述されている。したがって、時間502においては、iが読み出され、あらかじめ決定済みの限界値と照らしてチェックされる。504においては、iがインクリメントされて書き込まれ、その一方でc[i]がフェッチされる。506においては、b[i]が読み出され、その一方でtmp変数が2×c[i]の結果を格納するべく書き込まれ、さらに次の演算の準備のために読み出される。508においては、a[i]が書き込まれてtmpをb[i]に加算した結果が格納され、tが取り出される。510においては、tが書き込まれて508における加算の結果が格納され、iが読み出される。512から520までは、502から510までのシーケンスが次のiについて繰り返される。
図6は、図5のベース・ライン・アーキテクチャからの第1のアーキテクチャを示している。604において、変数iおよびc[i]が読み出される。606においてはiがインクリメントされ、その新しい値が格納される。b[i]が読み出され、その一方でtmpが2×c[i]の結果を格納し、その後、次の演算のために読み出される。608においては、b[i]がtmpに加算されてa[i]内に格納され、新しいa[i]およびtが、次の演算のために読み出される。610においては、tがa[i]に加算され、結果がt内に格納される。612から618までにおいては、次のiの値のために同様のシーケンスが繰り返される。
図7は、図5のベース・ライン・アーキテクチャからの第2のアーキテクチャを示している。このアーキテクチャにおいては、アーキテクチャ・オプティマイザが、適切なハードウエアを用いて演算702および704を結合して1つの演算にできることを検出する。このハードウエアは、また、演算706から708までを1つの演算の中で取り扱うことも可能である。その結果として、この第2のアーキテクチャを使用すると、1つの演算の中でiがチェックされてそれが限界を超えているか否かが調べられ、自動的にインクリメントされる。次の706から708までの演算は、1つの演算に結合されて2×c[i]+b[i]を行ない、結果をa[i]として格納する。3番目の演算においては、tがa[i]に加算される。類似する3つの演算が、次のiの値のために実行される。
第2のアーキテクチャは、自動インクリメント演算および積和演算を用いてハードウエアの情報をてこ入れし、いくつかのトランザクションを1段階で行なう。このようにこのシステムは、パフォーマンスのためにアーキテクチャを最適化することが可能である。
プログラムの部品および複数の処理ユニットが広いサイズ範囲にわたることから、異なる最適化アプローチを必要とする適正な数の組み合わせが可能である。アーキテクチャ・オプティマイザは、最初にプログラム内の潜在的な並列ユニットを識別し、続いて、それらに対する依存度分析を実行して、互いに独立であって、しかも同時に実行することが可能なセグメントを見つけ出す。
この種のシステムにおいて可能になる同時最適化の別の実施態様は、電圧降下/IRホット‐スポットの軽減である。このプロセスは、1つ1つのマシン・インストラクションを、オンチップ・ロジックおよび相互接続構造の集まりである関連するハードウエア実行パスに関連付けする。実行パスは、インストラクションのハードウエア『フットプリント』と考えることが可能である。データ・モデルは、可能性のあるすべての実行パスおよびそれらに関連付けされたインストラクションの記録を保持している。データ・モデルは、多様なマシン・インストラクションの統計的プロファイルを受け取り、そこから、任意の所定サイクル内においてインストラクションが実行される定常状態確率を抽出する。データ・モデルは、各インストラクション実行パスのための評価済み位相幾何学的レイアウトを作り出すことが可能である。レイアウトの評価は、物理設計のモデリングのために必要とされる適切なアブストラクション・レベルを選択する、あらかじめ決定済みのプロトコルに基づいた多様な物理設計モデルを使用して実行される。データ・モデルは、インストラクションの実行の定常状態確率を、それの実行パスの位相幾何学的レイアウトと関連付けする。データ・モデルは、レイアウトの部分領域を作り出し、各部分領域には、部分領域の重みの計算に使用される実行パスの確率の集まりをもたらす、交差する実行パスの集まりが存在する。部分領域の重みの(全領域にわたる)分布は、電力ホット‐スポットの部位の評価に使用される。データ・モデルは、影響を受けるインストラクション、すなわち実行パスが電力ホット‐スポットと交差するインストラクションを識別する。その後、電力ホット‐スポット領域が、仮想の制限容量・リソースとしてモデリングされる。データ・モデルは、スケジューラを調整して、制限容量リソースに影響を受けるインストラクションを調べる。制限容量は、閉じた連続の中でアクティブ化が許されるべき部分領域内の実行パスの数を制限することと解釈される。その種のリソース依存度は、スケジューラのリソース割り付けテーブルに容易に追加することが可能である。その後、スケジューラの最適化が、上記のように作り出された仮想リソースを、そのほかのパフォーマンス・コスト関数とともに考慮する。したがって、電力およびパフォーマンスが同時に最適化される。システムは、プロファイルから機能ブロック利用度の統計を生成することができる。システムは、時間の関数として、異なる処理ブロックの利用度を追跡することができる。システムは、1つまたは複数の処理ブロックのための電力を推測して遮断することが可能であり、必要時には、電力を遮断した処理ブロックを自動的にオンに切り換えることができる。各電力ドメインに電力がいつ印加されるべきかは、インストラクション・デコーダが決定することができる。
アプリケーション・コードを走らせるカスタムICのためのソフトウエア・ツールは、自動的に生成することが可能である。これらのツールには、コンパイラ、アッセンブラ、リンカ、サイクル‐ベースのシミュレータのうちの1つまたは複数が含まれる。ツールは、自動的にファームウエアを生成する。ツールは、ファームウエアのプロファイリングを行なうことが可能であり、ファームウエアのプロファイルを、最適化するアーキテクチャにフィードバックする。コンパイラのインストラクション・スケジューラは、利益を最大化するべくインストラクションの順序を調整することが可能であり、この電力最適化スキームが与えられる。
鍵となる考え方は、評価、およびアーキテクチャのアブストラクト・ブロックのみを伴う物理設計の仮想的な組み立てによって、物理的制約および効果を予測することである。1つの例においては、評価済み面積のブラック・ボックスのセットに基づいてフロアプランを組み立てることが可能である。アーキテクチャ・レベルにおいてその種の組み立てを行うことは、システムが、輻輳、タイミング、面積等を、RTLの実現の前に考慮することを可能にする。別の例においては、ブラック・ボックスの特定の形状またはアレンジメントが、より良好なフロアプランを、したがってより良好なタイミング、輻輳等をもたらすことがある。したがって、それは、アーキテクチャ・レベル自体においてそれらの問題を軽減する機会を提供する。実際の世界にたとえれば、建築士は、アスペクト比の正確な寸法や部屋の収容物についての知識を伴うことなく、異なる部屋のアレンジメントを考察することによって家屋がどのように機能するかを考察することができる。
このシステムは、チップ設計の問題を緩和し、それを単純なプロセスにする。これらの実施態様は、プロダクト開発プロセスの焦点を、ハードウエア実装プロセスからプロダクト仕様およびコンピュータ可読コードまたはアルゴリズム設計に戻すようにシフトさせる。特定のハードウエアを選択することに束縛される代わりに、コンピュータ可読コードまたはアルゴリズムが、その適用のために特に最適化されたプロセッサ上において実装されることが常に可能となる。好ましい実施態様は、最適化されたプロセッサを自動的に、すべての関連付けされたソフトウエア・ツールおよびファームウエア・アプリケーションとともに生成する。このプロセスは、これまで数年の問題として対処されていた事項を、数日の問題として対処することを可能とする。このシステムは、ハードウエア・チップ・ソリューションが設計される方法におけるパラダイムを完全にシフトするものである。多くの利益のうち、システムの好ましい実施態様を使用する利益を3つ次に挙げる。
(1)スケジュール:チップ設計サイクルが数年単位ではなく数週単位に落ち着くことになる場合には、ユーザが、製品をすばやく市場に持ち込むことによって急速に変化する市場に浸透することが可能になる。
(2)コスト:一般にチップの実装のために雇用する必要がある多数の技術者が不要となる。このことは、システムを使用している会社に夥しいコストの節約をもたらす。
(3)最適性:このシステム・プロダクトを使用して設計されたチップは、優れたパフォーマンス、面積、および電力消費を有している。
次に、例として、自動化されたチップ設計システムをサポートするコンピュータについて考察する。コンピュータは、好ましくは、プロセッサ、ランダム・アクセス・メモリ(RAM)、プログラム・メモリ(好ましくは、フラッシュROM等の書き込み可能な読み出し専用メモリ(ROM))、および入力/出力(I/O)コントローラをCPUバスによって結合された形で含んでいる。このコンピュータは、ハードディスクおよびCPUバスに結合されるハード・ドライブ・コントローラをオプションとして含むことができる。ハードディスクは、本発明等のアプリケーション・プログラムおよびデータをストアするために使用することができる。それに代えて、アプリケーション・プログラムをRAMまたはROM内にストアすることができる。I/Oコントローラは、I/Oバスを経由してI/Oインターフェースに結合される。I/Oインターフェースは、シリアル・リンク、ローカル・エリア・ネットワーク、無線リンク、およびパラレル・リンク等の通信リンクを介してアナログまたはデジタル形式でデータの受信および送信を行なう。オプションとして、表示器、キーボード、およびポインティング・デバイス(マウス)がI/Oバスに接続されることもある。それに代えて、I/Oインターフェース、表示器、キーボード、およびポインティング・デバイスのために別々の接続(別々のバス)が使用されることもある。プログラマブル処理システムは、あらかじめプログラムすること、または別のソース(たとえば、フロッピー(登録商標)ディスク、CD‐ROM、または別のコンピュータ)からプログラムをダウンロードすることによってプログラムすること(および再プログラムすること)ができる。
各コンピュータ・プログラムは、目に見える形として、コンピュータによってストレージ媒体またはデバイスが読み出されたときに、ここで説明しているプロシージャを実行するべくそのコンピュータの構成および動作のコントロールを行なうために、汎用または専用プログラマブル・コンピュータによって読み出されることが可能なマシン可読ストレージ媒体またはデバイス(たとえば、プログラム・メモリまたは磁気ディスク)内にストアされる。また本発明のシステムは、コンピュータ・プログラムを用いて構成されるコンピュータ可読ストレージ媒体内において具体化されると考えてもよく、それにおいてストレージ媒体は、ここで述べている機能を実行するべく特定の、あらかじめ定義済みの態様でコンピュータを動作させるように構成される。
以上、ここでは、特許法に従うため、および当業者に、新しい原理の適用および必要とされる専用の構成要素の組み立ておよび使用に必要となる情報を提供するために、相当に詳細に本発明を説明してきた。しかしながら、本発明が明確に異なる装置およびデバイスによって実行可能であること、および装置の詳細および動作手順の両方に対する多様な修正が本発明自体の範囲からの逸脱なしに達成可能であることは理解されるものとする。
102 プロダクト仕様、カスタマ・プロダクト仕様
104 コンピュータ可読コードまたはアルゴリズム
106 要件
110 ICジェネレータ
112 GDSファイル
114 ファームウエア
116 ソフトウエア開発キット(SDK)
118 テスト・スイート
120 カスタム・チップ
202 プロダクト仕様
204 コンピュータ可読コードまたはアルゴリズム
206 要件、プロダクト・パラメータ
210 ジェネレータ、AOISAG
212 GDSファイル
214 ファームウエア
216 ソフトウエア開発キット(SDK)
220 カスタム・チップ
230 IC製造器
242 AORTLG、自動最適RTLジェネレータ
244 AOCHIPG、自動最適チップ・ジェネレータ
246 AOFTG、自動最適ファームウエア・ツール・ジェネレータ
248 AOFG、自動最適ファームウエア・ジェネレータ
302 プロダクト仕様、カスタマ仕様
304 コンピュータ可読コードまたはアルゴリズム、カスタマ・アルゴリズム
306 コンパイラ
308 アッセンブラ
310 リンカ
312 ファームウエア
314 ベース機能ジェネレータ
316 静的プロファイリング
318 動的プロファイリング
320 アーキテクチャ・オプティマイザ、アーキテクチャ・オプティマイザ・ユニット
322 アーキテクチャ、アーキテクチャ・ブロック
324 RTLジェネレータ、ハードウエアRTLジェネレータ
328 合成およびP&R、合成、合成配置およびルーティング・ブロック
332 ツール・ジェネレータ、ソフトウエア・ツール・ジェネレータ
334 静的プロファイリング
336 アーキテクチャ・フロア‐プランナ
338 サイクル精度のシミュレータ
340 動的プロファイリング
342 アーキテクチャ・オプティマイザ

Claims (15)

  1. コンピュータが、カスタム集積回路を自動的に設計する方法であって:
    a. コンピュータが、コンピュータ可読コードおよび前記カスタム集積回路に対する1つまたは複数の制約を含む前記カスタム集積回路の仕様を受け取り、
    b. コンピュータが、前記コンピュータ可読コードに対してカスタマイズがなされた特定用途向けインストラクション・セット・プロセッサ・アーキテクチャの導出と、プロセッサ・チップ仕様と特定用途向けインストラクション・セット・プロセッサ・アーキテクチャのために生成されたコンパイラとの生成を自動的に行ない、前記生成されたプロセッサ・チップ仕様は、前記制約を満たす前記コンピュータ可読コードに対して固有のカスタマイズがなされ、
    c. コンピュータを用いて、生成された前記プロセッサ・チップ仕様を、半導体製造のために、前記カスタム集積回路のコンピュータ可読記述に合成する、
    方法。
  2. コンピュータが、前記コンピュータ可読コードの静的プロファイリングまたは動的プロファイリングを行なう、請求項1に記載の方法。
  3. コンピュータが、前記制約に基づき、前記コンピュータ可読コードを走らせる前記カスタム集積回路のためのファームウエアまたはソフトウエア開発キット(SDK)を自動的に生成する、請求項1に記載の方法。
  4. コンピュータが、前記コンピュータ可読コードの静的および動的プロファイリングに基づいて前記アーキテクチャを最適化する、請求項1に記載の方法。
  5. コンピュータが、前記コンピュータ可読コードをプログラマブル・アーキテクチャ内のアッセンブリ・コードにコンパイルする、請求項1に記載の方法。
  6. コンピュータが、前記アッセンブリ・コードをリンクして、選択された前記プログラマブル・アーキテクチャのためのファームウエアを生成する、請求項に記載の方法。
  7. コンピュータが、前記ファームウエアのサイクル精度のシミュレーションを実行する、請求項に記載の方法。
  8. コンピュータが、前記ファームウエアの動的プロファイリングを実行する、請求項に記載の方法。
  9. コンピュータが、プロファイリングが行なわれたファームウエアに基づいて前記プログラマブル・アーキテクチャを最適化する、請求項に記載の方法。
  10. コンピュータが、前記アッセンブリ・コードに基づいて前記プログラマブル・アーキテクチャを最適化する、請求項に記載の方法。
  11. カスタム集積回路を自動的に設計するシステムであって:
    a. コンピュータ可読コードおよび前記カスタム集積回路に対する1つまたは複数の制約を含む前記カスタム集積回路の仕様を受け取るための手段と、
    b. 前記コンピュータ可読コードに対してカスタマイズがなされた特定用途向けインストラクション・セット・プロセッサ・アーキテクチャの導出と、プロセッサ・チップ仕様と各特定用途向けインストラクション・セット・プロセッサ・アーキテクチャのために生成されたコンパイラとの生成を自動的に行ない、前記生成されたプロセッサ・チップ仕様は、前記制約を満たす前記コンピュータ可読コードに対して固有のカスタマイズがなされている手段と、
    c. コンピュータを用いて、生成された前記アーキテクチャを、半導体製造のために、前記カスタム集積回路のコンピュータ可読記述に合成するための手段と、
    を備えるシステム。
  12. 前記コンピュータ可読コードの静的プロファイリングおよび動的プロファイリングを行なうための手段を備える、請求項11に記載のシステム。
  13. 前記コンピュータ可読コードのプロファイルに基づいて前記アーキテクチャを最適化するための手段を備える、請求項11に記載のシステム。
  14. 前記コンピュータ可読コードをアッセンブリ・コードに変換するコンパイラを備える、請求項11に記載のシステム。
  15. ファームウエアのテストを行なうサイクル精度のシミュレータを備える、請求項11に記載のシステム。
JP2013519750A 2010-07-13 2011-07-11 アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ Expired - Fee Related JP5681280B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/835,603 2010-07-13
US12/835,603 US8225247B2 (en) 2010-07-13 2010-07-13 Automatic optimal integrated circuit generator from algorithms and specification
PCT/US2011/043603 WO2012009291A1 (en) 2010-07-13 2011-07-11 Automatic optimal integrated circuit generator from algorithms and specification

Publications (2)

Publication Number Publication Date
JP2013532856A JP2013532856A (ja) 2013-08-19
JP5681280B2 true JP5681280B2 (ja) 2015-03-04

Family

ID=45467871

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013519750A Expired - Fee Related JP5681280B2 (ja) 2010-07-13 2011-07-11 アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ

Country Status (7)

Country Link
US (1) US8225247B2 (ja)
EP (1) EP2593887A1 (ja)
JP (1) JP5681280B2 (ja)
KR (1) KR101448409B1 (ja)
CN (1) CN103098058A (ja)
TW (1) TW201214180A (ja)
WO (1) WO2012009291A1 (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8225247B2 (en) * 2010-07-13 2012-07-17 Satish Padmanabhan Automatic optimal integrated circuit generator from algorithms and specification
US8584062B2 (en) 2011-10-27 2013-11-12 Apple Inc. Tool suite for RTL-level reconfiguration and repartitioning
FI20135946L (fi) * 2013-09-23 2015-03-24 Procomp Solutions Oy Rinnakkainen ratkaisun muodostaminen
US20150212152A1 (en) 2014-01-24 2015-07-30 Texas Instruments Incorporated Testing of integrated circuits during at-speed mode of operation
CN104239050B (zh) * 2014-09-10 2018-04-10 中国科学技术大学 一种在线程序评测系统中用户排名的方法及系统
CN104268084A (zh) * 2014-10-23 2015-01-07 中国科学技术大学 一种在线程序评测系统中用户排名的实现方法及系统
US10180829B2 (en) * 2015-12-15 2019-01-15 Nxp Usa, Inc. System and method for modulo addressing vectorization with invariant code motion

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2815281B2 (ja) * 1993-04-19 1998-10-27 株式会社ピーエフユー デジタル回路設計支援システムおよびその方法
JP2869379B2 (ja) * 1996-03-15 1999-03-10 三菱電機株式会社 プロセッサ合成システム及びプロセッサ合成方法
US5883814A (en) * 1997-03-13 1999-03-16 International Business Machines Corporation System-on-chip layout compilation
US6134704A (en) * 1998-04-03 2000-10-17 International Business Machines Corporation Integrated circuit macro apparatus
US6408428B1 (en) * 1999-08-20 2002-06-18 Hewlett-Packard Company Automated design of processor systems using feedback from internal measurements of candidate systems
US6507947B1 (en) * 1999-08-20 2003-01-14 Hewlett-Packard Company Programmatic synthesis of processor element arrays
US6754881B2 (en) * 2001-12-10 2004-06-22 International Business Machines Corporation Field programmable network processor and method for customizing a network processor
US7224185B2 (en) * 2002-08-05 2007-05-29 John Campbell System of finite state machines
US20040109430A1 (en) * 2002-10-28 2004-06-10 Dacosta Francis Low cost implementation for high performance embedded systems
US7478031B2 (en) * 2002-11-07 2009-01-13 Qst Holdings, Llc Method, system and program for developing and scheduling adaptive integrated circuity and corresponding control or configuration information
US7100144B2 (en) * 2003-12-09 2006-08-29 International Business Machines Corporation System and method for topology selection to minimize leakage power during synthesis
JP2005293448A (ja) * 2004-04-05 2005-10-20 Renesas Technology Corp データ処理装置の開発方法、データプロセッサの開発支援プログラム、設計データの提供方法、及びデータ処理システムの開発方法
US7278122B2 (en) * 2004-06-24 2007-10-02 Ftl Systems, Inc. Hardware/software design tool and language specification mechanism enabling efficient technology retargeting and optimization
JP2006155448A (ja) * 2004-12-01 2006-06-15 Matsushita Electric Ind Co Ltd データ処理装置およびデータ処理装置の設計方法
US7712064B2 (en) * 2005-05-20 2010-05-04 Cadence Design Systems, Inc. Manufacturing aware design of integrated circuit layouts
US20070245280A1 (en) * 2006-04-14 2007-10-18 Magma Design Automation, Inc. System and method for placement of soft macros
US7627838B2 (en) * 2006-04-25 2009-12-01 Cypress Semiconductor Corporation Automated integrated circuit development
JP5009979B2 (ja) * 2006-05-22 2012-08-29 コーヒレント・ロジックス・インコーポレーテッド 処理システムでのソフトウェアプログラムの実行に基づくasicの設計
KR100813662B1 (ko) * 2006-11-17 2008-03-14 삼성전자주식회사 프로세서 구조 및 응용의 최적화를 위한 프로파일러
US7886255B2 (en) * 2007-01-22 2011-02-08 Texas Instruments Incorporated Method for design of programmable data processors
US7930653B2 (en) * 2007-04-17 2011-04-19 Micronic Laser Systems Ab Triangulating design data and encoding design intent for microlithographic printing
JP2008311361A (ja) * 2007-06-13 2008-12-25 Nec Electronics Corp 半導体集積回路、半導体集積回路のレイアウト設計方法、及び半導体集積回路の自動レイアウトプログラム
JP5049804B2 (ja) * 2008-01-23 2012-10-17 エイシップ・ソリューションズ株式会社 Vliwプロセッサ自動生成方法及び自動生成プログラム
US20100138811A1 (en) * 2008-12-02 2010-06-03 Qualcomm Incorporated Dynamic Performance Profiling
US8037437B2 (en) * 2009-01-13 2011-10-11 Microsoft Corporation Optimizing systems-on-a-chip using the dynamic critical path
US8589854B2 (en) * 2010-07-13 2013-11-19 Algotochip Corp. Application driven power gating
US8225247B2 (en) * 2010-07-13 2012-07-17 Satish Padmanabhan Automatic optimal integrated circuit generator from algorithms and specification
US8370784B2 (en) * 2010-07-13 2013-02-05 Algotochip Corporation Automatic optimal integrated circuit generator from algorithms and specification
US8185862B2 (en) * 2010-07-13 2012-05-22 Algotochip Corporation Architectural level power-aware optimization and risk mitigation

Also Published As

Publication number Publication date
US8225247B2 (en) 2012-07-17
WO2012009291A1 (en) 2012-01-19
CN103098058A (zh) 2013-05-08
TW201214180A (en) 2012-04-01
US20120017185A1 (en) 2012-01-19
JP2013532856A (ja) 2013-08-19
EP2593887A1 (en) 2013-05-22
KR101448409B1 (ko) 2014-10-07
KR20130034048A (ko) 2013-04-04

Similar Documents

Publication Publication Date Title
JP5681281B2 (ja) アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ
JP5725583B2 (ja) アーキテクチャ・レベルの省電力指向の最適化およびリスク軽減
Hoffmann et al. A methodology for the design of application specific instruction set processors (ASIP) using the machine description language LISA
JP5681280B2 (ja) アルゴリズムおよび仕様に基づく自動最適集積回路ジェネレータ
US8336017B2 (en) Architecture optimizer
JP5667305B2 (ja) アーキテクチャ最適化から物理設計クロージャへの設計の収束を図るために統合されたデータ・モデル・ベースのフレームワーク
EP2666084A1 (en) Tool generator
US20130346926A1 (en) Automatic optimal integrated circuit generator from algorithms and specification
KR20130043667A (ko) 집적 회로의 시스템, 아키텍처 및 마이크로-아키텍처(sama) 표현
Raghavan et al. Coffee: COmpiler framework for energy-aware exploration
Bazargan et al. Integrating scheduling and physical design into a coherent compilation cycle for reconfigurable computing architectures
Bertels et al. Hartes toolchain early evaluation: Profiling, Compilation and HDL generation
Raju et al. Reconfigurable Computing Systems Design: Issues at System-Level Architectures
Veale Reconfigurable Microprocessors: Instruction Set Selection, Code Optimization, and Configuration Control

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140121

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140421

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140428

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140521

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140528

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140623

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140722

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150108

R150 Certificate of patent or registration of utility model

Ref document number: 5681280

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees