JP5666218B2 - Mask blank, transfer mask, and transfer mask set - Google Patents

Mask blank, transfer mask, and transfer mask set Download PDF

Info

Publication number
JP5666218B2
JP5666218B2 JP2010200543A JP2010200543A JP5666218B2 JP 5666218 B2 JP5666218 B2 JP 5666218B2 JP 2010200543 A JP2010200543 A JP 2010200543A JP 2010200543 A JP2010200543 A JP 2010200543A JP 5666218 B2 JP5666218 B2 JP 5666218B2
Authority
JP
Japan
Prior art keywords
film
light shielding
shielding film
mask
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010200543A
Other languages
Japanese (ja)
Other versions
JP2011100108A (en
Inventor
大久保 靖
靖 大久保
崇 打田
崇 打田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hoya Corp
Original Assignee
Hoya Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hoya Corp filed Critical Hoya Corp
Priority to JP2010200543A priority Critical patent/JP5666218B2/en
Priority to KR1020100096740A priority patent/KR101751542B1/en
Publication of JP2011100108A publication Critical patent/JP2011100108A/en
Application granted granted Critical
Publication of JP5666218B2 publication Critical patent/JP5666218B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、半導体デバイス等の製造において使用されるマスクブランク、転写用マスク、転写用マスクセット等に関する。   The present invention relates to a mask blank, a transfer mask, a transfer mask set, and the like used in manufacturing a semiconductor device and the like.

転写用マスクに形成された転写パターンをウェハ上のレジスト膜に縮小投影露光で転写する際においては、通常、ウェハ上のレジスト膜にはできる限り多くの転写パターンを転写することが必要とされるため、隣接する転写パターンどうしの間隔を殆ど開けずに転写パターンを連続露光していく。露光装置には、マスクステージにチャックされた転写用マスク上の転写パターンが形成された領域のみに露光光が露光されるように、シャッター(遮光部材)が露光装置の照明光学系に設けられている。しかし、シャッターの位置精度や光の回折の問題から、露光光を転写用マスクの転写パターン領域のみに精度よく照射することは技術的に困難であり、露光光が転写パターン領域外周の遮光膜にも漏れて照射してしまう。露光装置によるウェハ上のレジスト膜への転写パターンの露光は、殆ど間隔を開けずに連続露光するため、転写パターン領域外周の遮光膜に漏れた露光光(これを漏れ光という。)が照射されてわずかに透過した露光光が、隣の転写パターンと重なって露光されてしまう。このため、ウェハ上のレジスト膜には、遮光膜をわずかに透過した露光光によって、最大4回分重なって露光される部分(重なり露光部分)が発生する。この4回分の露光でレジストが転写パターンに影響が生じるような感光をしてしまうと、転写パターンの正常な転写ができなくなる。
露光光を透過する部分と露光光を遮光する部分の白黒のみで転写パターンを形成するバイナリ型の転写用マスクで使用される遮光膜の場合、この漏れ光に起因する、遮光膜をわずかに透過する露光光がウェハ上のレジスト膜に4回露光されても感光しないような遮光性能が求められる。遮光性能としては、光学濃度(OD)が3.0以上(透過率 約0.1%以下)あることが望ましく、2.8以上(透過率 約0.16%以下)は必要とされている。
一方、ArFエキシマレーザ(波長193nm)を露光光とする露光技術においては、転写パターンの微細化が進み、露光光の波長よりも小さいパターン線幅に対応することが求められ、斜入射照明法、位相シフト法等の超解像技術、さらにNA=1以上の超高NA技術(液浸露光等)が開発されてきたが、それらの技術でも対応が難しいパターンピッチが要求され始めている。
この問題を解決する手段として、ダブルパターニング/ダブル露光(DP/DE)技術が開発されている。いずれの露光技術も、微細な転写パターンを2つの比較的疎なパターンに分割して2枚の転写用マスクを作製して、2枚の転写用マスク(転写用マスクセット)を用いてウェハ上のレジスト膜(転写対象物)に転写露光するものである。
When transferring the transfer pattern formed on the transfer mask to the resist film on the wafer by reduction projection exposure, it is usually necessary to transfer as many transfer patterns as possible onto the resist film on the wafer. Therefore, the transfer patterns are continuously exposed with almost no gap between adjacent transfer patterns. In the exposure apparatus, a shutter (light-shielding member) is provided in the illumination optical system of the exposure apparatus so that the exposure light is exposed only to the area where the transfer pattern on the transfer mask chucked on the mask stage is formed. Yes. However, due to the problems of shutter position accuracy and light diffraction, it is technically difficult to accurately irradiate the exposure light to only the transfer pattern area of the transfer mask, and the exposure light is applied to the light shielding film around the transfer pattern area. Will leak and irradiate. Since the exposure of the transfer pattern onto the resist film on the wafer by the exposure apparatus is performed continuously with almost no gap, exposure light leaked to the light shielding film on the outer periphery of the transfer pattern region (this is called leakage light) is irradiated. Thus, the slightly transmitted exposure light is exposed by overlapping with the adjacent transfer pattern. For this reason, in the resist film on the wafer, a portion (overlapping exposure portion) that is exposed by being overlapped up to four times by exposure light slightly transmitted through the light shielding film is generated. If the resist is exposed to light that causes an influence on the transfer pattern in these four exposures, the transfer pattern cannot be normally transferred.
In the case of a light-shielding film used in a binary transfer mask that forms a transfer pattern only in black and white where the exposure light is transmitted and where the exposure light is shielded, the light-shielding film is slightly transmitted due to this leakage light. Therefore, a light shielding performance is required so that the exposure light to be exposed is not exposed even if the resist film on the wafer is exposed four times. As the light shielding performance, the optical density (OD) is preferably 3.0 or more (transmittance of about 0.1% or less), and 2.8 or more (transmittance of about 0.16% or less) is required. .
On the other hand, in an exposure technique using an ArF excimer laser (wavelength 193 nm) as exposure light, miniaturization of a transfer pattern has progressed, and it is required to correspond to a pattern line width smaller than the wavelength of exposure light. Super-resolution techniques such as phase shift method, and ultra-high NA techniques (immersion exposure, etc.) with NA = 1 or higher have been developed, but pattern pitches that are difficult to cope with with these techniques are beginning to be required.
As a means for solving this problem, a double patterning / double exposure (DP / DE) technique has been developed. In any exposure technique, a fine transfer pattern is divided into two relatively sparse patterns to produce two transfer masks, and two transfer masks (transfer mask sets) are used on the wafer. The resist film (transfer object) is transferred and exposed.

特開2008−294352号公報JP 2008-294352 A

ダブル露光(DE:Double Exposure)技術は、ウェハ上のレジスト膜に、1枚目の転写用マスクによる転写パターンの露光を行った後、さらに同じレジスト膜に対して2枚目の転写用マスクによる転写パターンの露光を行うものである。このため、従来とは異なり、ウェハ上のレジスト膜が漏れ光に起因する、遮光膜をわずかに透過する露光光によって8回露光される部分が発生する。このため、従来では、十分とされてきた光学濃度(OD)2.8の遮光膜を用いた転写用マスクであっても、ウェハ上のレジスト膜が転写パターンに影響が生じるような感光をされてしまい、正常なパターン転写ができない場合が発生するという問題がある。
この問題を解決する方法としては、単純に遮光膜の膜厚を厚くして光学濃度を上げることが考えられる。しかし、遮光膜の膜厚を厚くすると、遮光膜に転写パターンを形成するためのエッチングを行う時のマスクとなるレジストパターン(レジスト膜)の膜厚を厚くする必要が生じる。膜厚の厚いレジスト膜に微細パターンを形成する場合、レジストパターンの倒れや欠落の問題が生じやすくなる。
一方、遮光膜の膜厚が厚くなるに従い、電磁界(EMF: ElectroMagnetics Field)効果に起因するバイアスは大きくなる。EMFバイアスは、ウェハ上のレジストの転写パターン線幅のCD精度に大きな影響を与える。このため、電磁界効果のシミュレーションを行い、EMFバイアスによる影響を低減するための転写用マスクに形成する転写パターンの補正を行う。しかし、膜厚が厚くなるに従って複雑なシミュレーションが必要となり、多大な負荷がかかるという問題がある。
以上のことから、転写用マスクの少なくとも転写パターンが形成される領域については、遮光膜の膜厚を厚くすることは問題である。
本発明は、上記背景の下なされた発明であり、ダブル露光技術への対応に適したバイナリ型マスクブランクの提供を目的とする。
In the double exposure (DE) technique, a resist pattern on a wafer is exposed to a transfer pattern using a first transfer mask, and then a second transfer mask is applied to the same resist film. The transfer pattern is exposed. For this reason, unlike the conventional case, a portion of the resist film on the wafer that is exposed eight times by exposure light slightly transmitted through the light-shielding film is generated due to leakage light. For this reason, even with a transfer mask using a light shielding film having an optical density (OD) of 2.8, which has been considered to be sufficient in the past, the resist film on the wafer is exposed so as to affect the transfer pattern. As a result, there is a problem that normal pattern transfer cannot be performed.
As a method for solving this problem, it is conceivable to simply increase the optical density by increasing the thickness of the light shielding film. However, when the thickness of the light shielding film is increased, it is necessary to increase the thickness of the resist pattern (resist film) that serves as a mask when performing etching for forming a transfer pattern on the light shielding film. When a fine pattern is formed on a thick resist film, problems such as collapse or missing of the resist pattern are likely to occur.
On the other hand, as the thickness of the light shielding film increases, the bias due to the electromagnetic field (EMF) effect increases. The EMF bias greatly affects the CD accuracy of the transfer pattern line width of the resist on the wafer. For this reason, the electromagnetic field effect is simulated, and the transfer pattern formed on the transfer mask for reducing the influence of the EMF bias is corrected. However, there is a problem that a complicated simulation is required as the film thickness increases, and a great load is applied.
From the above, it is problematic to increase the thickness of the light-shielding film in at least the region where the transfer pattern is formed on the transfer mask.
The present invention has been made under the above background, and an object of the present invention is to provide a binary mask blank suitable for the double exposure technique.

本発明は、以下の構成を有する。
(構成1)
ArF露光光が適用されるバイナリ型の転写用マスクを作製するために用いられるマスクブランクであって、
透光性基板上に、基板側から、転写パターンを形成するための遮光膜と、該遮光膜との積層構造で遮光帯を形成するための補助遮光膜とを順に備え、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上である、
ことを特徴とするマスクブランク。
(構成2)
ダブル露光技術が適用される転写用マスクを作製するために用いられることを特徴とする構成1に記載のマスクブランク。
(構成3)
前記遮光膜は、遮光層と表面反射防止層の積層構造を有することを特徴とする構成1または2に記載のマスクブランク。
(構成4)
前記補助遮光膜は、前記遮光膜をエッチングする際に用いられる、エッチング媒質に対しエッチング選択性を有することを特徴とする構成1から3のいずれか1項に記載のマスクブランク。
(構成5)
前記遮光膜は、遷移金属とケイ素を主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする構成1から4のいずれかに記載のマスクブランク。
(構成6)
前記遮光膜は、クロムを主成分とする膜であり、前記補助遮光膜は、遷移金属とケイ素を主成分とする膜であることを特徴とする構成1から4のいずれかに記載のマスクブランク。
(構成7)
前記遮光膜は、タンタルを主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする構成1から4のいずれかに記載のマスクブランク。
(構成8)
前記遮光膜と前記補助遮光膜の間に、これらの膜をエッチングする際に用いられるエッチング媒質に対してエッチング選択性を有するエッチングマスク膜を設けることを特徴とする構成1から3のいずれかに記載のマスクブランク。
(構成9)
前記遮光膜、前記補助遮光膜ともに、遷移金属とケイ素を主成分とする膜であり、さらにクロムを主成分とするエッチングマスク膜を有することを特徴とする構成8に記載のマスクブランク。
(構成10)
前記遮光膜、前記補助遮光膜ともに、クロムを主成分とする膜であり、さらに遷移金属とケイ素を主成分とするエッチングマスク膜を有することを特徴とする構成8に記載のマスクブランク。
(構成11)
構成1から10のいずれかに記載のマスクブランクを用いて作製される転写用マスク。
(構成12)
ArF露光光が適用されるバイナリ型の転写用マスクであって、
透光性基板上の転写パターン領域に、遮光膜で形成される転写パターンを有し、
転写パターン領域の外側の領域に、基板側から、遮光膜と、補助遮光膜との積層構造で形成される遮光帯を有し、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上である、
ことを特徴とする転写用マスク。
(構成13)
ダブル露光技術が適用されることを特徴とする構成12に記載の転写用マスク。
(構成14)
前記遮光膜は、遮光層と表面反射防止層の積層構造を有することを特徴とする構成12または13に記載の転写用マスク。
(構成15)
前記補助遮光膜は、前記遮光膜をエッチングする際に用いられる、エッチング媒質に対してエッチング選択性を有することを特徴とする構成12から14に記載の転写用マスク。
(構成16)
前記遮光膜は、遷移金属とケイ素を主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする構成12から15に記載の転写用マスク。
(構成17)
前記遮光膜は、クロムを主成分とする膜であり、前記補助遮光膜は、遷移金属とケイ素を主成分とする膜であることを特徴とする構成12から15に記載の転写用マスク。
(構成18)
前記遮光膜は、タンタルを主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする構成12から15に記載の転写用マスク。
(構成19)
前記遮光膜と前記補助遮光膜の間に、これらの膜をエッチングする際に用いられるエッチング媒質に対してエッチング選択性を有するエッチングマスク膜を設けることを特徴とする構成12から14に記載の転写用マスク。
(構成20)
前記遮光膜、前記補助遮光膜ともに、遷移金属とケイ素を主成分とする膜であり、さらにクロムを主成分とするエッチングマスク膜を有することを特徴とする構成19に記載の転写用マスク。
(構成21)
前記遮光膜、前記補助遮光膜ともに、クロムを主成分とする膜であり、さらに遷移金属とケイ素を主成分とするエッチングマスク膜を有することを特徴とする構成19に記載の転写用マスク。
(構成22)
構成13から構成21のいずれかに記載の転写用マスクを2枚セットとした転写用マスクセットであって、
前記2枚の転写用マスクは、ダブル露光技術による転写露光に用いられるものであり、前記2枚の転写用マスクに形成されている各転写パターンは、転写対象物に転写露光する1つの転写パターンを2つの疎な転写パターンに分割したものである
ことを特徴とする転写用マスクセット。
The present invention has the following configuration.
(Configuration 1)
A mask blank used for producing a binary transfer mask to which ArF exposure light is applied,
A light-shielding film for forming a transfer pattern and an auxiliary light-shielding film for forming a light-shielding band in a laminated structure with the light-shielding film are sequentially provided on the light-transmitting substrate from the substrate side,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more.
A mask blank characterized by that.
(Configuration 2)
2. The mask blank according to Configuration 1, which is used for producing a transfer mask to which a double exposure technique is applied.
(Configuration 3)
The mask blank according to Configuration 1 or 2, wherein the light shielding film has a laminated structure of a light shielding layer and a surface antireflection layer.
(Configuration 4)
4. The mask blank according to any one of configurations 1 to 3, wherein the auxiliary light shielding film has etching selectivity with respect to an etching medium used when the light shielding film is etched.
(Configuration 5)
5. The mask according to claim 1, wherein the light shielding film is a film mainly composed of a transition metal and silicon, and the auxiliary light shielding film is a film mainly composed of chromium. blank.
(Configuration 6)
5. The mask blank according to claim 1, wherein the light shielding film is a film containing chromium as a main component, and the auxiliary light shielding film is a film containing transition metal and silicon as main components. .
(Configuration 7)
5. The mask blank according to claim 1, wherein the light shielding film is a film containing tantalum as a main component, and the auxiliary light shielding film is a film containing chromium as a main component.
(Configuration 8)
Any one of the constitutions 1 to 3, wherein an etching mask film having an etching selectivity with respect to an etching medium used when etching these films is provided between the light shielding film and the auxiliary light shielding film. The mask blank described.
(Configuration 9)
9. The mask blank according to Configuration 8, wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of a transition metal and silicon, and further include an etching mask film mainly composed of chromium.
(Configuration 10)
9. The mask blank according to Configuration 8, wherein both the light shielding film and the auxiliary light shielding film are films containing chromium as a main component and further having an etching mask film containing transition metal and silicon as main components.
(Configuration 11)
A transfer mask produced using the mask blank according to any one of Configurations 1 to 10.
(Configuration 12)
A binary transfer mask to which ArF exposure light is applied,
In the transfer pattern area on the translucent substrate, has a transfer pattern formed of a light shielding film,
A light-shielding band formed by a laminated structure of a light-shielding film and an auxiliary light-shielding film from the substrate side in a region outside the transfer pattern region,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more.
A transfer mask characterized by that.
(Configuration 13)
13. The transfer mask according to Configuration 12, wherein a double exposure technique is applied.
(Configuration 14)
14. The transfer mask according to Configuration 12 or 13, wherein the light shielding film has a laminated structure of a light shielding layer and a surface antireflection layer.
(Configuration 15)
The transfer mask according to any one of Structures 12 to 14, wherein the auxiliary light shielding film has an etching selectivity with respect to an etching medium, which is used when the light shielding film is etched.
(Configuration 16)
16. The transfer mask according to any one of Structures 12 to 15, wherein the light shielding film is a film containing transition metal and silicon as main components, and the auxiliary light shielding film is a film containing chromium as main components.
(Configuration 17)
The transfer mask according to any one of Items 12 to 15, wherein the light shielding film is a film containing chromium as a main component, and the auxiliary light shielding film is a film containing transition metal and silicon as main components.
(Configuration 18)
16. The transfer mask according to any one of Structures 12 to 15, wherein the light shielding film is a film containing tantalum as a main component, and the auxiliary light shielding film is a film containing chromium as a main component.
(Configuration 19)
The transfer according to any one of Items 12 to 14, wherein an etching mask film having an etching selectivity with respect to an etching medium used when etching these films is provided between the light shielding film and the auxiliary light shielding film. Mask.
(Configuration 20)
20. The transfer mask according to Configuration 19, wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of a transition metal and silicon, and further include an etching mask film mainly composed of chromium.
(Configuration 21)
20. The transfer mask according to Configuration 19, wherein both the light-shielding film and the auxiliary light-shielding film are films mainly composed of chromium, and further include an etching mask film composed mainly of transition metals and silicon.
(Configuration 22)
A transfer mask set comprising two transfer masks according to any one of Configurations 13 to 21, wherein
The two transfer masks are used for transfer exposure by a double exposure technique, and each transfer pattern formed on the two transfer masks is one transfer pattern for transferring and exposing a transfer object. A mask set for transfer, characterized in that is divided into two sparse transfer patterns.

本発明によれば、転写パターンを形成するためのものであり、ダブル露光技術による2回の露光ではウェハ上のレジスト膜の感光を転写パターンに影響が生じないように抑制できるだけの遮光性能(OD2.5以上)を有する遮光膜と、遮光帯を形成するためのものであり、遮光膜と組み合わせることで、8回露光してもウェハ上のレジスト膜の感光を転写パターンに影響が生じないように抑制できるだけの遮光性能を発揮する補助遮光膜(OD0.5以上)とを備えるマスクブランクとすることにより、このマスクブランクからダブル露光用の転写用マスクセットを作製して、ウェハ上のレジスト膜に転写パターンを転写しても、8回露光される部分でレジスト膜が感光されることを防止できる。
また、転写パターンを形成するための遮光膜と遮光帯形成するための補助遮光膜の2層構造とし、さらに転写パターンを形成する遮光膜を2回露光でレジスト膜の感光を転写パターンに影響が生じないように抑制できるだけの遮光性能とすることにより、単純に遮光膜の膜厚を厚くしてダブル露光技術に対応する場合と比較し、転写パターン領域の遮光膜の膜厚を薄くできる。これにより、転写パターン領域の遮光膜パターンの精度を向上できる。
また、転写パターン領域の遮光膜の膜厚を厚くする必要がないので、レジストも厚くする必要がなく、レジストパターンの倒れや欠落の問題も生じにくくなる。
また、転写パターン領域の遮光膜の膜厚を厚くする必要がないので、遮光膜の膜厚が厚くなるに従い、電磁界(EMF)効果に起因するバイアスは大きくなり、膜厚が厚くなるに従って複雑なシミュレーションが必要となり、多大な負荷がかかるという問題を回避できる。
本発明によれば、ダブル露光技術で使用する転写用マスクとするマスクブランクには、重ね露光部分のウェハ上のレジスト膜を8回分露光しても転写パターンに影響が生じない程度の感光に抑えることができる光学濃度が遮光膜の最低限転写パターン領域外周のエリアで得られること、と同時に、転写パターン領域の遮光膜の膜厚を厚くすることなく対応できること、を実現できる。
According to the present invention, it is for forming a transfer pattern, and the light shielding performance (OD2) that can suppress the exposure of the resist film on the wafer so as not to affect the transfer pattern in the double exposure by the double exposure technique. .5 or more) and a light-shielding band, and in combination with the light-shielding film, the exposure of the resist film on the wafer does not affect the transfer pattern even when exposed eight times. A mask blank having an auxiliary light-shielding film (OD 0.5 or more) exhibiting a light-shielding performance that can be suppressed to a low level, a transfer mask set for double exposure is produced from this mask blank, and a resist film on the wafer Even if the transfer pattern is transferred to the resist film, it is possible to prevent the resist film from being exposed to the portion exposed eight times.
In addition, it has a two-layer structure of a light shielding film for forming a transfer pattern and an auxiliary light shielding film for forming a light shielding band, and the light shielding film for forming the transfer pattern is exposed twice to affect the sensitivity of the resist film. By making the light-shielding performance that can be suppressed so as not to occur, the thickness of the light-shielding film in the transfer pattern region can be reduced compared to the case where the film thickness of the light-shielding film is simply increased to correspond to the double exposure technique. Thereby, the accuracy of the light shielding film pattern in the transfer pattern region can be improved.
Further, since it is not necessary to increase the thickness of the light-shielding film in the transfer pattern region, it is not necessary to increase the thickness of the resist, and the problem of resist pattern collapse and loss is less likely to occur.
In addition, since it is not necessary to increase the thickness of the light shielding film in the transfer pattern region, the bias due to the electromagnetic field (EMF) effect increases as the thickness of the light shielding film increases, and the complexity increases as the film thickness increases. A problem that a large simulation is required and a great load is applied can be avoided.
According to the present invention, the mask blank used as a transfer mask used in the double exposure technique is suppressed to a photosensitivity that does not affect the transfer pattern even if the resist film on the wafer in the overexposed portion is exposed eight times. It is possible to realize that an optical density that can be obtained is obtained at least in the area around the transfer pattern region of the light shielding film, and at the same time, it is possible to cope with without increasing the thickness of the light shielding film in the transfer pattern region.

図1は、本発明のマスクブランクの第1実施形態を示す模式的断面である。FIG. 1 is a schematic cross section showing a first embodiment of a mask blank of the present invention. 図2は、本発明のマスクブランクの第2実施形態を示す模式的断面である。FIG. 2 is a schematic cross section showing a second embodiment of the mask blank of the present invention. 図3は、本発明のマスクブランクの第3実施形態を示す模式的断面である。FIG. 3 is a schematic cross section showing a third embodiment of the mask blank of the present invention. 図4は、薄膜中のMo/Mo+Si比率および窒素含有量と単位膜厚当たりの光学濃度との関係を示す図である。FIG. 4 is a diagram showing the relationship between the Mo / Mo + Si ratio and nitrogen content in the thin film and the optical density per unit film thickness. 図5は、本発明の実施例1に係る転写用マスクの製造工程を説明するための模式的断面である。FIG. 5 is a schematic cross-sectional view for explaining the manufacturing process of the transfer mask according to the first embodiment of the invention. 図6は、本発明の実施例3に係る転写用マスクの製造工程を説明するための模式的断面である。FIG. 6 is a schematic cross-sectional view for explaining the manufacturing process of the transfer mask according to the third embodiment of the invention.

以下、本発明を詳細に説明する。
本発明のマスクブランクは、
ArF露光光が適用されるバイナリ型の転写用マスクを作製するために用いられるマスクブランクであって、
透光性基板上に、基板側から、転写パターンを形成するための遮光膜と、該遮光膜との積層構造で遮光帯を形成するための補助遮光膜とを順に備え、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上である、ことを特徴とする。
Hereinafter, the present invention will be described in detail.
The mask blank of the present invention is
A mask blank used for producing a binary transfer mask to which ArF exposure light is applied,
A light-shielding film for forming a transfer pattern and an auxiliary light-shielding film for forming a light-shielding band in a laminated structure with the light-shielding film are sequentially provided on the light-transmitting substrate from the substrate side,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more.

本発明において、遮光膜10は、ArF露光光が適用されるリソグラフィであって、DRAMハーフピッチ(hp)32nm以降の世代およびダブル露光技術に適した膜厚及び光学濃度を併せ持つ膜である。
従来のシングル露光技術で使用されているものと同程度の特性の材料で形成されたウェハ上のレジスト膜に対し、ダブル露光技術を用い、2枚の転写用マスクで転写パターンを転写しようとする場合、以下のことを考慮する必要がある。
In the present invention, the light-shielding film 10 is a lithography to which ArF exposure light is applied, and is a film having both a film thickness and an optical density suitable for generations after DRAM half pitch (hp) 32 nm and double exposure technology.
A double exposure technique is used to transfer a transfer pattern with two transfer masks to a resist film on a wafer formed of a material having characteristics similar to those used in the conventional single exposure technique. In this case, it is necessary to consider the following.

従来の1枚の転写用マスクを用いるシングル露光技術で、ウェハ上のレジスト膜にほとんど間隔を開けずに転写パターンを連続転写する場合、レジスト膜には、(1)転写パターンを転写するときの転写露光で、転写用マスクの転写パターンの黒部分(遮光膜が残されている遮光部分)をわずかに透過する露光光によって1回露光され(以下、「転写パターン露光」という)、さらに、(2)レジスト膜の隣接する領域に転写パターンを転写するときの転写露光で、転写用マスクの遮光帯をわずかに透過する露光光(漏れ光)によって最大3回露光される(以下、「漏れ光露光」という)領域ができてしまう。従来のバイナリ型の転写用マスクは、転写パターンの黒部分も遮光帯部分も同じ光学濃度の遮光膜で形成されているので、最も重なる部分は漏れ光露光(または転写パターン露光)4回分と同等の露光を受けることになる。従来は、漏れ光露光でレジスト膜が最大4回露光されても、露光転写される転写パターンに影響が生じない光学濃度となるよう、遮光膜の材料や膜厚を選定する。   When the transfer pattern is continuously transferred to the resist film on the wafer with almost no gap by the conventional single exposure technique using one transfer mask, (1) the transfer pattern is transferred to the resist film. In the transfer exposure, exposure is performed once by exposure light that slightly transmits the black portion of the transfer pattern of the transfer mask (the light shielding portion where the light shielding film is left) (hereinafter referred to as “transfer pattern exposure”), and ( 2) In transfer exposure when transferring a transfer pattern to an adjacent region of a resist film, exposure is performed up to three times by exposure light (leakage light) slightly transmitted through a light shielding band of a transfer mask (hereinafter referred to as “leakage light”). An area called “exposure” is created. In conventional binary transfer masks, the black part and the light-shielding zone of the transfer pattern are formed of a light-shielding film with the same optical density, so the most overlapping part is equivalent to four exposures of leaked light (or transfer pattern exposure) Will be exposed. Conventionally, the material and film thickness of the light-shielding film are selected so as to achieve an optical density that does not affect the transfer pattern exposed and transferred even when the resist film is exposed up to four times by leak light exposure.

一方、2枚の転写用マスクを用いるダブル露光技術で、ウェハ上のレジスト膜にほとんど間隔を開けずに転写パターンを連続転写する場合、レジスト膜には、転写パターン露光で2回、漏れ光露光で最大6回露光される。そして、従来と同様に転写パターンの黒部分も遮光帯部分も同じ遮光膜で形成する場合、レジスト膜が漏れ光露光で最大8回露光されても、露光転写される転写パターンに影響が生じないような光学濃度となるように遮光膜の材料や膜厚を選定する必要がある。このため、ダブル露光技術適用の転写用マスクでは、シングル露光技術適用の転写用マスクよりも遮光膜の膜厚を厚くする必要があるという問題があった。   On the other hand, when the transfer pattern is continuously transferred to the resist film on the wafer with almost no gap by the double exposure technique using two transfer masks, the light leakage exposure is performed twice on the resist film by the transfer pattern exposure. Is exposed up to 6 times. In the case where the black portion and the light shielding band portion of the transfer pattern are formed of the same light shielding film as in the conventional case, even if the resist film is exposed up to 8 times by leak light exposure, there is no effect on the transfer pattern exposed and transferred. It is necessary to select the material and film thickness of the light-shielding film so as to obtain such an optical density. For this reason, the transfer mask applied with the double exposure technique has a problem that it is necessary to make the thickness of the light shielding film thicker than the transfer mask applied with the single exposure technique.

本発明では、遮光膜を転写パターンの黒部分を形成するために必要な光学濃度とし、ダブル露光技術適用の転写用マスクの遮光帯を形成するには、遮光膜だけでは不足する光学濃度を、補助遮光膜を積層させて補う構成としている。これにより、転写パターンを形成する部分の遮光膜の膜厚を従来と同等としながら、転写パターン露光2回のほかに、漏れ光露光が最大6回露光されてもレジスト膜の露光転写される転写パターンに影響を受けない光学濃度を有する遮光帯を形成することができる。   In the present invention, the optical density required for forming the black portion of the transfer pattern for the light-shielding film, and the optical density insufficient for the light-shielding film alone to form the light-shielding band of the transfer mask applied with the double exposure technology, The auxiliary light shielding film is laminated to make up for the supplement. As a result, while the film thickness of the light-shielding film at the portion where the transfer pattern is to be formed is equivalent to the conventional one, in addition to the transfer pattern exposure twice, the resist film is exposed and transferred even if leak light exposure is exposed up to six times. A light-shielding band having an optical density that is not affected by the pattern can be formed.

転写パターンの露光転写をウェハ上のレジスト膜に行う場合、転写パターンの黒部分を形成する遮光膜には光学濃度2.5以上が必要である。
従来のシングル露光適用の転写用マスクの場合、4回分の漏れ光露光に相当する露光がウェハ上のレジスト膜にされても転写パターンに影響が生じないようにするには、遮光帯には最低でも光学濃度2.5が確保されている必要がある。すわなち、遮光膜は、最低でも光学濃度2.5となるようにする必要がある。
さらに、これと同程度の感度特性を有するレジスト膜に対し、転写パターンを形成するための遮光膜の光学濃度を従来のシングル露光技術適用の転写用マスクの遮光膜と同じく2.5とし、ダブル露光技術を用いて転写露光する場合、転写パターン露光2回分と漏れ光露光6回分の積算露光量が、前記のシングル露光技術を適用して光学濃度2.5の遮光膜で転写露光したときの漏れ光露光4回分の積算露光量以下となるように、遮光帯の光学濃度を選定する必要がある。この条件を満たす遮光帯の光学濃度は、3.0以上である。
よって、補助遮光膜には0.5以上の光学濃度が必要となる。
When exposure transfer of a transfer pattern is performed on a resist film on a wafer, an optical density of 2.5 or more is required for a light shielding film for forming a black portion of the transfer pattern.
In the case of a conventional transfer mask for single exposure, in order to prevent the transfer pattern from being affected even if exposure corresponding to four exposures of leaked light is applied to the resist film on the wafer, the shading band must be a minimum. However, an optical density of 2.5 needs to be ensured. That is, the light shielding film needs to have an optical density of 2.5 at the minimum.
Furthermore, for a resist film having the same sensitivity characteristics as this, the optical density of the light shielding film for forming the transfer pattern is set to 2.5, which is the same as the light shielding film of the transfer mask applied with the conventional single exposure technique. When the transfer exposure is performed using the exposure technique, the integrated exposure amount corresponding to two transfer pattern exposures and six leaked light exposures is obtained when the above-described single exposure technique is applied to transfer exposure with a light-shielding film having an optical density of 2.5. It is necessary to select the optical density of the light-shielding band so as to be less than or equal to the integrated exposure amount for four leaked light exposures. The optical density of the light shielding zone that satisfies this condition is 3.0 or more.
Therefore, an optical density of 0.5 or more is required for the auxiliary light shielding film.

なお、ウェハ上のレジスト膜の感光特性によって、転写パターンの黒部分を形成する遮光膜に必要とされる光学濃度は異なる。感度の高めのレジスト膜に対して転写露光する場合には、遮光膜の光学濃度は2.6以上が好ましく、より感度の高いレジスト膜に対しては、遮光膜の光学濃度は2.8以上が望ましい。また、遮光膜の光学濃度が2.6の場合において、補助遮光膜に必要な光学濃度は0.5以上であり、遮光膜の光学濃度が2.8の場合において、補助遮光膜に必要な光学濃度も0.5以上である。一方、バイナリ型の転写用マスクの転写パターンが形成される遮光膜については、光学濃度がより高いことが理想的である。しかし、遮光膜の光学濃度を材料の選定で高めるのには限界があり、光学濃度を高くしていくに従って必然的に膜厚が厚くなっていく。転写パターンを形成する遮光膜の膜厚が厚くなるに従い、遮光膜をドライエッチングして転写パターンを転写するときのレジストパターンの膜厚が厚くなっていき、レジストパターンの倒れや欠落が生じやすくなり、電磁界(EMF)効果に起因するバイアスに係る負荷が大きくなっていく。これらの関係から考慮すると、転写パターンを形成する遮光膜は、光学濃度3.1以下とすることが好ましく、光学濃度3.0以下がより好ましい。なお、光学濃度3.1の遮光膜の場合、補助遮光膜に必要な光学濃度は0.5以上である。   The optical density required for the light-shielding film for forming the black portion of the transfer pattern varies depending on the photosensitive characteristics of the resist film on the wafer. When transfer exposure is performed on a resist film with high sensitivity, the optical density of the light shielding film is preferably 2.6 or more, and for a resist film with higher sensitivity, the optical density of the light shielding film is 2.8 or more. Is desirable. Further, when the optical density of the light shielding film is 2.6, the optical density required for the auxiliary light shielding film is 0.5 or more, and when the optical density of the light shielding film is 2.8, it is necessary for the auxiliary light shielding film. The optical density is also 0.5 or more. On the other hand, it is ideal that the light shielding film on which the transfer pattern of the binary transfer mask is formed has a higher optical density. However, there is a limit to increasing the optical density of the light shielding film by selecting a material, and the film thickness inevitably increases as the optical density is increased. As the thickness of the light-shielding film that forms the transfer pattern increases, the resist pattern becomes thicker when the light-shielding film is dry-etched to transfer the transfer pattern. The load related to the bias due to the electromagnetic field (EMF) effect increases. Considering these relationships, the light shielding film for forming the transfer pattern preferably has an optical density of 3.1 or less, and more preferably an optical density of 3.0 or less. In the case of a light shielding film having an optical density of 3.1, the optical density required for the auxiliary light shielding film is 0.5 or more.

補助遮光膜の光学濃度は、ウェハ上のレジスト膜の感光特性や露光装置の露光条件等を総合的に考慮して選定する必要がある。これらの条件を変更したときの影響を小さくするためには、光学濃度は0.7以上が好ましく、1.0以上がさらに好ましい。
本発明では、遮光膜と、補助遮光膜は、別の膜として構成しているため、ダブル露光において、遮光帯を形成すべき領域にさらに高い光学濃度(3.3以上、更には3.5以上)が必要となった場合においても、転写パターン領域における遮光膜パターンの厚さに影響を与えることなく、補助遮光膜を厚くすることで、容易に対応できる。
一方、後述のように、補助遮光膜は、遮光膜に転写パターンを転写するときのエッチングマスクとしても機能する。この場合、レジストパターンをマスクに補助遮光膜をドライエッチングすることになるため、レジストパターンの膜厚をより薄くするには、補助遮光膜の膜厚が厚くなることは好ましくない。これらのことを考慮すると、補助遮光膜の光学濃度は、1.5以下が好ましく、1.2以下がさらに好ましい。
The optical density of the auxiliary light shielding film needs to be selected in consideration of the photosensitive characteristics of the resist film on the wafer and the exposure conditions of the exposure apparatus. In order to reduce the influence when these conditions are changed, the optical density is preferably 0.7 or more, and more preferably 1.0 or more.
In the present invention, the light-shielding film and the auxiliary light-shielding film are formed as separate films. Therefore, in double exposure, a higher optical density (3.3 or more, or 3.5 or more) is formed in a region where a light-shielding band is to be formed. Even when the above is necessary, the auxiliary light shielding film can be easily made thick without affecting the thickness of the light shielding film pattern in the transfer pattern region.
On the other hand, as will be described later, the auxiliary light shielding film also functions as an etching mask when the transfer pattern is transferred to the light shielding film. In this case, since the auxiliary light shielding film is dry-etched using the resist pattern as a mask, it is not preferable that the thickness of the auxiliary light shielding film is increased in order to make the resist pattern thinner. Considering these things, the optical density of the auxiliary light shielding film is preferably 1.5 or less, and more preferably 1.2 or less.

本発明のマスクブランクは、ダブル露光技術が適用される転写用マスクを作製するための用途に適する。   The mask blank of the present invention is suitable for use for producing a transfer mask to which the double exposure technique is applied.

本発明において、前記遮光膜は、遮光層と表面反射防止層の積層構造とすることができる。
図1は、本発明の第1の実施形態に係るマスクブランクの一例を示す。
第1の実施形態は、図1に示すように、透光性基板1上に、遮光層11と表面反射防止層12の積層構造からなる遮光膜10と、遮光膜10上に形成された補助遮光膜20と、レジスト膜100を有する。
In the present invention, the light shielding film may have a laminated structure of a light shielding layer and a surface antireflection layer.
FIG. 1 shows an example of a mask blank according to the first embodiment of the present invention.
In the first embodiment, as shown in FIG. 1, a light shielding film 10 having a laminated structure of a light shielding layer 11 and a surface antireflection layer 12 on an optically transparent substrate 1, and an auxiliary formed on the light shielding film 10. A light shielding film 20 and a resist film 100 are provided.

本発明において、前記遮光膜は、前記基板と前記遮光層との間に裏面反射防止層を備える構造とすることができる。
図2は、本発明の第2の実施形態に係るマスクブランクの一例を示す。
第2の実施形態は、図2に示すように、透光性基板1上に、裏面反射防止層13と遮光層11と表面反射防止層12の積層構造からなる遮光膜10と、遮光膜10上に形成された補助遮光膜20と、レジスト膜100を有する。
In the present invention, the light shielding film may have a structure including a back surface antireflection layer between the substrate and the light shielding layer.
FIG. 2 shows an example of a mask blank according to the second embodiment of the present invention.
In the second embodiment, as shown in FIG. 2, a light shielding film 10 having a laminated structure of a back surface antireflection layer 13, a light shielding layer 11, and a surface antireflection layer 12 on a light transmitting substrate 1, and the light shielding film 10. It has an auxiliary light shielding film 20 formed thereon and a resist film 100.

本発明において、前記補助遮光膜は、前記遮光膜をエッチングする際に用いられるエッ
チング媒質に対してエッチング選択性を有することが好ましい。
図1、図2に示す実施形態のように、遮光膜と接して補助遮光膜が形成される態様において、転写パターン領域に遮光膜のみで転写パターンが形成され、転写パターン領域の外周領域に、遮光膜と補助遮光膜の積層構造を有する遮光帯が形成された転写用マスクを作製可能とするためである。また、補助遮光膜をエッチングマスクとして、遮光膜をエッチングする構成とすることで、レジスト膜の薄膜化を図り、転写パターンを高精度に加工するためである。
In the present invention, the auxiliary light shielding film preferably has etching selectivity with respect to an etching medium used when the light shielding film is etched.
In the embodiment in which the auxiliary light shielding film is formed in contact with the light shielding film as in the embodiment shown in FIGS. 1 and 2, the transfer pattern is formed only with the light shielding film in the transfer pattern area, and in the outer peripheral area of the transfer pattern area, This is because a transfer mask having a light shielding band having a laminated structure of a light shielding film and an auxiliary light shielding film can be manufactured. In addition, by using the auxiliary light shielding film as an etching mask and etching the light shielding film, the resist film is thinned and the transfer pattern is processed with high accuracy.

本発明において、前記遮光膜は、遷移金属とケイ素を主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、構成とすることができる。
フッ素系ガスのエッチングガスによるドライエッチングに対し、遷移金属とケイ素を主成分とする膜はエッチングレートが高く、クロムを主成分とする膜はエッチングレートが大幅に低く、高いエッチング選択性を有している。また、塩素と酸素の混合ガスによるドライエッチングに対し、クロムを主成分とする膜はエッチングレートが高く、遷移金属とケイ素を主成分とする膜はエッチングレートが大幅に低く、高いエッチング選択性を有している。よって、補助遮光膜は、遮光膜をドライエッチングする際のエッチングマスクとして十分に機能する。また、クロムを主成分とする膜は、レジストの濡れ性が良好であるという利点もある。
In this invention, the said light shielding film can be set as the film | membrane which has a transition metal and silicon as a main component, and the said auxiliary | assistant light shielding film is a film | membrane which has chromium as a main component.
Compared to dry etching with fluorine-based gas, etching films with transition metals and silicon as the main component have high etching rates, and films with chromium as the main component have significantly lower etching rates and high etching selectivity. ing. In contrast to dry etching using a mixed gas of chlorine and oxygen, a film containing chromium as a main component has a high etching rate, and a film containing transition metal and silicon as a main component has a significantly low etching rate, resulting in high etching selectivity. Have. Therefore, the auxiliary light shielding film sufficiently functions as an etching mask when the light shielding film is dry-etched. Further, a film containing chromium as a main component has an advantage that the wettability of the resist is good.

本発明において、前記遮光膜は、クロムを主成分とする膜であり、前記補助遮光膜は、遷移金属とケイ素を主成分とする膜である、構成とすることができる。
前記と同様の理由から、補助遮光膜は、遮光膜をドライエッチングする際のエッチングマスクとして十分に機能する。また、クロムを主成分とする膜は、透光性基板に対して高いエッチング選択性を有しているため、遮光膜をドライエッチングするときに基板を掘り込んでしまう恐れが非常に小さいという利点もある。
In this invention, the said light shielding film can be set as the film | membrane which has chromium as a main component, and the said auxiliary | assistant light shielding film is a film | membrane which has a transition metal and silicon as a main component.
For the same reason as described above, the auxiliary light shielding film sufficiently functions as an etching mask when the light shielding film is dry-etched. In addition, since the film containing chromium as a main component has high etching selectivity with respect to the light-transmitting substrate, there is a very small possibility that the substrate is dug when the light-shielding film is dry-etched. There is also.

本発明において、前記遮光膜は、タンタルを主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、構成とすることができる。
フッ素系ガスのエッチングガスによるドライエッチングに対し、タンタルを主成分とする膜はエッチングレートが高く、クロムを主成分とする膜はエッチングレートが大幅に低く、高いエッチング選択性を有している。また、塩素と酸素の混合ガスによるドライエッチングに対し、クロムを主成分とする膜はエッチングレートが高く、タンタルを主成分とする膜はエッチングレートが大幅に低く、高いエッチング選択性を有している。よって、補助遮光膜は、遮光膜をドライエッチングする際のエッチングマスクとして十分に機能する。また、クロムを主成分とする膜は、レジストの濡れ性が良好であるという利点もある。
In the present invention, the light shielding film may be a film containing tantalum as a main component, and the auxiliary light shielding film may be a film containing chromium as a main component.
Compared with dry etching using an etching gas of fluorine-based gas, a film containing tantalum as a main component has a high etching rate, and a film containing chrome as a main component has a significantly low etching rate and has high etching selectivity. In contrast to dry etching using a mixed gas of chlorine and oxygen, a film containing chromium as a main component has a high etching rate, and a film containing tantalum as a main component has a significantly low etching rate and has high etching selectivity. Yes. Therefore, the auxiliary light shielding film sufficiently functions as an etching mask when the light shielding film is dry-etched. Further, a film containing chromium as a main component has an advantage that the wettability of the resist is good.

本発明において、前記遮光膜と前記補助遮光膜の間に、これらの膜をエッチングする際に用いられるエッチング媒質に対してエッチング選択性を有するエッチングマスク膜を設ける構造とすることができる。
図3は、本発明の第3の実施形態に係るマスクブランクの一例を示す。
第3の実施形態は、図3に示すように、透光性基板1上に、遮光層11と表面反射防止層12の積層構造からなる遮光膜10と、遮光膜10上に形成されたエッチングマスク膜21と、その上に形成された補助遮光膜22と、レジスト膜100を有する。
In the present invention, an etching mask film having etching selectivity with respect to an etching medium used for etching these films may be provided between the light shielding film and the auxiliary light shielding film.
FIG. 3 shows an example of a mask blank according to the third embodiment of the present invention.
In the third embodiment, as shown in FIG. 3, a light shielding film 10 having a laminated structure of a light shielding layer 11 and a surface antireflection layer 12 on an optically transparent substrate 1, and etching formed on the light shielding film 10. The mask film 21, the auxiliary light shielding film 22 formed thereon, and the resist film 100 are included.

上記図3の第3の実施形態のように、遮光膜10と補助遮光膜22の間にエッチングマスク膜21を設ける構成とすることにより、光学濃度の下限の制約のある補助遮光膜20をエッチングマスクとして用いる場合(例えば図1、図2)に比べて、エッチングマスクを薄膜化することができるため、遮光膜10に、より高い精度で転写パターンを形成できる。
なお、補助遮光膜22に、遮光膜10をエッチングするときのエッチングガスでエッチングされる材料を選定することができる。補助遮光膜22に遮光膜10で適用したものと同じ材料を適用することができる。
As in the third embodiment shown in FIG. 3, the etching mask film 21 is provided between the light shielding film 10 and the auxiliary light shielding film 22, so that the auxiliary light shielding film 20 having a lower limit of optical density is etched. Since the etching mask can be made thinner than when used as a mask (for example, FIGS. 1 and 2), a transfer pattern can be formed on the light shielding film 10 with higher accuracy.
For the auxiliary light shielding film 22, a material that is etched with an etching gas when the light shielding film 10 is etched can be selected. The same material as that applied to the light shielding film 10 can be applied to the auxiliary light shielding film 22.

本発明において、前記遮光膜、前記補助遮光膜ともに、遷移金属とケイ素を主成分とする膜であり、さらにクロムを主成分とするエッチングマスク膜を有する、構成とすることができる。   In the present invention, both the light shielding film and the auxiliary light shielding film can be configured to be a film containing transition metal and silicon as main components and further having an etching mask film containing chromium as a main component.

本発明において、前記遮光膜、前記補助遮光膜ともに、クロムを主成分とする膜であり、さらに遷移金属とケイ素を主成分とするエッチングマスク膜を有する、構成とすることができる。   In the present invention, both the light-shielding film and the auxiliary light-shielding film can be configured to be a film containing chromium as a main component and further having an etching mask film containing transition metal and silicon as main components.

本発明において、遷移金属とケイ素を主成分とする膜としては、遷移金属とケイ素に窒素、酸素、炭素、水素、不活性ガス(ヘリウム,アルゴン,キセノン等)、ホウ素等を含む化合物、などが挙げられる。ここで、遷移金属(M)としては、モリブデン(Mo)、タンタル(Ta)、クロム(Cr)、タングステン(W)、チタン(Ti)、ジルコニウム(Zr)、バナジウム(V)、ニオブ(Nb)、ニッケル(Ni)、パラジウム(Pb)の何れか一つ又は合金、などが挙げられる。
本発明において、クロムを主成分とする膜としては、クロム単体や、クロムに酸素、窒素、炭素、水素、ホウ素からなる元素を少なくとも1種を含むもの(Crを含む材料)、などの材料が挙げられる。
本発明において、タンタルを主成分とする膜としては、タンタル単体や、タンタルに酸素、窒素、炭素、水素、ホウ素からなる元素を少なくとも1種を含むもの(タンタルを含む材料)、などの材料が挙げられる。
本発明において、前記遮光膜、前記補助遮光膜、前記エッチングマスク膜は、いずれも、単層、複数層構造とすることができる。複数層構造では、異なる組成で段階的に形成した複数層構造や、連続的に組成が変化した膜構造とすることができる。
In the present invention, the film containing transition metal and silicon as main components includes compounds containing transition metal and silicon containing nitrogen, oxygen, carbon, hydrogen, inert gas (helium, argon, xenon, etc.), boron, and the like. Can be mentioned. Here, as the transition metal (M), molybdenum (Mo), tantalum (Ta), chromium (Cr), tungsten (W), titanium (Ti), zirconium (Zr), vanadium (V), niobium (Nb) , Nickel (Ni), palladium (Pb), or an alloy thereof.
In the present invention, the film containing chromium as a main component may be a material such as chromium alone or a material containing at least one element composed of oxygen, nitrogen, carbon, hydrogen, and boron (a material containing Cr). Can be mentioned.
In the present invention, the film containing tantalum as a main component includes materials such as tantalum alone and tantalum containing at least one element composed of oxygen, nitrogen, carbon, hydrogen, and boron (material containing tantalum). Can be mentioned.
In the present invention, each of the light shielding film, the auxiliary light shielding film, and the etching mask film may have a single-layer structure or a multi-layer structure. The multi-layer structure can be a multi-layer structure formed stepwise with different compositions, or a film structure in which the composition is continuously changed.

本発明において、遮光膜10における遮光層11は、遮光性が非常に高い材料が好ましく、クロムに比べ遮光性が高い材料で構成することが好ましい。
遮光層11は、クロム系に比べ、光学濃度の高い、遷移金属とケイ素を含む材料、Ta系材料を用いることが好ましい。また、これらの材料について光学濃度を更に高めるべく開発された材料を用いることが好ましい。
遮光層11は、遮光性を極限まで高めた材料(高MoSi系)が好ましい。遮光層11は、Ta系材料(TaN,TaB,TaC,TaBC,TaBN,TaCN,TaBCN等)を用いることもできる。特に、遮光層11の材料としてTa系窒化物を用いる場合、遮光膜10を単層(表面反射防止層12のない構成)としても、表面反射率や裏面反射率をある程度は抑制することが可能である。これにより、表面反射率や裏面反射率の上限が緩い場合においては、遮光膜10を大幅に薄膜化することができる。
In the present invention, the light shielding layer 11 in the light shielding film 10 is preferably made of a material having a very high light shielding property, and is preferably composed of a material having a light shielding property compared to chromium.
The light shielding layer 11 is preferably made of a Ta-based material or a material containing a transition metal and silicon having a higher optical density than that of a chromium-based layer. Moreover, it is preferable to use the material developed in order to raise optical density further about these materials.
The light shielding layer 11 is preferably made of a material (high MoSi type) having a light shielding property enhanced to the limit. The light shielding layer 11 may be made of a Ta-based material (TaN, TaB, TaC, TaBC, TaBN, TaCN, TaBCN, etc.). In particular, when Ta-based nitride is used as the material of the light shielding layer 11, even if the light shielding film 10 is a single layer (a configuration without the front surface antireflection layer 12), the surface reflectance and the back surface reflectance can be suppressed to some extent. It is. Thereby, when the upper limit of the surface reflectance and the back surface reflectance is loose, the light-shielding film 10 can be significantly thinned.

本発明において、遮光膜10における表面反射防止層12は、遷移金属とケイ素に、さらに酸素および窒素から選ばれる少なくとも1つ以上の元素を含む材料を主成分とすることが好ましい。
表面反射防止層12は、遮光層との積層構造で所定値以上の表面反射率が得られるのであれば、基本的にいずれの材料でも適用可能ではあるが、遮光層11と同じターゲットで成膜できる材料を用いることが好ましい。遮光層11に遷移金属とケイ素を主成分とする材料を適用した場合には、表面反射防止層12には、遷移金属(M)とケイ素(Si)を主成分とする材料(MSiO,MSiN,MSiON,MSiOC,MSiCN,MSiOCN等)が好ましい。これらのうちでも、耐薬品性、耐熱性の観点からはMSiO、MSiONが好ましく、ブランクス欠陥品質の観点からMSiONが好ましい。また、遮光層11にTa系材料を適用した場合には、表面反射防止層12には、Taを主成分とする材料(TaO,TaON,TaBO,TaBON,TaCO,TaCON,TaBCO,TaBCON等)が好ましい。
In the present invention, the surface antireflection layer 12 in the light shielding film 10 is preferably composed mainly of a material containing at least one element selected from oxygen and nitrogen in addition to transition metal and silicon.
The surface antireflection layer 12 is basically applicable to any material as long as a surface reflectance of a predetermined value or more can be obtained in a laminated structure with the light shielding layer, but is formed with the same target as the light shielding layer 11. It is preferable to use a material that can be used. When a material mainly composed of a transition metal and silicon is applied to the light shielding layer 11, the surface antireflection layer 12 is composed of a material mainly composed of a transition metal (M) and silicon (Si) (MSiO, MSiN, MSiON, MSiOC, MSiCN, MSiOCN, etc.) are preferred. Among these, MSiO and MSiON are preferable from the viewpoint of chemical resistance and heat resistance, and MSiON is preferable from the viewpoint of blanks defect quality. When a Ta-based material is applied to the light shielding layer 11, a material mainly composed of Ta (TaO, TaON, TaBO, TaBON, TaCO, TaCON, TaBCO, TaBCON, etc.) is used for the surface antireflection layer 12. preferable.

遮光膜10の全体での光学濃度は、ほとんど遮光層11が寄与するものである。表面反射防止層12は、露光装置の縮小光学系のレンズで反射される一部の露光光を遮光膜10でさらに反射してしまうことを抑制するために設けているものであり、露光光がある程度透過するように調整されている。これにより、遮光膜10の表面での全反射を抑制し、干渉効果を利用する等して露光光を減衰させることができている。表面反射防止層12は、この所定の透過率が得られるように設計されていることから、遮光膜10全体への光学濃度の寄与度は小さい。裏面反射防止層13を備える遮光膜10の場合においても、同様の理由から、遮光膜10全体への光学濃度の寄与度は小さい。以上のことから、遮光膜10の光学濃度の調整は、基本的に遮光層11で行う。すなわち、遮光層11で光学濃度2.5以上を確保できると望ましく、2.8以上を確保できるとより望ましい。   The optical density of the entire light shielding film 10 is almost contributed by the light shielding layer 11. The surface antireflection layer 12 is provided in order to prevent a part of the exposure light reflected by the lens of the reduction optical system of the exposure apparatus from being further reflected by the light shielding film 10. It is adjusted to transmit to some extent. Thereby, the total reflection on the surface of the light shielding film 10 is suppressed, and the exposure light can be attenuated by utilizing the interference effect. Since the surface antireflection layer 12 is designed to obtain this predetermined transmittance, the contribution of the optical density to the entire light shielding film 10 is small. Even in the case of the light shielding film 10 including the back surface antireflection layer 13, the contribution of the optical density to the entire light shielding film 10 is small for the same reason. From the above, the adjustment of the optical density of the light shielding film 10 is basically performed by the light shielding layer 11. That is, it is desirable if the light shielding layer 11 can secure an optical density of 2.5 or more, and more desirably 2.8 or more.

遮光膜10のArF露光光に対する表面反射率としては、30%以下を確保する必要性が高く、25%以下であると好ましく、遮光膜1全体の膜厚が許容範囲内であれば20%以下が確保できると最も好ましい。
また、表面反射率を所定値以下に抑制するためには、表面反射防止層12の膜厚は5nmよりも大きいことが望ましい。また、より低反射率とするには、膜厚を7nm以上とすることが望ましい。さらに、生産安定性の観点や、転写用マスクを作製した後のマスク洗浄の繰り返しによる表面反射防止層12の膜減りを考慮すると、表面反射防止層12の膜厚は10nm以上あると好ましい。遮光膜10全体での薄膜化を考慮すると、遮光膜の光学濃度への寄与度の低い表面反射防止層12の膜厚は、20nm以下であることが望ましく、15nm以下であるとより望ましい。さらに、電磁界(EMF)効果に係るシミュレーション負荷をより軽減させるためには、12nm以下であることが望まれる。
The surface reflectance of the light shielding film 10 with respect to ArF exposure light is highly required to be 30% or less, preferably 25% or less, and 20% or less if the entire thickness of the light shielding film 1 is within an allowable range. Is most preferable.
In order to suppress the surface reflectance below a predetermined value, the thickness of the surface antireflection layer 12 is desirably larger than 5 nm. In order to obtain a lower reflectance, the film thickness is desirably 7 nm or more. Further, considering the production stability and the reduction in the thickness of the surface antireflection layer 12 due to repeated mask cleaning after the transfer mask is produced, the thickness of the surface antireflection layer 12 is preferably 10 nm or more. Considering the reduction of the thickness of the entire light shielding film 10, the thickness of the surface antireflection layer 12 having a low contribution to the optical density of the light shielding film is preferably 20 nm or less, and more preferably 15 nm or less. Furthermore, in order to further reduce the simulation load related to the electromagnetic field (EMF) effect, it is desired to be 12 nm or less.

遮光膜10のArF露光光に対する裏面反射率としては、40%以下を確保する必要性が高く、35%以下であると好ましく、遮光膜1全体の膜厚が許容範囲内であれば30%以下が確保できると最も好ましい。
裏面反射防止層13を備える3層積層構造の遮光膜10の場合、裏面反射率を所定値以下に抑制するためには、裏面反射防止層13の膜厚は5nmよりも大きいことが望ましい。また、より低反射率とするには、膜厚を7nm以上とすることが望ましい。遮光膜10全体での薄膜化を考慮すると、遮光膜の光学濃度への寄与度の低い裏面反射防止層13の膜厚は、15nm以下であることが望ましく、12nm以下であるとより望ましい。さらに、電磁界(EMF)効果に係るシミュレーション負荷をより軽減させるためには、10nm以下であることが望まれる。
The back surface reflectance of the light shielding film 10 with respect to ArF exposure light is highly required to be 40% or less, preferably 35% or less, and 30% or less if the entire thickness of the light shielding film 1 is within an allowable range. Is most preferable.
In the case of the light-shielding film 10 having a three-layer structure including the back surface antireflection layer 13, it is desirable that the film thickness of the back surface antireflection layer 13 is larger than 5 nm in order to suppress the back surface reflectance to a predetermined value or less. In order to obtain a lower reflectance, the film thickness is desirably 7 nm or more. Considering the reduction of the thickness of the entire light shielding film 10, the thickness of the back surface antireflection layer 13 having a low contribution to the optical density of the light shielding film is desirably 15 nm or less, and more desirably 12 nm or less. Furthermore, in order to further reduce the simulation load related to the electromagnetic field (EMF) effect, it is desired to be 10 nm or less.

上述したように、遮光膜10における遮光層11は、遮光性が非常に高い材料が好ましく、クロムに比べ遮光性が高い材料で構成することが好ましい。
遷移金属とケイ素を含有する材料は、クロムに比べて遮光性が高い。図4に、遮光膜10中に遷移金属のモリブデンとケイ素と窒素を含有する薄膜において、膜中の窒素含有量が0原子%,10原子%,20原子%,30原子%,35原子%,40原子%のそれぞれの場合について、膜中のモリブデンの含有量をモリブデンとケイ素の合計含有量で除した比率(すなわち、遮光膜中のモリブデンとケイ素の合計含有量を100としたときのモリブデンの含有量の比率を原子%で表したもの。以下、(Mo/Mo+Si)比率という。)と、単位膜厚当たりの光学濃度(ΔOD[/nm@193.4nm])との関係を示す。遮光膜10の窒素含有量が増加するに従い、単位膜厚当たりの光学濃度は低下していく。一方、(Mo/Mo+Si)比率については、所定比率までは増加するに従い、単位膜厚当たりの光学濃度も増加する関係になるが、40原子%以下で、いずれの窒素含有量の場合も頭打ちの傾向を示している。モリブデンとケイ素を含有する材料は、モリブデンの含有量が高いと、耐薬性や耐洗浄性(特に、アルカリ洗浄や温水洗浄)が低下するという問題がある。これらのことを考慮すると、転写用マスクとして使用する際の必要最低限の耐薬性、耐洗浄性を確保できるモリブデンの含有量である40原子%を上限とすることが好ましい。
As described above, the light shielding layer 11 in the light shielding film 10 is preferably made of a material having a very high light shielding property, and is preferably made of a material having a light shielding property higher than that of chromium.
A material containing a transition metal and silicon has higher light shielding properties than chromium. FIG. 4 shows a thin film containing transition metals molybdenum, silicon and nitrogen in the light-shielding film 10, and the nitrogen content in the film is 0 atomic%, 10 atomic%, 20 atomic%, 30 atomic%, 35 atomic%, In each case of 40 atomic%, the ratio of molybdenum content in the film divided by the total content of molybdenum and silicon (that is, molybdenum content when the total content of molybdenum and silicon in the light-shielding film is 100) The ratio of content expressed in atomic%, hereinafter referred to as (Mo / Mo + Si) ratio), and the relationship between the optical density per unit film thickness (ΔOD [/nm@193.4 nm]). As the nitrogen content of the light shielding film 10 increases, the optical density per unit film thickness decreases. On the other hand, as for the (Mo / Mo + Si) ratio, the optical density per unit film thickness increases as the ratio increases up to a predetermined ratio. It shows a trend. A material containing molybdenum and silicon has a problem that when the content of molybdenum is high, chemical resistance and cleaning resistance (particularly alkali cleaning and hot water cleaning) decrease. In consideration of these matters, it is preferable to set the upper limit to 40 atom%, which is a molybdenum content capable of ensuring the minimum necessary chemical resistance and washing resistance when used as a transfer mask.

遮光膜10に転写パターンを転写するためのレジスト膜の薄膜化や、電磁界(EMF)効果に起因するシミュレーション負荷の軽減を考慮すると、遮光膜10の膜厚は、少なくとも65nm未満とすべきであり、60nm以下とすることが望ましい。また、前述の通り、遮光膜10は、遮光層11と表面反射防止膜12の2層積層構造、あるいはさらに遮光層11と透光性基板1との間に裏面反射防止層13を備える3層積層構造とすることが通常である。これらの条件を考慮すると、遮光層11の単位膜厚当たりの光学濃度は、ΔOD=0.05[/nm@193.4nm]以上であることが少なくとも望まれる。この場合、遮光層11の窒素含有量を40原子%以下とする必要がある。また、窒素含有量が40原子%の場合、(Mo/Mo+Si)比率は、15原子%以上28原子%以下とする必要がある。   In consideration of thinning of the resist film for transferring the transfer pattern to the light shielding film 10 and reduction of the simulation load caused by the electromagnetic field (EMF) effect, the thickness of the light shielding film 10 should be at least less than 65 nm. Yes, it is desirable to be 60 nm or less. Further, as described above, the light-shielding film 10 has a two-layer laminated structure of the light-shielding layer 11 and the front-surface antireflection film 12, or three layers including the back-surface antireflection layer 13 between the light-shielding layer 11 and the translucent substrate 1. It is usual to have a laminated structure. Considering these conditions, it is desirable that the optical density per unit film thickness of the light shielding layer 11 is at least ΔOD = 0.05 [/nm@193.4 nm] or more. In this case, the nitrogen content of the light shielding layer 11 needs to be 40 atomic% or less. When the nitrogen content is 40 atomic%, the (Mo / Mo + Si) ratio needs to be 15 atomic% or more and 28 atomic% or less.

遮光膜10の薄膜化を考慮すると、ΔOD=0.06[/nm@193.4nm]以上であることが望ましい。この場合、遮光層11の窒素含有量を33原子%以下とする必要がある。窒素含有量が33原子%の場合、(Mo/Mo+Si)比率は、20原子%以上30原子%以下とする必要があり、窒素含有量が30原子%の場合、(Mo/Mo+Si)比率は、15原子%以上33原子%以下とする必要がある。さらに、EMF効果に係るシミュレーション負荷のさらなる軽減を考慮すると、遮光層は、ΔOD=0.07[/nm@193.4nm]以上であることがより望ましい。この場合、遮光層11の窒素含有量を23原子%以下とする必要がある。窒素含有量が23原子%の場合、(Mo/Mo+Si)比率は、20原子%以上30原子%以下とする必要があり、窒素含有量が20原子%の場合、(Mo/Mo+Si)比率は、17原子%以上33原子%以下とする必要がある。遮光層11の窒素含有量が10原子%以上であると、裏面反射防止層13を備えない構成(遮光層11と表面反射防止層12の2層積層構造)であっても裏面反射率を露光転写に影響のない範囲に抑制することが可能である。種々の露光条件に対応することを考慮すると、裏面反射率を30%以下に抑制することが求められる。裏面反射防止層13を備えない構成でこれを達成するには、遮光層11の窒素含有量を20原子%以上とすることが望ましい。遮光層11の窒素含有量が10原子%未満の方が光学濃度は高いが、裏面反射防止層13を設けて裏面反射率を低減させる必要が生じる。   Considering the reduction of the thickness of the light shielding film 10, it is desirable that ΔOD = 0.06 [/nm@193.4 nm] or more. In this case, the nitrogen content of the light shielding layer 11 needs to be 33 atomic% or less. When the nitrogen content is 33 atomic%, the (Mo / Mo + Si) ratio needs to be 20 atomic% or more and 30 atomic% or less, and when the nitrogen content is 30 atomic%, the (Mo / Mo + Si) ratio is It is necessary to be 15 atomic% or more and 33 atomic% or less. Furthermore, in consideration of further reduction of the simulation load related to the EMF effect, it is more desirable that the light shielding layer has ΔOD = 0.07 [/nm@193.4 nm] or more. In this case, the nitrogen content of the light shielding layer 11 needs to be 23 atomic% or less. When the nitrogen content is 23 atomic%, the (Mo / Mo + Si) ratio needs to be 20 atomic% or more and 30 atomic% or less. When the nitrogen content is 20 atomic%, the (Mo / Mo + Si) ratio is It is necessary to be 17 atomic% or more and 33 atomic% or less. When the nitrogen content of the light shielding layer 11 is 10 atomic% or more, the back surface reflectance is exposed even in a configuration without the back surface antireflection layer 13 (two-layer structure of the light shielding layer 11 and the front surface antireflection layer 12). It is possible to suppress to a range that does not affect the transfer. In consideration of dealing with various exposure conditions, it is required to suppress the back surface reflectance to 30% or less. In order to achieve this in a configuration without the back surface antireflection layer 13, it is desirable that the nitrogen content of the light shielding layer 11 is 20 atomic% or more. Although the optical density is higher when the nitrogen content of the light shielding layer 11 is less than 10 atomic%, it is necessary to reduce the back surface reflectance by providing the back surface antireflection layer 13.

なお、図4では、遷移金属にモリブデンを適用した場合について傾向を示したが、他の遷移金属を適用した場合についても概ね同様の傾向を示す。また、酸素は、層中の含有量に対する消衰係数の低下度合が窒素に比べて大きく、酸素の含有に率に比例して遮光層11に必要な膜厚がより厚くなってしまう。窒素だけでも露光光に対する裏面反射率を低減させることは可能であることから、下層の酸素の含有量は、10原子%未満であることが好ましく、さらに好ましくは、酸素を実質的に含有しない(コンタミ等によって含有されることを許容する程度)ことが好ましい。   In addition, in FIG. 4, although the tendency was shown about the case where molybdenum is applied to a transition metal, the same tendency is shown also about the case where another transition metal is applied. In addition, the degree of decrease in the extinction coefficient with respect to the content in the layer is larger than that in nitrogen, and the film thickness required for the light shielding layer 11 is increased in proportion to the proportion of oxygen. Since it is possible to reduce the back surface reflectance with respect to exposure light with nitrogen alone, the content of oxygen in the lower layer is preferably less than 10 atomic%, and more preferably contains substantially no oxygen ( It is preferable that it is allowed to be contained by contamination or the like.

なお、遷移金属とケイ素を含む遮光層は、上記の特性、作用効果を損なわない範囲(10%未満)で、他の元素(炭素、不活性ガス(ヘリウム、水素、アルゴン、キセノン等)等)を含んでも良い。   In addition, the light-shielding layer containing transition metal and silicon has other elements (carbon, inert gas (helium, hydrogen, argon, xenon, etc.), etc.) within the range (less than 10%) that does not impair the above characteristics and effects. May be included.

本発明において、MoSiで実質的に構成される遮光層、MoSiCHで実質的に構成される遮光層等は、スパッタ室内のガス圧、加熱処理によって引張応力と圧縮応力を自在に制御可能である。例えば、MoSi遮光層、MoSiCH遮光層等の膜応力を引張応力となるよう制御することによって、反射防止層(例えばMoSiON)の圧縮応力と調和が取れる。つまり、遮光膜を構成する各層の応力を相殺でき、遮光膜の膜応力を極力低減できる(実質的にゼロにできる)。   In the present invention, the light shielding layer substantially composed of MoSi, the light shielding layer substantially composed of MoSiCH, and the like can freely control tensile stress and compressive stress by gas pressure and heat treatment in the sputtering chamber. For example, by controlling the film stress of the MoSi light-shielding layer, the MoSiCH light-shielding layer, etc. so as to be a tensile stress, it is possible to match the compressive stress of the antireflection layer (for example, MoSiON). That is, the stress of each layer constituting the light shielding film can be offset, and the film stress of the light shielding film can be reduced as much as possible (can be substantially zero).

本発明において、表面反射防止層にMoSiON、MoSiO、MoSiN、MoSiOC、MoSiOCNを適用する場合、Moを多くすると耐洗浄性、特にアルカリ(アンモニア水等)や温水に対する耐性が小さくなる。この観点からは、反射防止層であるMoSiON、MoSiO、MoSiN、MoSiOC、MoSiOCN等では、Mo極力減らすことが好ましい。
また、応力制御を目的として高温で加熱処理(アニール)する際、Moの含有量が高いと膜の表面が白く曇る(白濁する)現象が生じることがわかった。これは、MoOが表面に析出するためであると考えられる。このような現象を避ける観点からは、反射防止層であるMoSiON、MoSiO、MoSiN、MoSiOC、MoSiOCN等では、反射防止層中のMoの含有量は10at%未満であることが好ましい。しかし、Moの含有量が少なすぎる場合、DCスパッタリングの際の異常放電が顕著になり、欠陥発生頻度が高まる。よって、Moは正常にスパッタできる範囲で含有していることが望ましい。他の成膜技術によってはMoを含有せずに成膜可能な場合がある。
In the present invention, when MoSiON, MoSiO, MoSiN, MoSiOC, or MoSiOCN is applied to the surface antireflection layer, the cleaning resistance, particularly resistance to alkali (ammonia water or the like) or hot water is reduced when Mo is increased. From this point of view, it is preferable to reduce Mo as much as possible in the antireflection layer such as MoSiON, MoSiO, MoSiN, MoSiOC, and MoSiOCN.
In addition, it was found that when heat treatment (annealing) was performed at a high temperature for the purpose of stress control, a high Mo content caused a phenomenon that the surface of the film was clouded white (clouded). This is considered to be because MoO precipitates on the surface. From the viewpoint of avoiding such a phenomenon, the Mo content in the antireflection layer is preferably less than 10 at% in MoSiON, MoSiO, MoSiN, MoSiOC, MoSiOCN and the like which are antireflection layers. However, when the content of Mo is too small, abnormal discharge during DC sputtering becomes significant and the frequency of occurrence of defects increases. Therefore, it is desirable that Mo is contained within a range where it can be sputtered normally. Depending on other film formation techniques, film formation may be possible without containing Mo.

本発明においては、例えば図1に示すように、前記遮光膜10は、2層で構成され、
タンタルの窒化物からなる遮光層11と、
該遮光層11の上に接して形成され、タンタルの酸化物からなる表面反射防止層12と、
からなる態様が含まれる。
遮光層11のタンタルを窒化させることによって、転写マスク作製後の遮光膜の転写パターン側壁の酸化防止が図れる。反面、高い遮光性能を確保するためには、できる限り窒素の含有量を低くすることが望まれる。これらの点を考慮すると、遮光層中の窒素含有量は、1原子%以上20原子%以下が好ましく、5原子%以上10原子%以下であるとより好ましい。
酸素を50原子%以上含有するタンタルの酸化物からなる反射防止層は、反射防止効果に優れるので好ましい。
上記のような構成によって、遮光性膜の表面側の反射防止が図られる。また、裏面反射防止層を省略した構造であっても、一定の裏面反射防止効果(例えば裏面の反射率が40%以下)が得られる。さらに、このように、裏面反射防止層を省略した構造によって、転写パターン領域における遮光膜パターンの厚さに関しより薄膜化を図ることは、転写パターン領域における遮光膜パターンの精度向上等に有効である。
In the present invention, for example, as shown in FIG. 1, the light shielding film 10 is composed of two layers,
A light shielding layer 11 made of tantalum nitride;
A surface antireflection layer 12 formed on and in contact with the light shielding layer 11 and made of an oxide of tantalum;
The aspect which consists of is included.
By nitriding the tantalum of the light shielding layer 11, it is possible to prevent oxidation of the transfer pattern side wall of the light shielding film after the transfer mask is produced. On the other hand, in order to ensure high light-shielding performance, it is desirable to reduce the nitrogen content as much as possible. Considering these points, the nitrogen content in the light shielding layer is preferably 1 atomic% or more and 20 atomic% or less, and more preferably 5 atomic% or more and 10 atomic% or less.
An antireflection layer made of a tantalum oxide containing 50 atomic% or more of oxygen is preferable because it has an excellent antireflection effect.
With the configuration as described above, antireflection on the surface side of the light-shielding film is achieved. Further, even if the back surface antireflection layer is omitted, a certain back surface antireflection effect (for example, the back surface reflectance is 40% or less) can be obtained. Further, it is effective to improve the accuracy of the light shielding film pattern in the transfer pattern region by reducing the thickness of the light shielding film pattern in the transfer pattern region by using the structure in which the back surface antireflection layer is omitted. .

本発明において、遮光膜10が遷移金属とケイ素を主成分とする材料やTa系の材料からなる場合、補助遮光膜20は、クロム系材料で形成されている態様が含まれる。
遮光膜10が遷移金属とケイ素を含む材料やTa系の材料からなる場合にあっては、これらの材料は、フッ素系ガスでドライエッチング可能な材料がほとんどである。このため、補助遮光膜20にはフッ素系ガスに対して耐性を有する材料を用いることが好ましい。クロム系の材料は、フッ素系ガスに対する耐性が高く、基本的に塩素と酸素の混合ガスでドライエッチング可能な材料であるため、補助遮光膜の上層のレジスト膜に形成された転写パターンを補助遮光膜に転写するドライエッチングを行う際、下層の遮光膜をエッチングストッパとして機能させることができる。また、補助遮光膜をエッチングマスクとして、下層の遮光膜をドライエッチングして転写パターンを転写することができ、遮光膜に高い精度で転写パターンを形成することが可能となる。
本発明において、補助遮光膜20としては、例えば、クロム単体や、クロムに酸素、窒素、炭素、水素からなる元素を少なくとも1種を含むもの(Crを含む材料)、などの材料を用いることができる。なかでも、窒化クロム、酸化クロム、窒化酸化クロム、酸化炭化窒化クロムのいずれかを主成分とする材料で形成されている態様が好ましい。前記補助遮光膜の膜構造としては、上記膜材料からなる単層、複数層構造とすることができる。複数層構造では、異なる組成で段階的に形成した複数層構造や、連続的に組成が変化した膜構造とすることができる。
さらに、補助遮光膜20は、膜中のクロムの含有量が45原子%以下である態様が含まれる。膜中のクロムの含有量が45原子%以下とすることにより、補助遮光膜のエッチングレートを高めてレジスト膜厚の低減を図ることができる。
In the present invention, in the case where the light shielding film 10 is made of a transition metal and silicon-based material or a Ta-based material, the auxiliary light-shielding film 20 includes an embodiment formed of a chromium-based material.
When the light-shielding film 10 is made of a material containing a transition metal and silicon or a Ta-based material, most of these materials can be dry-etched with a fluorine-based gas. For this reason, it is preferable to use a material having resistance to the fluorine-based gas for the auxiliary light shielding film 20. Chromium-based materials are highly resistant to fluorine-based gases, and are basically materials that can be dry-etched with a mixed gas of chlorine and oxygen. When performing dry etching to be transferred to the film, the lower light shielding film can function as an etching stopper. Further, the transfer pattern can be transferred by dry etching the lower light shielding film using the auxiliary light shielding film as an etching mask, and the transfer pattern can be formed on the light shielding film with high accuracy.
In the present invention, as the auxiliary light shielding film 20, for example, a material such as chromium alone or a material containing at least one element composed of oxygen, nitrogen, carbon, and hydrogen in chromium (a material containing Cr) is used. it can. Especially, the aspect formed with the material which has any one of chromium nitride, chromium oxide, chromium nitride oxide, chromium oxycarbonitride is preferable. As the film structure of the auxiliary light-shielding film, a single-layer structure or a multi-layer structure made of the above film materials can be used. The multi-layer structure can be a multi-layer structure formed stepwise with different compositions, or a film structure in which the composition is continuously changed.
Furthermore, the auxiliary light shielding film 20 includes an embodiment in which the chromium content in the film is 45 atomic% or less. When the chromium content in the film is 45 atomic% or less, the etching rate of the auxiliary light-shielding film can be increased and the resist film thickness can be reduced.

本発明において、例えば、図3等に示すように、遮光膜10をMoSi系材料で構成する場合にあっては、エッチングマスク膜21はクロム系材料で構成し、補助遮光膜20はMoSi系材料で構成する態様が含まれる。
この態様において、前記エッチングマスク膜21は、クロムに、窒素、酸素のうち少なくともいずれかの成分を含み、膜中のクロムの含有量が45原子%以下である態様が含まれる。これにより、エッチングマスク膜21のエッチングレートを高めることができる。
In the present invention, for example, as shown in FIG. 3 and the like, when the light shielding film 10 is made of a MoSi material, the etching mask film 21 is made of a chromium material, and the auxiliary light shielding film 20 is a MoSi material. The aspect comprised by is included.
In this embodiment, the etching mask film 21 includes an embodiment in which chromium contains at least one of nitrogen and oxygen, and the chromium content in the film is 45 atomic% or less. Thereby, the etching rate of the etching mask film 21 can be increased.

本発明において、前記エッチングマスク膜21は、膜厚が、5nmから20nmであることが好ましい。このような構成によれば、エッチングマスク膜のCD(Critical Dimension)に対する被エッチング層のCDのシフト量(エッチングマスク膜のパターン寸法に対する被エッチング層のパターン寸法の寸法変化量)が、5nm未満である転写用マスクを得ることが可能となる。   In the present invention, the etching mask film 21 preferably has a thickness of 5 nm to 20 nm. According to such a configuration, the CD shift amount of the etching target layer with respect to the CD (Critical Dimension) of the etching mask film (the dimensional change amount of the pattern dimension of the etching target layer with respect to the pattern dimension of the etching mask film) is less than 5 nm. A certain transfer mask can be obtained.

本発明者らは、透光性基板上に、フッ素系ガスでドライエッチング可能な材料(遷移金属とケイ素を主成分とする材料やTa系材料)からなる系遮光膜、Cr系エッチングマスク膜、レジスト膜(膜厚100nm以下)をこの順で(互いに接して)備えるマスクブランクを用いて加工を行う際に、
(1)エッチングマスク膜の膜厚を単に薄くする(例えば20nm以下にする)だけではレジスト膜の膜厚を低減できない場合があること、
(2)レジスト膜の膜厚を低減する観点からは、Cr系エッチングマスク膜は、Cr成分がリッチな材料では塩素系(Cl+O)ドライエッチングのエッチングレートが遅いので好ましくなく、従ってこの観点からはCr系エッチングマスク膜は、Cr成分が少なく、高窒化、高酸化されたCr系材料が好ましいこと、
(3)遮光膜パターンのLER(Line Edge Roughness)を低減する観点からは、Cr系エッチングマスク膜は、Cr成分がリッチな材料の方がフッ素系ドライエッチングに対する耐性が高いので好ましく、従ってこの観点からはCr系エッチングマスク膜は、Cr成分が多いCr系材料が好ましいこと、
(4)上記(2)と(3)はトレードオフの関係にあり、それを考慮すると、Cr系エッチングマスク膜は、膜中のクロムの含有量が45原子%以下である必要があり、40原子%以下が好ましいこと、更にCr系エッチングマスク膜中のクロムの含有量は35原子%以下であることが好ましいこと、また、Cr系エッチングマスク膜中のクロムの含有量の下限は20原子%以上が好ましく、さらに好ましくは30原子%以上が好ましいこと、特に、エッチングマスク膜が酸化クロム膜の場合は33原子%以上が好ましいこと、
(5)上記(2)及び(4)に関連し(即ちCr系エッチングマスク膜のエッチング時間の短縮に関連し)、レジスト膜の膜厚を低減する観点からは、Cr系エッチングマスク膜の膜厚は20nm以下であることが好ましいこと、
(6)上記(3)及び(4)に関連し(即ちCr系エッチングマスク膜のエッチング耐性に関連し)、下層の遮光膜にマスクパターンを転写するエッチングプロセスが完了するまで、エッチングマスクがマスクパターンを維持できなければならないため、Cr系エッチングマスク膜の膜厚は5nm以上であることが好ましいこと、
を見い出した。
The inventors of the present invention provide a light-shielding film made of a material that can be dry-etched with a fluorine-based gas (a transition metal and silicon-based material or a Ta-based material), a Cr-based etching mask film, When processing using a mask blank provided with resist films (thickness of 100 nm or less) in this order (in contact with each other)
(1) The thickness of the resist film may not be reduced by simply reducing the thickness of the etching mask film (for example, 20 nm or less).
(2) From the viewpoint of reducing the film thickness of the resist film, the Cr-based etching mask film is not preferable for a material rich in Cr component because the etching rate of chlorine-based (Cl 2 + O 2 ) dry etching is slow. From the viewpoint, the Cr-based etching mask film is preferably a highly nitrided and highly oxidized Cr-based material with a small Cr component.
(3) From the viewpoint of reducing LER (Line Edge Roughness) of the light-shielding film pattern, a Cr-based etching mask film is preferably a material rich in Cr component because it has higher resistance to fluorine-based dry etching. The Cr-based etching mask film is preferably a Cr-based material with a large amount of Cr component.
(4) The above (2) and (3) are in a trade-off relationship, and considering this, the Cr-based etching mask film needs to have a chromium content of 45 atomic% or less. Preferably, the chromium content in the Cr-based etching mask film is preferably 35 atomic% or less, and the lower limit of the chromium content in the Cr-based etching mask film is 20 atomic%. The above is preferable, more preferably 30 atomic% or more, particularly 33 atomic% or more is preferable when the etching mask film is a chromium oxide film,
(5) Related to the above (2) and (4) (that is, related to shortening the etching time of the Cr-based etching mask film), and from the viewpoint of reducing the film thickness of the resist film, the film of the Cr-based etching mask film The thickness is preferably 20 nm or less,
(6) Related to the above (3) and (4) (that is, related to the etching resistance of the Cr-based etching mask film), the etching mask is masked until the etching process for transferring the mask pattern to the lower light shielding film is completed. Since the pattern must be able to be maintained, the thickness of the Cr-based etching mask film is preferably 5 nm or more,
I found out.

Cr系材料は、酸化を進行させるほど塩素系ガスに対するエッチングレートが向上する。また、酸化させたときほどではないが、窒化を進行させても塩素系ガスに対するエッチングレートが向上する。よって、ただ単にエッチングマスク膜のクロム含有量を35原子%以下にするだけでなく、高酸化、高窒化させることが好ましい。
なお、膜の欠陥品質に優れる観点からは、酸化炭化窒化クロム、酸化炭化クロムが好ましい。また、応力の制御性(低応力膜を形成可能)の観点からは、酸化炭化窒化クロム(CrOCN)が好ましい。
The etching rate with respect to chlorine-type gas improves, so that Cr-type material advances oxidation. Further, although not as much as when oxidized, the etching rate with respect to the chlorine-based gas is improved even if nitriding is advanced. Therefore, it is preferable to not only make the chromium content of the etching mask film 35 atomic% or less but also highly oxidize and highly nitride.
From the viewpoint of excellent defect quality of the film, chromium oxycarbonitride and chrome oxide are preferable. Further, from the viewpoint of stress controllability (a low stress film can be formed), chromium oxycarbonitride (CrOCN) is preferable.

本発明において、前記遮光層は、遷移金属(M)、ケイ素(Si)に加え、炭素(C)、水素(H)の少なくとも一方を含む材料を含有することが好ましい。
本発明において、遷移金属(M)、ケイ素(Si)に加え、炭素(C)、水素(H)の少なくとも一方を含む遮光膜は、スパッタ成膜時に膜中に、酸化しづらい状態になっている、ケイ素炭化物(Si−C結合)、遷移金属炭化物(M−C結合、例えばMo−C結合)、水素化ケイ素(Si−H結合)、が形成されることにより、耐光性等に優れる。
本発明において、遷移金属(M)、ケイ素(Si)に加え、炭素(C)、水素(H)の少なくとも一方を含む遮光層は、化学結合状態として、M(遷移金属)−Si結合、Si−Si結合、M−M結合、M−C結合、Si−C結合、Si−H結合を含んでいる。
本発明において、遷移金属(M)は、モリブデン(Mo)、タンタル(Ta)、クロム(Cr)、タングステン(W)、チタン(Ti)、ジルコニウム(Zr)、バナジウム(V)、ニオブ(Nb)、ニッケル(Ni)、パラジウム(Pb)の何れか一つ又は合金からなる。
In the present invention, the light shielding layer preferably contains a material containing at least one of carbon (C) and hydrogen (H) in addition to the transition metal (M) and silicon (Si).
In the present invention, the light-shielding film containing at least one of carbon (C) and hydrogen (H) in addition to the transition metal (M) and silicon (Si) becomes difficult to oxidize in the film during sputtering film formation. By forming silicon carbide (Si—C bond), transition metal carbide (M—C bond, for example, Mo—C bond), and silicon hydride (Si—H bond), light resistance and the like are excellent.
In the present invention, the light-shielding layer containing at least one of carbon (C) and hydrogen (H) in addition to transition metal (M) and silicon (Si) has M (transition metal) -Si bond, Si as a chemical bond state. -Si bond, MM bond, MC bond, Si-C bond, Si-H bond are included.
In the present invention, the transition metal (M) is molybdenum (Mo), tantalum (Ta), chromium (Cr), tungsten (W), titanium (Ti), zirconium (Zr), vanadium (V), niobium (Nb). , Nickel (Ni), palladium (Pb), or an alloy.

本発明においては、炭素を含むターゲット又は炭素を含む雰囲気ガスを用いてスパッタリング成膜することによって、遷移金属、ケイ素、炭素を含み、ケイ素炭化物及び/又は遷移金属炭化物を有してなる薄膜を形成できる。
ここで、炭化水素ガスは、例えば、メタン(CH)、エタン(C)、プロパン(C)、ブタン(C10)等である。
炭化水素ガスを用いることにより、膜中に炭素と水素(ケイ素炭化物、遷移金属炭化物、水素化ケイ素)を導入できる。
炭素を含むターゲットを用いることにより、膜中に炭素(ケイ素炭化物、遷移金属炭化物)のみ導入できる。この場合、MoSiCターゲットを用いる態様の他、Moターゲット及びSiターゲットのいずれか一方又は双方にCを含むターゲットを用いる態様や、MoSiターゲット及びCターゲットを用いる態様、が含まれる。
In the present invention, a thin film comprising a transition metal, silicon, carbon, silicon carbide and / or transition metal carbide is formed by sputtering film formation using a target containing carbon or an atmospheric gas containing carbon. it can.
Here, the hydrocarbon gas is, for example, methane (CH 4 ), ethane (C 2 H 6 ), propane (C 3 H 8 ), butane (C 4 H 10 ), or the like.
By using hydrocarbon gas, carbon and hydrogen (silicon carbide, transition metal carbide, silicon hydride) can be introduced into the film.
By using a target containing carbon, only carbon (silicon carbide, transition metal carbide) can be introduced into the film. In this case, in addition to the embodiment using the MoSiC target, an embodiment using a target containing C in one or both of the Mo target and the Si target, and an embodiment using the MoSi target and the C target are included.

本発明においては、水素を含む雰囲気ガスを用いてスパッタリング成膜することによって、遷移金属、ケイ素、水素を含み、水素化ケイ素を有してなる薄膜を形成できる。
この方法では、膜中に水素(水素化ケイ素)のみ導入できる。
この方法では、MoSiターゲットを用いる態様の他、Moターゲット及びSiターゲットを用いる態様、が含まれる。また、この方法においてさらに膜中に炭素(ケイ素炭化物、遷移金属炭化物)を含ませる場合には、MoSiCターゲットを用いる態様の他、Moターゲット及びSiターゲットのいずれか一方又は双方にCを含むターゲットを用いる態様や、MoSiターゲット及びCターゲットを用いる態様、が含まれる。
In the present invention, a thin film containing a transition metal, silicon, hydrogen, and containing silicon hydride can be formed by sputtering film formation using an atmospheric gas containing hydrogen.
In this method, only hydrogen (silicon hydride) can be introduced into the film.
This method includes an embodiment using a Mo target and an Si target in addition to an embodiment using a MoSi target. Further, in this method, when carbon (silicon carbide, transition metal carbide) is further included in the film, a target containing C in one or both of the Mo target and the Si target is used in addition to the embodiment using the MoSiC target. A mode to use and a mode to use a MoSi target and a C target are included.

本発明においては、前記薄膜は、前記スパッタリング成膜時の前記雰囲気ガスの圧力及び/又は電力を調整して形成されることが好ましい。
雰囲気ガスの圧力が低い(この場合成膜速度が遅い)と炭化物等(ケイ素炭化物や遷移金属炭化物)が形成されやすいと考えられる。また、電力(パワー)を低くすると炭化物等(ケイ素炭化物や遷移金属炭化物)が形成されやすいと考えられる。
本発明は、このように炭化物等(ケイ素炭化物や遷移金属炭化物)が形成され、上述した本発明の作用効果が得られるように、前記スパッタリング成膜時の前記雰囲気ガスの圧力及び/又は電力を調整する。
また、本発明は、スパッタ成膜時に膜中に安定的なSi−C結合及び/又は安定的な遷移金属M−C結合が形成され、上述した本発明の作用効果が得られるように、前記スパッタリング成膜時の前記雰囲気ガスの圧力及び/又は電力を調整する。
これに対し、雰囲気ガスの圧力が高い(この場合成膜速度が速い)と炭化物等(ケイ素炭化物や遷移金属炭化物)が形成されづらいと考えられる。また、電力(パワー)を低くすると炭化物等(ケイ素炭化物や遷移金属炭化物)が形成されづらいと考えられる。
In the present invention, the thin film is preferably formed by adjusting the pressure and / or power of the atmospheric gas during the sputtering film formation.
It is considered that when the pressure of the atmospheric gas is low (in this case, the film formation rate is low), carbides (silicon carbide or transition metal carbide) are easily formed. Further, it is considered that when power is lowered, carbides (silicon carbide and transition metal carbide) are easily formed.
In the present invention, carbide and the like (silicon carbide and transition metal carbide) are formed as described above, and the pressure and / or electric power of the atmospheric gas at the time of the sputtering film formation is set so that the above-described effects of the present invention can be obtained. adjust.
Further, the present invention provides a stable Si-C bond and / or a stable transition metal MC bond formed in the film during sputtering film formation so that the above-described effects of the present invention can be obtained. The pressure and / or power of the atmospheric gas during sputtering film formation is adjusted.
On the other hand, it is considered that carbide or the like (silicon carbide or transition metal carbide) is difficult to be formed when the pressure of the atmospheric gas is high (in this case, the film forming speed is high). Moreover, it is thought that it is difficult to form carbides (silicon carbides and transition metal carbides) when the power is lowered.

なお、遮光層11中の炭素の含有量は、1〜10原子%が好ましい。遮光膜の炭素の含有量が1原子%以下の場合には、ケイ素炭化物及び/又は遷移金属炭化物が形成されにくく、炭素の含有量が10原子%より多い場合には遮光膜の薄膜化が困難になる。
水素の含有量は、1〜10原子%が好ましい。遮光膜の水素の含有量が1原子%以下の場合には、水素化ケイ素が形成されにくく、水素の含有量が10原子%以上の場合には成膜が困難になる。
In addition, as for content of carbon in the light shielding layer 11, 1-10 atomic% is preferable. When the carbon content of the light shielding film is 1 atomic% or less, silicon carbide and / or transition metal carbide is difficult to form, and when the carbon content is more than 10 atomic%, it is difficult to reduce the thickness of the light shielding film. become.
The hydrogen content is preferably 1 to 10 atomic%. When the hydrogen content of the light shielding film is 1 atomic% or less, silicon hydride is hardly formed, and when the hydrogen content is 10 atomic% or more, film formation becomes difficult.

本発明においては、レジスト膜100と補助遮光膜20などのレジスト膜と接する下層膜との密着性を向上させる密着性向上層を形成してもよい。密着性向上層としては、例えば、補助遮光膜22などのレジスト膜と接する下層膜の表面にHMDS(ヘキサメチルジシラザン)層を蒸散処理によって形成する構成がまず挙げられる。また、その他として、レジスト膜100にレジストパターンを形成するときに使用する現像液に対しては溶解せず、レジストパターンをマスクに補助遮光膜20などのレジスト膜と接する下層膜をドライエッチングするときにはともにエッチングされ、さらにレジストパターンを除去するときの除去処理時(溶剤除去、酸素プラズマアッシング等)にはともに除去される特性を有する樹脂層を形成する構成も挙げられる。   In the present invention, an adhesion improving layer that improves the adhesion between the resist film 100 and a lower layer film in contact with the resist film such as the auxiliary light shielding film 20 may be formed. As the adhesion improving layer, for example, a configuration in which an HMDS (hexamethyldisilazane) layer is first formed on the surface of a lower layer film in contact with a resist film such as the auxiliary light shielding film 22 by a transpiration process. In addition, when the lower layer film in contact with the resist film such as the auxiliary light-shielding film 20 is dry-etched using the resist pattern as a mask, it does not dissolve in the developer used for forming the resist pattern on the resist film 100. A configuration is also possible in which both are etched and a resin layer having a characteristic of being removed at the time of removal processing (solvent removal, oxygen plasma ashing, etc.) for removing the resist pattern is also included.

本発明において、補助遮光膜20は、光学濃度0.5以上を確保できる膜厚である必要がある。遮光膜10との間にエッチングマスク膜21を設けない構成の場合においては、補助遮光膜20のみで光学濃度0.5以上は確保する必要がある。この場合、補助遮光膜20の膜厚は、少なくとも22nm以上は最低限必要とされ、好ましくは25nm以上、さらには30nm以上がより好ましい。一方、この構成の場合、補助遮光膜20はエッチングマスクの役割も持たせる必要があるため、膜厚が厚すぎると遮光膜10に転写パターンを転写するときの精度が低下してしまう。この点を考慮すると、補助遮光膜20の膜厚は、45nm以下であることが最低限必要とされ、好ましくは40nm以下、さらには35nm以下がより好ましい。なお、補助遮光膜20であるが、露光装置の機構等によって、補助遮光膜20の露光光に対する表面反射率を、遮光膜10の露光光に対する表面反射率の程度まで低くする必要性がない場合においては、膜中の金属元素の含有量を増やすことが可能となる。この場合、補助遮光膜20の膜厚の下限を9nmとすることが可能である。   In the present invention, the auxiliary light shielding film 20 needs to have a film thickness that can secure an optical density of 0.5 or more. In the case where the etching mask film 21 is not provided between the light shielding film 10 and the auxiliary light shielding film 20 alone, it is necessary to ensure an optical density of 0.5 or more. In this case, the auxiliary light shielding film 20 needs to have a minimum thickness of at least 22 nm, preferably 25 nm or more, and more preferably 30 nm or more. On the other hand, in the case of this configuration, since the auxiliary light shielding film 20 needs to also serve as an etching mask, if the film thickness is too thick, the accuracy when the transfer pattern is transferred to the light shielding film 10 is lowered. Considering this point, the thickness of the auxiliary light shielding film 20 is at least 45 nm or less, preferably 40 nm or less, and more preferably 35 nm or less. Although the auxiliary light shielding film 20 is used, there is no need to reduce the surface reflectance of the auxiliary light shielding film 20 with respect to the exposure light to the extent of the surface reflectance with respect to the exposure light of the light shielding film 10 due to the mechanism of the exposure apparatus or the like. In this case, the content of the metal element in the film can be increased. In this case, the lower limit of the film thickness of the auxiliary light shielding film 20 can be set to 9 nm.

本発明において、遮光膜10との間にエッチングマスク膜21を設ける構成の場合においては、エッチングマスク膜21の膜厚は、遮光膜10に転写パターンを精度よく転写できる必要がある。エッチングマスク膜21を形成する材料に遮光膜10をドライエッチングするときのエッチング媒質に対してエッチング選択性を有する材料を選定しても、多少はエッチングされてしまう。この点を考慮すると、エッチングマスク膜21の膜厚は、少なくとも5nm以上である必要があり、7nm以上あると好ましい。一方、前述の通り、エッチングマスク膜の膜厚が厚くなるにつれて転写精度が低下していくほかに、転写用マスクを作製する最終段階でエッチングマスク膜を除去するときに遮光膜10や透光性基板1に与えるダメージも大きくなっていく。これらのことを考慮すると、エッチングマスク膜21の膜厚は、20nm以下である必要があり、15nm以下であると好ましい。   In the present invention, in the case where the etching mask film 21 is provided between the light shielding film 10, the etching mask film 21 needs to be able to transfer the transfer pattern to the light shielding film 10 with high accuracy. Even if a material having etching selectivity with respect to an etching medium when dry-shielding the light-shielding film 10 is selected as a material for forming the etching mask film 21, the etching mask film 21 is slightly etched. Considering this point, the thickness of the etching mask film 21 needs to be at least 5 nm or more, preferably 7 nm or more. On the other hand, as described above, the transfer accuracy decreases as the thickness of the etching mask film increases. In addition, when the etching mask film is removed in the final stage of manufacturing the transfer mask, the light shielding film 10 and the light-transmitting film are used. The damage given to the substrate 1 also increases. Considering these, the thickness of the etching mask film 21 needs to be 20 nm or less, and preferably 15 nm or less.

本発明の転写用マスクは、上記の各マスクブランクを用いて作製される。
これにより、上記で説明した効果を有する転写用マスクを提供できる。
The transfer mask of the present invention is produced using each of the above mask blanks.
Thereby, a transfer mask having the effects described above can be provided.

本発明の転写用マスクは、ArF露光光が適用されるバイナリ型の転写用マスクであって、
透光性基板上の転写パターン領域に、遮光膜で形成される転写パターンを有し、
転写パターン領域の外側の領域(漏れ光エリア)に、基板側から、遮光膜と補助遮光膜との積層構造で形成される遮光帯を有し、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上である、
ことを特徴とする。
これにより、上記で説明した効果を有する転写用マスクを提供できる。
The transfer mask of the present invention is a binary transfer mask to which ArF exposure light is applied,
In the transfer pattern area on the translucent substrate, has a transfer pattern formed of a light shielding film,
A light-shielding band formed from a laminated structure of a light-shielding film and an auxiliary light-shielding film on the outer side of the transfer pattern area (leakage light area) from the substrate side,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more.
It is characterized by that.
Thereby, a transfer mask having the effects described above can be provided.

本発明の転写用マスクセットは、上記の転写用マスクを2枚セットとした転写用マスクセットであって、
前記2枚の転写用マスクは、ダブル露光技術による露光転写に用いられるものであり、
前記2枚の転写用マスクに形成されている各転写パターンは、転写対象物に転写露光する1つの転写パターンを2つの疎な転写パターンに分割したものである
ことを特徴とする。
これにより、ダブル露光技術に用いるのに適した転写用マスクセットを提供できる。なお、転写対象物に転写露光すべき1つの転写パターンを2つの疎な転写パターンに分割する方法であるが、これは、ダブル露光技術を用いて転写対象物に転写露光したときに、密な転写パターンが転写できるように、密な転写パターンを比較的疎な2つの転写パターンに分割する公知の技術(シミュレーション計算等)を利用するものであり、目的を達成できるのであれば、どのような技術を用いてもよい。
The transfer mask set of the present invention is a transfer mask set in which the above transfer mask is a set of two sheets,
The two transfer masks are used for exposure transfer by a double exposure technique,
Each of the transfer patterns formed on the two transfer masks is obtained by dividing one transfer pattern to be transferred and exposed onto a transfer target object into two sparse transfer patterns.
Thereby, a transfer mask set suitable for use in the double exposure technique can be provided. Note that this is a method of dividing one transfer pattern to be transferred and exposed on the transfer object into two sparse transfer patterns. Any known technique (such as simulation calculation) that divides a dense transfer pattern into two relatively sparse transfer patterns so that the transfer pattern can be transferred can be used. Technology may be used.

本発明において、クロム系薄膜のドライエッチングには、塩素系ガスと酸素ガスとを含む混合ガスからなるドライエッチングガスを用いることが好ましい。この理由は、クロムと酸素、窒素等の元素とを含む材料からなるクロム系薄膜に対しては、上記のドライエッチングガスを用いてドライエッチングを行うことにより、ドライエッチング速度を高めることができ、ドライエッチング時間の短縮化を図ることができ、断面形状の良好な遮光性膜パターンを形成することができるからである。ドライエッチングガスに用いる塩素系ガスとしては、例えば、Cl2、SiCl4、HCl、CCl、CHCl等が挙げられる。 In the present invention, it is preferable to use a dry etching gas comprising a mixed gas containing a chlorine-based gas and an oxygen gas for the dry etching of the chromium-based thin film. The reason for this is that, for a chromium-based thin film made of a material containing chromium and an element such as oxygen or nitrogen, the dry etching rate can be increased by performing dry etching using the above dry etching gas, This is because the dry etching time can be shortened and a light-shielding film pattern having a good cross-sectional shape can be formed. The chlorine-based gas used for dry etching gas, for example, Cl 2, SiCl 4, HCl , CCl 4, CHCl 3 , and the like.

本発明において、遷移金属とケイ素を含むとする薄膜、のドライエッチングには、例えば、SF、CF、C、CHF等の弗素系ガス、これらとHe、H、N、Ar、C、O等の混合ガスを用いることができる。
また、タンタル系材料の薄膜のドライエッチングには、例えば、SF、CF、C、CHF等の弗素系ガス、これらとHe、H、N、Ar、C、O等の混合ガス、或いはCl、CHCl等の塩素系のガス又は、これらとHe、H、N、Ar、C等の混合ガスを用いることができる。
In the present invention, dry etching of a thin film containing a transition metal and silicon includes, for example, fluorine-based gases such as SF 6 , CF 4 , C 2 F 6 , and CHF 3 , and these, and He, H 2 , and N 2. , Ar, C 2 H 4 , O 2, or a mixed gas can be used.
For dry etching of a tantalum-based material thin film, for example, fluorine-based gases such as SF 6 , CF 4 , C 2 F 6 , CHF 3 , and these, and He, H 2 , N 2 , Ar, C 2 H 4 , O 2 , mixed gases such as Cl 2 and CH 2 Cl 2 , or mixed gases such as He, H 2 , N 2 , Ar, and C 2 H 4 can be used.

本発明において、レジストは化学増幅型レジストであること好ましい。高精度の加工に適するためである。
本発明において、レジストは電子線描画用のレジストであること好ましい。高精度の加工に適するためである。
本発明は、電子線描画によりレジストパターンを形成する電子線描画用のマスクブランクに適用する。
In the present invention, the resist is preferably a chemically amplified resist. This is because it is suitable for high-precision processing.
In the present invention, the resist is preferably an electron beam drawing resist. This is because it is suitable for high-precision processing.
The present invention is applied to a mask blank for electron beam drawing in which a resist pattern is formed by electron beam drawing.

本発明において、基板としては、合成石英基板、CaF基板、ソーダライムガラス基板、無アルカリガラス基板、低熱膨張ガラス基板、アルミノシリケートガラス基板などが挙げられる。 In the present invention, examples of the substrate include a synthetic quartz substrate, a CaF 2 substrate, a soda lime glass substrate, a non-alkali glass substrate, a low thermal expansion glass substrate, and an aluminosilicate glass substrate.

本発明において、マスクブランクには、マスクブランクや、レジスト膜付きマスクブランクが含まれる。
本発明において、転写用マスクには、位相シフト効果を使用しないバイナリ型マスク、レチクルが含まれる。
In the present invention, the mask blank includes a mask blank and a mask blank with a resist film.
In the present invention, the transfer mask includes a binary mask and a reticle that do not use the phase shift effect.

以下、実施例により、本発明を更に具体的に説明する。
(実施例1)
(マスクブランクの作製)
図1は、実施例1のバイナリ型マスクブランクの断面図である。
透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、MoSiN膜(遮光層11)、MoSiON膜(表面反射防止層12)、をそれぞれ形成した。
具体的には、透光性基板1上に、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=21原子%:79原子%)を用い、アルゴン(Ar)と窒素(N)の混合ガス雰囲気(ガス流量比 Ar:N=25:28)で、ガス圧を0.07Pa、DC電源の電力を2.1kWとして、反応性スパッタリング(DCスパッタリング)により、遮光層11(MoSiN膜:Mo:Si:N=14.7原子%:56.2原子%:29.1原子%)を47nmの膜厚で形成した。
次に、遮光層11上に、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=4原子%:96原子%)を用い、アルゴン(Ar)と酸素(O)と窒素(N)とヘリウム(He)の混合ガス雰囲気(ガス流量比 Ar:O:N:He=6:3:11:17)で、ガス圧を0.1Pa、DC電源の電力を3.0kWとして、反応性スパッタリング(DCスパッタリング)により、表面反射防止層(MoSiON膜:Mo:Si:O:N=2.6原子%:57.1原子%:15.9原子%:24.4原子%)12を10nmの膜厚で形成した。
なお、各層(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
遮光膜10の合計膜厚は57nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて2.8であった。
次に、上記基板を450℃で30分間加熱処理(アニール処理)した。
Hereinafter, the present invention will be described more specifically with reference to examples.
Example 1
(Manufacture of mask blank)
1 is a cross-sectional view of a binary mask blank of Example 1. FIG.
A synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches is used as the light-transmitting substrate 1. Each of the antireflection layers 12) was formed.
Specifically, a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 21 atomic%: 79 atomic%) is used on the light-transmitting substrate 1, and argon (Ar) and nitrogen (N 2 ) are used. ) In a mixed gas atmosphere (gas flow ratio Ar: N 2 = 25: 28), a gas pressure of 0.07 Pa, a DC power source power of 2.1 kW, and reactive sputtering (DC sputtering) to form the light shielding layer 11 ( MoSiN film: Mo: Si: N = 14.7 atomic%: 56.2 atomic%: 29.1 atomic%) was formed with a film thickness of 47 nm.
Next, a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 4 atomic%: 96 atomic%) is used on the light shielding layer 11, and argon (Ar), oxygen (O 2 ), and nitrogen ( N 2 ) and helium (He) mixed gas atmosphere (gas flow ratio Ar: O 2 : N 2 : He = 6: 3: 11: 17), gas pressure is 0.1 Pa, and DC power is 3. The surface antireflection layer (MoSiON film: Mo: Si: O: N = 2.6 atomic%: 57.1 atomic%: 15.9 atomic%: 24.4 atoms) was set to 0 kW by reactive sputtering (DC sputtering). %) 12 was formed with a film thickness of 10 nm.
The elemental analysis of each layer (thin film) used Rutherford backscattering analysis.
The total film thickness of the light shielding film 10 was 57 nm. The optical density (OD) of the light shielding film 10 was 2.8 at a wavelength of 193 nm of ArF excimer laser exposure light.
Next, the substrate was heated (annealed) at 450 ° C. for 30 minutes.

次に、遮光膜10上に、補助遮光膜20を形成した(図1)。
具体的には、DCマグネトロンスパッタ装置を用い、クロムターゲットを使用し、ArとCOとNとHeとの混合ガス雰囲気(ガス流量比 Ar:CO:N:He=21:37:11:31)、ガス圧:0.2Pa、DC電源の電力:1.8kW、で成膜を行い、CrOCN膜(膜中のCr含有率:33原子%)を22nmの膜厚で形成した。このときCrOCN膜を前記MoSi遮光膜のアニール処理温度よりも低い温度でアニールすることにより、MoSi遮光膜の膜応力に影響を与えずCrOCN膜の応力を極力低く(好ましくは膜応力が実質ゼロ)なるよう調整した。
なお、CrOCN膜(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
補助遮光膜20は、膜中のCr含有率が33原子%であるCrOCNからなり、膜厚22nmで光学濃度0.5である。
上記により、ArFエキシマレーザー露光用かつダブル露光対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, the auxiliary light shielding film 20 was formed on the light shielding film 10 (FIG. 1).
Specifically, using a DC magnetron sputtering apparatus, using a chromium target, a mixed gas atmosphere of Ar, CO 2 , N 2 and He (gas flow ratio Ar: CO 2 : N 2 : He = 21: 37: 11:31), gas pressure: 0.2 Pa, DC power supply power: 1.8 kW, and a CrOCN film (Cr content in the film: 33 atomic%) was formed to a thickness of 22 nm. At this time, by annealing the CrOCN film at a temperature lower than the annealing temperature of the MoSi light-shielding film, the stress of the CrOCN film is as low as possible without affecting the film stress of the MoSi light-shielding film (preferably substantially zero film stress). It adjusted so that it might become.
For elemental analysis of the CrOCN film (thin film), Rutherford backscattering analysis was used.
The auxiliary light shielding film 20 is made of CrOCN having a Cr content of 33 atomic% in the film, and has an optical density of 0.5 with a film thickness of 22 nm.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and a double exposure by the above was obtained.

(転写用マスクおよび転写用マスクセットの作製)
ダブル露光技術を用いて転写対象物(ウェハ上のレジスト膜)に転写パターンを露光転写するため、1つの密な設計転写パターンを分割して対となる2つの設計転写パターンを生成した。次に、製造した2枚のバイナリ型マスクブランクを使用し、分割された対となる2つの転写パターンをそれぞれ有する2枚の転写用マスク(転写用マスクセット)を以下の手順によりそれぞれ作製した(以下、1枚の転写用マスクの製造プロセスについて説明する。)。
マスクブランクの補助遮光膜20の上に、電子線描画(露光)用化学増幅型ポジレジスト100(PRL009:富士フィルムエレクトロニクスマテリアルズ社製)をスピンコート法により膜厚が100nmとなるように塗布した(図1、図5(1))。
次に、レジスト膜100に対し、電子線描画装置を用いて所望のパターンの描画を行った後、所定の現像液で現像してレジストパターン100aを形成した(図5(2))。
次に、レジストパターン100aをマスクとして、補助遮光膜20のドライエッチングを行い、補助遮光膜パターン20aを形成した(図5(3))。ドライエッチングガスとして、ClとOの混合ガス(Cl:O=4:1)を用いた。
次いで、残留したレジストパターン100aを薬液により剥離除去した。
次いで、補助遮光膜パターン20aをマスクにして、遮光膜10を、SFとHeの混合ガスを用い、ドライエッチングを行い、遮光膜パターン10aを形成した(図5(4))。
次に、電子線描画(露光)用ポジレジスト(FEP171:富士フィルムエレクトロニクスマテリアルズ社製)のレジスト膜110をスピンコート法により膜厚が100nmとなるように塗布した(図5(5))。
次に、レジスト膜110に対し、電子線描画装置を用いて遮光部(遮光帯)のパターンを描画露光し、所定の現像液で現像して、レジストパターン110bを形成し(図5(6))、このレジストパターン110bをマスクとして、補助遮光膜パターン20aをClとOの混合ガス(Cl:O=4:1)でドライエッチングによってエッチングし、補助遮光膜パターン20bを形成した(図5(7))。
次に、レジストパターン110bを剥離し、所定の洗浄を施して、補助遮光膜パターン20bとその下部にある遮光膜パターン10aの部分とで構成される遮光部(遮光帯)80を有するバイナリ型転写用マスクを得た(図5(8))。
上記のようにして、実施例1のマスクブランクから転写用マスクを2枚作製し、ダブル露光技術対応のバイナリ型転写用マスクセットを作製した。
(Production of transfer mask and transfer mask set)
In order to expose and transfer the transfer pattern onto the transfer object (resist film on the wafer) using the double exposure technique, one dense design transfer pattern was divided to generate two paired design transfer patterns. Next, using the manufactured two binary mask blanks, two transfer masks (transfer mask sets) each having two divided transfer patterns were prepared by the following procedure ( Hereinafter, a manufacturing process of one transfer mask will be described.)
On the auxiliary light shielding film 20 of the mask blank, a chemically amplified positive resist 100 (PRL009: manufactured by Fuji Film Electronics Materials) for electron beam drawing (exposure) was applied by spin coating so as to have a film thickness of 100 nm. (FIG. 1, FIG. 5 (1)).
Next, a desired pattern was drawn on the resist film 100 using an electron beam drawing apparatus, and then developed with a predetermined developer to form a resist pattern 100a (FIG. 5 (2)).
Next, the auxiliary light shielding film 20 was dry-etched using the resist pattern 100a as a mask to form the auxiliary light shielding film pattern 20a (FIG. 5 (3)). As a dry etching gas, a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) was used.
Next, the remaining resist pattern 100a was peeled off with a chemical solution.
Next, using the auxiliary light shielding film pattern 20a as a mask, the light shielding film 10 was dry-etched using a mixed gas of SF 6 and He to form the light shielding film pattern 10a (FIG. 5D).
Next, a resist film 110 of a positive resist for electron beam drawing (exposure) (FEP171: manufactured by Fuji Film Electronics Materials Co., Ltd.) was applied by spin coating so as to have a film thickness of 100 nm (FIG. 5 (5)).
Next, the resist film 110 is subjected to drawing exposure with a pattern of a light shielding portion (light shielding band) using an electron beam drawing apparatus, and developed with a predetermined developer to form a resist pattern 110b (FIG. 5 (6)). ) Using this resist pattern 110b as a mask, the auxiliary light shielding film pattern 20a was etched by dry etching with a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) to form the auxiliary light shielding film pattern 20b. (FIG. 5 (7)).
Next, the resist pattern 110b is peeled off, subjected to predetermined cleaning, and binary transfer having a light-shielding portion (light-shielding band) 80 composed of the auxiliary light-shielding film pattern 20b and the portion of the light-shielding film pattern 10a therebelow. A mask was obtained (FIG. 5 (8)).
As described above, two transfer masks were prepared from the mask blank of Example 1, and a binary transfer mask set corresponding to the double exposure technology was prepared.

なお、上記転写用マスクの作製例では、補助遮光膜パターン20aを形成後、レジストパターン100aを剥離除去したが、レジストパターン100aを遮光膜パターン10aが形成された後に剥離除去することもできる。このことは、後述する実施例2、3、6、7においても同様である。   In the transfer mask manufacturing example, the resist pattern 100a is peeled and removed after the auxiliary light shielding film pattern 20a is formed. However, the resist pattern 100a can be peeled and removed after the light shielding film pattern 10a is formed. The same applies to Examples 2, 3, 6, and 7 described later.

(比較例1)
(マスクブランクの作製)
実施例1と同様にして、透光性基板1上に、遮光膜10として、MoSiN膜(遮光層11)を厚さ50nmで、MoSiON膜(表面反射防止層12)を厚さ10nmで、それぞれ形成した(図1)。
次に遮光膜10上に、膜中のCr含有量が50原子%であるCrNからなるエッチングマスク膜を厚さ10nmで形成した(図1の補助遮光膜20をエッチングマスク膜に代えた構成。以下、エッチングマスク膜20として説明。)。
遮光膜10の合計膜厚は60nmであり、遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて2.8であった。
上記により、ArFエキシマレーザー露光用の遮光膜を形成したバイナリ型マスクブランクを得た。
(Comparative Example 1)
(Manufacture of mask blank)
In the same manner as in Example 1, a MoSiN film (light shielding layer 11) having a thickness of 50 nm and a MoSiON film (surface antireflection layer 12) having a thickness of 10 nm are formed on the light-transmitting substrate 1 as the light shielding film 10, respectively. Formed (FIG. 1).
Next, an etching mask film made of CrN having a Cr content of 50 atomic% is formed on the light shielding film 10 to a thickness of 10 nm (a configuration in which the auxiliary light shielding film 20 in FIG. 1 is replaced with an etching mask film). Hereinafter, description will be given as the etching mask film 20.)
The total film thickness of the light shielding film 10 was 60 nm, and the optical density (OD) of the light shielding film 10 was 2.8 at the wavelength of 193 nm of ArF excimer laser exposure light.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure by the above was obtained.

(転写用マスクおよび転写用マスクセットの作製)
実施例2と同様のプロセスで、エッチングマスク膜で補助遮光膜パターン20bに当たるものを作製し、その下部にある遮光膜パターン10aの部分とで構成される遮光部(遮光帯)80を有するバイナリ型転写用マスクを得た(図5(8))。
上記のようにして、比較例1のマスクブランクから転写用マスクを2枚作製し、バイナリ型転写用マスクセットを作製した。
(Production of transfer mask and transfer mask set)
In the same process as in the second embodiment, an etching mask film that corresponds to the auxiliary light-shielding film pattern 20b is produced, and a binary type having a light-shielding part (light-shielding band) 80 composed of a part of the light-shielding film pattern 10a below the etching mask film. A transfer mask was obtained (FIG. 5 (8)).
As described above, two transfer masks were prepared from the mask blank of Comparative Example 1 to prepare a binary transfer mask set.

(比較例2)
(マスクブランクの作製)
比較例1と同様にして、透光性基板1上に、遮光膜10として、MoSiN膜(遮光層11)、MoSiON膜(表面反射防止層12)、をそれぞれ形成した(図1)。このとき、MoSiN膜(遮光層11)の膜厚を、50nmから60nmに変えた。
遮光膜10の合計膜厚は70nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて3.3であった。
上記により、ArFエキシマレーザー露光用の遮光膜を形成したバイナリ型マスクブランクを得た。
(Comparative Example 2)
(Manufacture of mask blank)
In the same manner as in Comparative Example 1, a MoSiN film (light shielding layer 11) and a MoSiON film (surface antireflection layer 12) were formed as the light shielding film 10 on the translucent substrate 1 (FIG. 1). At this time, the film thickness of the MoSiN film (light shielding layer 11) was changed from 50 nm to 60 nm.
The total film thickness of the light shielding film 10 was 70 nm. The optical density (OD) of the light-shielding film 10 was 3.3 at a wavelength of 193 nm of ArF excimer laser exposure light.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure by the above was obtained.

(転写用マスクの作製)
比較例1と同様にして、遮光膜パターン10aを形成した後(図5(4))、エッチングマスクパターン20aをClとOの混合ガスのドライエッチングによって剥離し、補助遮光膜パターン20bに当たるものは形成しない構成のバイナリ型転写用マスクを得た。
上記のようにして、比較例2のマスクブランクから転写用マスクを2枚作製し、バイナリ型転写用マスクセットを作製した。
(Preparation of transfer mask)
After the light shielding film pattern 10a is formed in the same manner as in Comparative Example 1 (FIG. 5 (4)), the etching mask pattern 20a is peeled off by dry etching with a mixed gas of Cl 2 and O 2 and hits the auxiliary light shielding film pattern 20b. A binary transfer mask having a structure in which nothing was formed was obtained.
As described above, two transfer masks were prepared from the mask blank of Comparative Example 2 to prepare a binary transfer mask set.

(実施例2)
(マスクブランクの作製)
図2は、実施例2のバイナリ型マスクブランクの断面図である。
透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、MoSiN膜(裏面反射防止層13)、MoSiCH膜(遮光層11)、MoSiON膜(表面反射防止層12)、をそれぞれ形成した。
具体的には、透光性基板1上に、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=4原子%:96原子%)を用い、アルゴン(Ar)と窒素(N)とヘリウム(He)の混合ガス雰囲気(ガス流量比 Ar:N:He=6:11:16)で、ガス圧を0.1Pa、DC電源の電力を3.0kWとして、反応性スパッタリング(DCスパッタリング)により、裏面反射防止層(MoSiN膜:Mo:Si:N=2.3原子%:56.5原子%:41.2原子%)13を12nmの膜厚で形成した。
次に、裏面反射防止層13上に、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=21原子%:79原子%)を用い、アルゴン(Ar)とメタン(CH)とヘリウム(He)の混合ガス雰囲気(ガス流量比 Ar:CH:He=10:1:50)で、ガス圧を0.3Pa、DC電源の電力を2.0kWとして、反応性スパッタリング(DCスパッタリング)により、遮光層(MoSiCH膜:Mo:Si:C:H=19.2原子%:77.3原子%、C:2.0原子%、H:1.5原子%)11を29nmの膜厚で形成した。
次に、遮光層11上に、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=4原子%:96原子%)を用い、アルゴン(Ar)と酸素(O)と窒素(N)とヘリウム(He)の混合ガス雰囲気(ガス流量比 Ar:O:N:He=6:5:11:16)で、ガス圧を0.1Pa、DC電源の電力を3.0kWとして、反応性スパッタリング(DCスパッタリング)により、表面反射防止層(MoSiON膜:Mo:Si:O:N=2.6原子%:57.1原子%:15.9原子%:24.4原子%)12を15nmの膜厚で形成した。
なお、各層(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
遮光膜10の合計膜厚は56nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて2.8であった。
次に、上記基板を450℃で30分間加熱処理(アニール処理)した。
(Example 2)
(Manufacture of mask blank)
FIG. 2 is a cross-sectional view of the binary mask blank of the second embodiment.
A synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches is used as the light-transmitting substrate 1. (Light shielding layer 11) and MoSiON film (surface antireflection layer 12) were formed.
Specifically, a mixed target (Mo: Si = 4 atomic%: 96 atomic%) of molybdenum (Mo) and silicon (Si) is used on the light-transmitting substrate 1, and argon (Ar) and nitrogen (N 2 ) are used. ) And helium (He) mixed gas atmosphere (gas flow ratio Ar: N 2 : He = 6: 11: 16), gas pressure is 0.1 Pa, DC power supply is 3.0 kW, and reactive sputtering ( A back antireflection layer (MoSiN film: Mo: Si: N = 2.3 atomic%: 56.5 atomic%: 41.2 atomic%) 13 was formed to a thickness of 12 nm by DC sputtering.
Next, a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 21 atomic%: 79 atomic%) is used on the back surface antireflection layer 13, and argon (Ar), methane (CH 4 ), and Reactive sputtering (DC sputtering) in a mixed gas atmosphere of helium (He) (gas flow ratio Ar: CH 4 : He = 10: 1: 50) with a gas pressure of 0.3 Pa and a DC power supply of 2.0 kW. ) To form a light shielding layer (MoSiCH film: Mo: Si: C: H = 19.2 atomic%: 77.3 atomic%, C: 2.0 atomic%, H: 1.5 atomic%) 11 to 29 nm Formed with thickness.
Next, a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 4 atomic%: 96 atomic%) is used on the light shielding layer 11, and argon (Ar), oxygen (O 2 ), and nitrogen ( N 2 ) and helium (He) mixed gas atmosphere (gas flow ratio Ar: O 2 : N 2 : He = 6: 5: 11: 16), gas pressure is 0.1 Pa, and DC power is 3. The surface antireflection layer (MoSiON film: Mo: Si: O: N = 2.6 atomic%: 57.1 atomic%: 15.9 atomic%: 24.4 atoms) was set to 0 kW by reactive sputtering (DC sputtering). %) 12 was formed with a film thickness of 15 nm.
The elemental analysis of each layer (thin film) used Rutherford backscattering analysis.
The total film thickness of the light shielding film 10 was 56 nm. The optical density (OD) of the light shielding film 10 was 2.8 at a wavelength of 193 nm of ArF excimer laser exposure light.
Next, the substrate was heated (annealed) at 450 ° C. for 30 minutes.

次に、遮光膜10上に、補助遮光膜20を形成した(図2)。
具体的には、DCマグネトロンスパッタ装置を用い、クロムターゲットを使用し、ArとCOとNとHeとの混合ガス雰囲気(ガス流量比 Ar:CO:N:He=21:37:11:31)、ガス圧:0.2Pa、DC電源の電力:1.8kWで成膜を行い、CrOCN膜(膜中のCr含有率:33原子%)を21nmの膜厚で形成した。このときCrOCN膜を前記MoSi遮光膜のアニール処理温度よりも低い温度でアニールすることにより、MoSi遮光膜の膜応力に影響を与えずCrOCN膜の応力を極力低く(好ましくは膜応力が実質ゼロ)なるよう調整した。
なお、CrOCN膜(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
補助遮光膜20は、膜中のCr含有率が33原子%であるCrOCNからなり、膜厚25nmで光学濃度0.7である。
上記により、ArFエキシマレーザー露光用かつダブル露光技術対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, the auxiliary light shielding film 20 was formed on the light shielding film 10 (FIG. 2).
Specifically, using a DC magnetron sputtering apparatus, using a chromium target, a mixed gas atmosphere of Ar, CO 2 , N 2 and He (gas flow ratio Ar: CO 2 : N 2 : He = 21: 37: 11:31), gas pressure: 0.2 Pa, DC power supply: 1.8 kW, and a CrOCN film (Cr content in the film: 33 atomic%) was formed to a thickness of 21 nm. At this time, by annealing the CrOCN film at a temperature lower than the annealing temperature of the MoSi light-shielding film, the stress of the CrOCN film is as low as possible without affecting the film stress of the MoSi light-shielding film (preferably substantially zero film stress). It adjusted so that it might become.
For elemental analysis of the CrOCN film (thin film), Rutherford backscattering analysis was used.
The auxiliary light shielding film 20 is made of CrOCN having a Cr content of 33 atomic% in the film, and has an optical density of 0.7 at a film thickness of 25 nm.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and the double exposure technique according to the above was obtained.

(転写用マスクの作製)
実施例2のバイナリ型マスクブランクを用い、上記実施例1と同様にして、実施例2のバイナリ型転写用マスクを作製した。
上記のようにして、実施例2のマスクブランクからダブル露光用の転写用マスクセットを作製した。
(Preparation of transfer mask)
Using the binary mask blank of Example 2, the binary transfer mask of Example 2 was produced in the same manner as in Example 1 above.
As described above, a transfer mask set for double exposure was produced from the mask blank of Example 2.

(実施例3)
(マスクブランクの作製)
図3は、実施例3のバイナリ型マスクブランクの断面図である。
実施例1と同様に、透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、MoSiN膜(遮光層11)、MoSiON膜(表面反射防止層12)、をそれぞれ形成した。
次に、遮光膜10上に、エッチングマスク膜21を形成した(図3)。
具体的には、実施例1の補助遮光膜20の形成と同様の成膜条件で、CrOCN膜(膜中のCr含有率:33原子%)を10nmの膜厚で形成した。
Example 3
(Manufacture of mask blank)
FIG. 3 is a cross-sectional view of the binary mask blank of the third embodiment.
As in Example 1, a synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches was used as the light transmitting substrate 1, and a MoSiN film (light shielding layer) was formed on the light transmitting substrate 1 as the light shielding film 10. 11) and a MoSiON film (surface antireflection layer 12).
Next, an etching mask film 21 was formed on the light shielding film 10 (FIG. 3).
Specifically, a CrOCN film (Cr content in the film: 33 atom%) was formed to a thickness of 10 nm under the same film formation conditions as those of the auxiliary light shielding film 20 of Example 1.

次に、エッチングマスク膜21上に、補助遮光膜22を形成した(図3)。
具体的には、実施例3の補助遮光膜20の形成と同様の成膜条件で、補助遮光膜22(MoSiN膜:Mo:Si:N=14.7原子%:56.2原子%:29.1原子%)を15nmの膜厚で形成した。
エッチングマスク膜21は、膜中のCr含有率が33原子%であるCrOCNからなり、補助遮光膜22は、膜中のMo含有量が14.7原子%であるMoSiNからなり、エッチングマスク膜21と補助遮光膜22の積層構造で、合計膜厚25nmで光学濃度0.7である。
上記により、ArFエキシマレーザー露光用かつダブル露光対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, an auxiliary light shielding film 22 was formed on the etching mask film 21 (FIG. 3).
Specifically, the auxiliary light shielding film 22 (MoSiN film: Mo: Si: N = 14.7 atomic%: 56.2 atomic%: 29 under the same film formation conditions as the formation of the auxiliary light shielding film 20 of Example 3. .1 atomic%) was formed with a film thickness of 15 nm.
The etching mask film 21 is made of CrOCN having a Cr content of 33 atomic% in the film, and the auxiliary light shielding film 22 is made of MoSiN having a Mo content of 14.7 atomic%. And the auxiliary light shielding film 22 with a total film thickness of 25 nm and an optical density of 0.7.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and a double exposure by the above was obtained.

上記実施例3の態様では、上記実施例1等のCr系補助遮光膜20(エッチングマスクを兼ねる)に比べ、遮光膜10に対するエッチングマスク膜21の薄膜化が可能となる。これにより、遮光膜10のより高いエッチング精度を得る。   In the embodiment 3, the etching mask film 21 with respect to the light shielding film 10 can be made thinner than the Cr-based auxiliary light shielding film 20 (also serving as an etching mask) in the first embodiment. Thereby, higher etching accuracy of the light shielding film 10 is obtained.

(転写用マスクの作製)
実施例1と同様に、ダブル露光技術を適用し、1つの密な設計転写パターンを対となる
2つの転写パターンに分割し、製造した2枚のバイナリ型マスクブランクを使用して対となる2つの転写パターンをそれぞれ有する2枚の転写用マスク(転写用マスクセット)を以下の手順によりそれぞれ作製した。
マスクブランクの補助遮光膜20の表面に、窒素ガスを用いて蒸散させたHMDS(ヘキサメチルジシラザン)を接触させ、HMDS層からなるごく薄い層の密着性向上層を形成した。HMDS層は疎水性表面層であり、レジストの密着性が向上する。(図3、図6(1))。
マスクブランクの密着性向上層の上に、電子線描画(露光)用化学増幅型ポジレジスト100(PRL009:富士フィルムエレクトロニクスマテリアルズ社製)をスピンコート法により膜厚が75nmとなるように塗布した(図3、図6(1))。
次に、レジスト膜100に対し、電子線描画装置を用いて所望のパターンの描画を行った後、所定の現像液で現像してレジストパターン100aを形成した(図6(2))。
次に、レジストパターン100aをマスクとして、補助遮光膜22のドライエッチングを行い、補助遮光膜パターン22aを形成した(図6(3))。ドライエッチングガスとして、SFとHeの混合ガスを用いた。
次いで、残留したレジストパターン100aを薬液により剥離除去した。このとき、密着性向上層も同時に剥離除去される。
次に、補助遮光膜パターン22aをマスクとして、エッチングマスク膜21のドライエッチングを行い、エッチングマスクパターン21aを形成した(図6(4))。ドライエッチングガスとして、ClとOの混合ガス(Cl:O=4:1)を用いた。
次に、上記基板上に、電子線描画(露光)用ポジレジスト(FEP171:富士フィルムエレクトロニクスマテリアルズ社製)のレジスト膜110をスピンコート法により膜厚が100nmとなるように塗布した(図6(5))。
次に、レジスト膜110に対し、電子線描画装置を用いて遮光部(遮光帯)のパターンを描画露光し、所定の現像液で現像して、レジストパターン110bを形成した(図6(6))。
次に、エッチングマスクパターン21aをマスクにして、遮光膜10を、SFとHeの混合ガスを用い、ドライエッチングを行い、遮光膜パターン10aを形成した(図6(7))。これと同時に、レジストパターン110bをマスクとして、補助遮光膜パターン22aがドライエッチングによってエッチングされ、補助遮光膜パターン22bが形成された(図6(7))。
次に、レジストパターン110b及び補助遮光膜パターン22bをマスクとして、エッチングマスクパターン21aをClとOの混合ガス(Cl:O=4:1)でドライエッチングによってエッチングし、エッチングマスクパターン21bを形成した(図6(8))。
次に、レジストパターン110bを剥離し、所定の洗浄を施して、補助遮光膜パターン22b、エッチングマスクパターン21bおよび遮光膜パターン10aの部分で構成される遮光部(遮光帯)80を有する転写用マスクを得た(図6(9))。
上記のようにして、実施例3のマスクブランクから転写用マスクを2枚作製し、ダブル露光対応の転写用マスクセットを作製した。
(Preparation of transfer mask)
As in the first embodiment, the double exposure technique is applied to divide one dense design transfer pattern into two transfer patterns to be paired, and two pairs of binary mask blanks manufactured are used to form a pair 2 Two transfer masks (transfer mask sets) each having one transfer pattern were prepared by the following procedure.
HMDS (hexamethyldisilazane) evaporated using nitrogen gas was brought into contact with the surface of the auxiliary light shielding film 20 of the mask blank to form a very thin adhesion improving layer made of an HMDS layer. The HMDS layer is a hydrophobic surface layer and improves the adhesion of the resist. (FIG. 3, FIG. 6 (1)).
On the adhesion improving layer of the mask blank, a chemically amplified positive resist 100 for electron beam drawing (exposure) (PRL009: manufactured by Fuji Film Electronics Materials Co., Ltd.) was applied by spin coating so as to have a film thickness of 75 nm. (FIG. 3, FIG. 6 (1)).
Next, a desired pattern was drawn on the resist film 100 using an electron beam drawing apparatus, and then developed with a predetermined developer to form a resist pattern 100a (FIG. 6B).
Next, the auxiliary light shielding film 22 was dry-etched using the resist pattern 100a as a mask to form the auxiliary light shielding film pattern 22a (FIG. 6 (3)). A mixed gas of SF 6 and He was used as the dry etching gas.
Next, the remaining resist pattern 100a was peeled off with a chemical solution. At this time, the adhesion improving layer is also peeled and removed at the same time.
Next, dry etching of the etching mask film 21 was performed using the auxiliary light shielding film pattern 22a as a mask to form an etching mask pattern 21a (FIG. 6 (4)). As a dry etching gas, a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) was used.
Next, a resist film 110 of an electron beam drawing (exposure) positive resist (FEP171: manufactured by Fuji Film Electronics Materials) was applied on the substrate so as to have a film thickness of 100 nm (FIG. 6). (5)).
Next, the resist film 110 was subjected to drawing exposure with a pattern of a light-shielding portion (light-shielding band) using an electron beam drawing apparatus, and developed with a predetermined developer to form a resist pattern 110b (FIG. 6 (6)). ).
Next, using the etching mask pattern 21a as a mask, the light shielding film 10 was dry-etched using a mixed gas of SF 6 and He to form the light shielding film pattern 10a (FIG. 6 (7)). At the same time, the auxiliary light shielding film pattern 22a was etched by dry etching using the resist pattern 110b as a mask to form the auxiliary light shielding film pattern 22b (FIG. 6 (7)).
Next, using the resist pattern 110b and the auxiliary light shielding film pattern 22b as a mask, the etching mask pattern 21a is etched by dry etching with a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) to obtain an etching mask pattern. 21b was formed (FIG. 6 (8)).
Next, the resist pattern 110b is peeled off, subjected to predetermined cleaning, and a transfer mask having a light-shielding portion (light-shielding band) 80 composed of the auxiliary light-shielding film pattern 22b, the etching mask pattern 21b, and the light-shielding film pattern 10a. (FIG. 6 (9)).
As described above, two transfer masks were produced from the mask blank of Example 3, and a transfer mask set corresponding to double exposure was produced.

実施例4
(マスクブランクの作製)
図6(1)は、実施例5のバイナリ型マスクブランクの断面図である。
透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、CrOCN膜(裏面反射防止層13)、CrON膜(遮光層11)、CrOCN膜(表面反射防止層12)、をそれぞれ形成した(図2参照)。
具体的には、透光性基板1上に、クロム(Cr)ターゲットを用い、アルゴン(Ar)と二酸化炭素(CO)と窒素(N)とヘリウム(He)の混合ガス雰囲気(ガス流量比Ar:CO:N:He=24:29:12:35)で、ガス圧0.2Pa、DC電源の電力を1.7kWとして、反応性スパッタリング(DCスパッタリング)により、裏面反射防止層(CrOCN膜)13を31nmの膜厚で成膜した。
次に、裏面反射防止層13上に、クロム(Cr)ターゲットを用い、アルゴン(Ar)と一酸化窒素(NO)とヘリウム(He)の混合ガス雰囲気(ガス流量比Ar:NO:He=27:18:55)とし、ガス圧0.1Pa、DC電源の電力を1.7kWとして、反応性スパッリング(DCスパッタリング)により、遮光層(CrON膜)11を15nmの膜厚に成膜した。
次に、遮光層11上に、クロム(Cr)ターゲットを用い、アルゴン(Ar)と二酸化炭素(CO)と窒素(N)とヘリウム(He)の混合ガス雰囲気(ガス流量比Ar:CO:N:He=21:37:11:31)とし、ガス圧0.2Pa、DC電源の電力を1.8kWとして、反応性スパッタリング(DCスパッタリング)により、表面反射防止層(CrOCN膜)12を14nmの膜厚に成膜した。
なお、各層(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
遮光膜10の合計膜厚は60nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて2.5であった。
Example 4
(Manufacture of mask blank)
FIG. 6A is a cross-sectional view of the binary mask blank of the fifth embodiment.
A synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches is used as the translucent substrate 1. (Light shielding layer 11) and a CrOCN film (surface antireflection layer 12) were formed (see FIG. 2).
Specifically, a chromium (Cr) target is used on the light-transmitting substrate 1 and a mixed gas atmosphere (gas flow rate) of argon (Ar), carbon dioxide (CO 2 ), nitrogen (N 2 ), and helium (He). The ratio of Ar: CO 2 : N 2 : He = 24: 29: 12: 35), the gas pressure is 0.2 Pa, the power of the DC power source is 1.7 kW, and the back surface antireflection layer is formed by reactive sputtering (DC sputtering). (CrOCN film) 13 was formed to a thickness of 31 nm.
Next, a chromium (Cr) target is used on the back surface antireflection layer 13, and a mixed gas atmosphere of argon (Ar), nitric oxide (NO), and helium (He) (gas flow ratio Ar: NO: He = 27). 18:55), the gas pressure was 0.1 Pa, the power of the DC power source was 1.7 kW, and the light-shielding layer (CrON film) 11 was formed to a thickness of 15 nm by reactive sputtering (DC sputtering).
Next, a mixed gas atmosphere (gas flow ratio Ar: CO) of argon (Ar), carbon dioxide (CO 2 ), nitrogen (N 2 ), and helium (He) is used on the light shielding layer 11 using a chromium (Cr) target. 2 : N 2 : He = 21: 37: 11: 31), the gas pressure is 0.2 Pa, the power of the DC power source is 1.8 kW, and the surface antireflection layer (CrOCN film) is formed by reactive sputtering (DC sputtering). 12 was deposited to a thickness of 14 nm.
The elemental analysis of each layer (thin film) used Rutherford backscattering analysis.
The total film thickness of the light shielding film 10 was 60 nm. The optical density (OD) of the light-shielding film 10 was 2.5 at a wavelength of 193 nm of ArF excimer laser exposure light.

次に、遮光膜10上に、エッチングマスク膜21を形成した(図6(1))。
具体的には、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=4原子%:96原子%)を用い、アルゴン(Ar)と窒素(N)の混合ガス雰囲気で、反応性スパッタリング(DCスパッタリング)により、エッチングマスク膜21(MoSiN膜:Mo:Si:N=2.9原子%:67.5原子%:29.6原子%)を5nmの膜厚で形成した。
Next, an etching mask film 21 was formed on the light shielding film 10 (FIG. 6A).
Specifically, using a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 4 atomic%: 96 atomic%), the reaction is performed in a mixed gas atmosphere of argon (Ar) and nitrogen (N 2 ). Etching mask film 21 (MoSiN film: Mo: Si: N = 2.9 atomic%: 67.5 atomic%: 29.6 atomic%) was formed to a thickness of 5 nm by reactive sputtering (DC sputtering).

次に、エッチングマスク膜21上に、補助遮光膜22を形成した(図6(1))。
具体的には、実施例1の補助遮光膜20の形成と同様の成膜条件で、補助遮光膜22(CrOCN膜)を20nmの膜厚で形成した。
エッチングマスク膜21は、膜中のMo含有量が14.7原子%であるMoSiNからなり、補助遮光膜22は、膜中のCr含有率が33原子%であるCrOCNからなり、エッチングマスク膜21と補助遮光膜22の積層構造で、合計膜厚25nmで光学濃度0.6である。
上記により、ArFエキシマレーザー露光用かつダブル露光対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, an auxiliary light shielding film 22 was formed on the etching mask film 21 (FIG. 6A).
Specifically, the auxiliary light shielding film 22 (CrOCN film) was formed to a thickness of 20 nm under the same film formation conditions as those of the auxiliary light shielding film 20 of Example 1.
The etching mask film 21 is made of MoSiN having a Mo content of 14.7 atomic%, and the auxiliary light shielding film 22 is made of CrOCN having a Cr content of 33 atomic% in the film. And the auxiliary light shielding film 22 with a total film thickness of 25 nm and an optical density of 0.6.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and a double exposure by the above was obtained.

(転写用マスクの作製)
実施例1と同様に、ダブル露光技術を適用し、1つの密な設計転写パターンを対となる2つの転写パターンに分割し、製造した2枚のバイナリ型マスクブランクを使用して対となる2つの転写パターンをそれぞれ有する2枚の転写用マスク(転写用マスクセット)を以下の手順によりそれぞれ作製した。
マスクブランクの補助遮光膜22の上に、電子線描画(露光)用化学増幅型ポジレジスト100(PRL009:富士フィルムエレクトロニクスマテリアルズ社製)をスピンコート法により膜厚が75nmとなるように塗布した(図6(1))。
次に、レジスト膜100に対し、電子線描画装置を用いて所望のパターンの描画を行った後、所定の現像液で現像してレジストパターン100aを形成した(図6(2))。
次に、レジストパターン100aをマスクとして、補助遮光膜22のドライエッチングを行い、補助遮光膜パターン22aを形成した(図6(3))。ドライエッチングガスとして、ClとOの混合ガス(Cl:O=4:1)を用いた。
次いで、残留したレジストパターン100aを薬液により剥離除去した。
次に、補助遮光膜パターン22aをマスクとして、エッチングマスク膜21のドライエッチングを行い、エッチングマスク膜のパターン21aを形成した(図6(4))。ドライエッチングガスとして、SFとHeの混合ガスを用いた。
次に、上記基板上に、電子線描画(露光)用ポジレジスト(FEP171:富士フィルムエレクトロニクスマテリアルズ社製)のレジスト膜110をスピンコート法により膜厚が100nmとなるように塗布した(図6(5))。
次に、レジスト膜110に対し、電子線描画装置を用いて遮光部(遮光帯)のパターンを描画露光し、所定の現像液で現像して、レジストパターン110bを形成した(図6(6)参照)。
次に、エッチングマスク膜のパターン21aをマスクにして、遮光膜10を、ClとOの混合ガス(Cl:O=4:1)を用い、ドライエッチングを行い、遮光膜パターン10aを形成した(図6(7)参照)。これと同時に、レジストパターン110bをマスクとして、補助遮光膜パターン22aがドライエッチングによってエッチングされ、補助遮光膜パターン22bが形成された(図6(7)参照)。
次に、レジストパターン110b及び補助遮光膜パターン22bをマスクとして、エッチングマスク膜のパターン21aをSFとHeの混合ガスでドライエッチングによってエッチングし、エッチングマスク膜のパターン21bを形成した(図6(8)参照)。
次に、レジストパターン110bを剥離し、所定の洗浄を施して、補助遮光膜パターン20bとその下部にある遮光膜パターン10aの部分とで構成される遮光部(遮光帯)80を有する転写用マスクを得た(図6(9)参照)。
上記のようにして、実施例4のマスクブランクから転写用マスクを2枚作製し、ダブル露光対応の転写用マスクセットを作製した。
(Preparation of transfer mask)
As in the first embodiment, the double exposure technique is applied to divide one dense design transfer pattern into two transfer patterns to be paired, and two pairs of binary mask blanks manufactured are used to form a pair 2 Two transfer masks (transfer mask sets) each having one transfer pattern were prepared by the following procedure.
On the auxiliary light shielding film 22 of the mask blank, a chemically amplified positive resist 100 for electron beam drawing (exposure) (PRL009: manufactured by Fuji Film Electronics Materials Co., Ltd.) was applied by spin coating so that the film thickness became 75 nm. (FIG. 6 (1)).
Next, a desired pattern was drawn on the resist film 100 using an electron beam drawing apparatus, and then developed with a predetermined developer to form a resist pattern 100a (FIG. 6B).
Next, the auxiliary light shielding film 22 was dry-etched using the resist pattern 100a as a mask to form the auxiliary light shielding film pattern 22a (FIG. 6 (3)). As a dry etching gas, a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) was used.
Next, the remaining resist pattern 100a was peeled off with a chemical solution.
Next, using the auxiliary light shielding film pattern 22a as a mask, the etching mask film 21 was dry-etched to form an etching mask film pattern 21a (FIG. 6D). A mixed gas of SF 6 and He was used as the dry etching gas.
Next, a resist film 110 of an electron beam drawing (exposure) positive resist (FEP171: manufactured by Fuji Film Electronics Materials) was applied on the substrate so as to have a film thickness of 100 nm (FIG. 6). (5)).
Next, the resist film 110 was subjected to drawing exposure with a pattern of a light-shielding portion (light-shielding band) using an electron beam drawing apparatus, and developed with a predetermined developer to form a resist pattern 110b (FIG. 6 (6)). reference).
Next, using the etching mask film pattern 21a as a mask, the light shielding film 10 is dry-etched using a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) to form the light shielding film pattern 10a. (See FIG. 6 (7)). At the same time, the auxiliary light shielding film pattern 22a was etched by dry etching using the resist pattern 110b as a mask to form the auxiliary light shielding film pattern 22b (see FIG. 6 (7)).
Next, using the resist pattern 110b and the auxiliary light shielding film pattern 22b as a mask, the etching mask film pattern 21a is etched by dry etching with a mixed gas of SF 6 and He to form an etching mask film pattern 21b (FIG. 6 ( 8)).
Next, the resist pattern 110b is peeled off, subjected to predetermined cleaning, and a transfer mask having a light shielding portion (light shielding band) 80 composed of the auxiliary light shielding film pattern 20b and the portion of the light shielding film pattern 10a below the auxiliary light shielding film pattern 20b. (See FIG. 6 (9)).
As described above, two transfer masks were prepared from the mask blank of Example 4, and a transfer mask set corresponding to double exposure was prepared.

実施例5
(マスクブランクの作製)
図1は、実施例5のバイナリ型マスクブランクの断面図である。
透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、窒化タンタル(TaN)膜(遮光層11)、酸化タンタル(TaO)膜(表面反射防止層12)、をそれぞれ形成した。
具体的には、DCマグネトロンスパッタ装置を用い、Taターゲットを使用し、導入ガス及びその流量:Ar=39.5sccm、N=3sccm、DC電源の電力:1.5kWの条件で、膜厚41nmの窒化タンタル(TaN)からなる膜(Ta:93原子%、N:7原子%)を形成した。次に、同じTaターゲットを使用し、導入ガス及びその流量:Ar=58sccm、O=32.5sccm、DC電源の電力:0.7kWの条件で、膜厚11nmの酸化タンタル(TaO)からなる膜(Ta:42原子%、O:58原子%)を形成した。
遮光膜10の合計膜厚は52nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて3.0であった。
Example 5
(Manufacture of mask blank)
FIG. 1 is a cross-sectional view of a binary mask blank of Example 5.
A synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches is used as the light-transmitting substrate 1, and a tantalum nitride (TaN) film (light-blocking layer 11) is formed on the light-transmitting substrate 1 as the light-blocking film 10. A tantalum oxide (TaO) film (surface antireflection layer 12) was formed.
Specifically, a DC magnetron sputtering apparatus is used, a Ta target is used, the introduced gas and its flow rate: Ar = 39.5 sccm, N 2 = 3 sccm, the power of the DC power source: 1.5 kW, and the film thickness is 41 nm. A film made of tantalum nitride (TaN) (Ta: 93 atomic%, N: 7 atomic%) was formed. Next, using the same Ta target, it is made of tantalum oxide (TaO) with a film thickness of 11 nm under the conditions of introduced gas and its flow rate: Ar = 58 sccm, O 2 = 32.5 sccm, and DC power supply: 0.7 kW. A film (Ta: 42 atomic%, O: 58 atomic%) was formed.
The total film thickness of the light shielding film 10 was 52 nm. The optical density (OD) of the light-shielding film 10 was 3.0 at a wavelength of 193 nm of ArF excimer laser exposure light.

次に、遮光膜10上に、補助遮光膜20を形成した(図5(1))。
具体的には、DCマグネトロンスパッタ装置を用い、クロムターゲットを使用し、ArとCOとNとHeとの混合ガス雰囲気(ガス流量比 Ar:CO:N:He=21:37:11:31)、ガス圧:0.2Pa、DC電源の電力:1.8kWで成膜を行い、CrOCN膜(膜中のCr含有率:33原子%)を22nmの膜厚で形成した。このときCrOCN膜を前記MoSi遮光膜のアニール処理温度よりも低い温度でアニールすることにより、MoSi遮光膜の膜応力に影響を与えずCrOCN膜の応力を極力低く(好ましくは膜応力が実質ゼロ)なるよう調整した。
なお、各層(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
補助遮光膜20は、膜中のCr含有率が33原子%であるCrOCNからなり、膜厚22nmで光学濃度0.5である。
上記により、ArFエキシマレーザー露光用かつダブル露光技術対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, an auxiliary light shielding film 20 was formed on the light shielding film 10 (FIG. 5A).
Specifically, using a DC magnetron sputtering apparatus, using a chromium target, a mixed gas atmosphere of Ar, CO 2 , N 2 and He (gas flow ratio Ar: CO 2 : N 2 : He = 21: 37: 11:31), gas pressure: 0.2 Pa, DC power supply: 1.8 kW, and a CrOCN film (Cr content in the film: 33 atomic%) was formed to a thickness of 22 nm. At this time, by annealing the CrOCN film at a temperature lower than the annealing temperature of the MoSi light-shielding film, the stress of the CrOCN film is as low as possible without affecting the film stress of the MoSi light-shielding film (preferably substantially zero film stress). It adjusted so that it might become.
The elemental analysis of each layer (thin film) used Rutherford backscattering analysis.
The auxiliary light shielding film 20 is made of CrOCN having a Cr content of 33 atomic% in the film, and has an optical density of 0.5 with a film thickness of 22 nm.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and the double exposure technique according to the above was obtained.

(転写用マスクの作製)
実施例1と同様に、ダブル露光技術を適用し、1つの密な設計転写パターンを対となる2つの転写パターンに分割し、製造した2枚のバイナリ型マスクブランクを使用して対となる2つの転写パターンをそれぞれ有する2枚の転写用マスク(転写用マスクセット)を以下の手順によりそれぞれ作製した。
マスクブランクの補助遮光膜20の上に、電子線描画(露光)用化学増幅型ポジレジスト100(PRL009:富士フィルムエレクトロニクスマテリアルズ社製)をスピンコート法により膜厚が100nmとなるように塗布した(図1、図5(1))。
次に、レジスト膜100に対し、電子線描画装置を用いて所望のパターンの描画を行った後、所定の現像液で現像してレジストパターン100aを形成した(図5(2))。
次に、レジストパターン100aをマスクとして、補助遮光膜20のドライエッチングを行い、補助遮光膜パターン20aを形成し(図5(3))。ドライエッチングガスとして、ClとOの混合ガス(Cl:O=4:1)を用いた。
次いで、残留したレジストパターン100aを薬液により剥離除去した。
次いで、補助遮光膜パターン20aをマスクにして、遮光膜10のドライエッチングを行い、遮光膜パターン10aを形成した(図5(4))。このとき、酸化タンタル(TaO)層12のドライエッチングガスとして、CHFとHeの混合ガスを用いた。窒化タンタル(TaN)層11のドライエッチングガスとして、Clガスを用いた。
次に、電子線描画(露光)用ポジレジスト(FEP171:富士フィルムエレクトロニクスマテリアルズ社製)のレジスト膜110をスピンコート法により膜厚が200nmとなるように塗布した(図5(5))。
次に、レジスト膜110に対し、電子線描画装置を用いて遮光部(遮光帯)のパターンを描画露光し、所定の現像液で現像して、レジストパターン110bを形成し(図5(6))、このレジストパターン110bをマスクとして、補助遮光膜パターン20aをClとOの混合ガス(Cl:O=4:1)でドライエッチングによってエッチングし、補助遮光膜パターン20bを形成した(図5(7))。
次に、レジストパターン110bを剥離し、所定の洗浄を施して、補助遮光膜パターン20bとその下部にある遮光膜パターン10aの部分とで構成される遮光部(遮光帯)80を有するバイナリ型転写用マスクを得た(図5(8))。
上記のようにして、実施例5のマスクブランクから転写用マスクを2枚作製し、ダブル露光技術対応の転写用マスクセットを作製した。
(Preparation of transfer mask)
As in the first embodiment, the double exposure technique is applied to divide one dense design transfer pattern into two transfer patterns to be paired, and two pairs of binary mask blanks manufactured are used to form a pair 2 Two transfer masks (transfer mask sets) each having one transfer pattern were prepared by the following procedure.
On the auxiliary light shielding film 20 of the mask blank, a chemically amplified positive resist 100 (PRL009: manufactured by Fuji Film Electronics Materials) for electron beam drawing (exposure) was applied by spin coating so as to have a film thickness of 100 nm. (FIG. 1, FIG. 5 (1)).
Next, a desired pattern was drawn on the resist film 100 using an electron beam drawing apparatus, and then developed with a predetermined developer to form a resist pattern 100a (FIG. 5 (2)).
Next, the auxiliary light shielding film 20 is dry-etched using the resist pattern 100a as a mask to form the auxiliary light shielding film pattern 20a (FIG. 5 (3)). As a dry etching gas, a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) was used.
Next, the remaining resist pattern 100a was peeled off with a chemical solution.
Next, using the auxiliary light shielding film pattern 20a as a mask, the light shielding film 10 was dry etched to form the light shielding film pattern 10a (FIG. 5D). At this time, a mixed gas of CHF 3 and He was used as a dry etching gas for the tantalum oxide (TaO) layer 12. A Cl 2 gas was used as a dry etching gas for the tantalum nitride (TaN) layer 11.
Next, a resist film 110 of an electron beam drawing (exposure) positive resist (FEP171: manufactured by Fuji Film Electronics Materials Co., Ltd.) was applied by a spin coating method so as to have a film thickness of 200 nm (FIG. 5 (5)).
Next, the resist film 110 is subjected to drawing exposure with a pattern of a light shielding portion (light shielding band) using an electron beam drawing apparatus, and developed with a predetermined developer to form a resist pattern 110b (FIG. 5 (6)). ) Using this resist pattern 110b as a mask, the auxiliary light shielding film pattern 20a was etched by dry etching with a mixed gas of Cl 2 and O 2 (Cl 2 : O 2 = 4: 1) to form the auxiliary light shielding film pattern 20b. (FIG. 5 (7)).
Next, the resist pattern 110b is peeled off, subjected to predetermined cleaning, and binary transfer having a light-shielding portion (light-shielding band) 80 composed of the auxiliary light-shielding film pattern 20b and the portion of the light-shielding film pattern 10a therebelow. A mask was obtained (FIG. 5 (8)).
As described above, two transfer masks were produced from the mask blank of Example 5, and a transfer mask set corresponding to the double exposure technique was produced.

実施例6
(マスクブランクの作製)
図1は、実施例6のバイナリ型マスクブランクの断面図である。
透光性基板1としてサイズ6インチ角、厚さ0.25インチの合成石英ガラス基板を用い、透光性基板1上に、遮光膜10として、MoSiN膜(遮光層11)、MoSiN膜(表面反射防止層12)、をそれぞれ形成した。
具体的には、DCマグネトロンスパッタ装置を用い、モリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=13原子%:87原子%)を使用し、アルゴン(Ar)と窒素(N)の混合ガス雰囲気で、膜厚44nmのMoSiNからなる膜(Mo:10.2原子%、Si:64.7原子%、N:25.1原子%)を形成した。次に、同じモリブデン(Mo)とケイ素(Si)の混合ターゲット(Mo:Si=13原子%:87原子%)を使用し、アルゴン(Ar)と窒素(N)の混合ガス雰囲気で、膜厚13nmのMoSiNからなる膜(Mo:7.5原子%、Si:50.1原子%、N:42.4原子%)を形成した。
遮光膜10の合計膜厚は57nmとした。遮光膜10の光学濃度(OD)はArFエキシマレーザー露光光の波長193nmにおいて2.8であった。
Example 6
(Manufacture of mask blank)
FIG. 1 is a sectional view of a binary mask blank of Example 6.
A synthetic quartz glass substrate having a size of 6 inches square and a thickness of 0.25 inches is used as the light-transmitting substrate 1, and a MoSiN film (light-blocking layer 11) and a MoSiN film (surface) are formed on the light-transmitting substrate 1 as the light-blocking film 10. Each of the antireflection layers 12) was formed.
Specifically, using a DC magnetron sputtering apparatus, using a mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 13 atomic%: 87 atomic%), argon (Ar) and nitrogen (N 2 ) In a mixed gas atmosphere (Mo: 10.2 atomic%, Si: 64.7 atomic%, N: 25.1 atomic%). Next, using the same mixed target of molybdenum (Mo) and silicon (Si) (Mo: Si = 13 atomic%: 87 atomic%), the film is formed in a mixed gas atmosphere of argon (Ar) and nitrogen (N 2 ). A film made of MoSiN having a thickness of 13 nm (Mo: 7.5 atomic%, Si: 50.1 atomic%, N: 42.4 atomic%) was formed.
The total film thickness of the light shielding film 10 was 57 nm. The optical density (OD) of the light shielding film 10 was 2.8 at a wavelength of 193 nm of ArF excimer laser exposure light.

次に、遮光膜10上に、補助遮光膜20を形成した。具体的には、DCマグネトロンスパッタ装置を用い、クロムターゲットを使用し、ArとNとの混合ガス雰囲気で成膜を行い、CrN膜(膜中のCr含有率:80原子%)を9nmの膜厚で形成した。このときCrN膜を200℃でアニールし、CrN膜の応力を極力低くなるよう調整した。
なお、各層(薄膜)の元素分析は、ラザフォード後方散乱分析法を用いた。
補助遮光膜20は、膜中のCr含有率が80原子%であるCrNからなり、膜厚9nmで光学濃度0.5である。
上記により、ArFエキシマレーザー露光用かつダブル露光技術対応の遮光膜を形成したバイナリ型マスクブランクを得た。
Next, an auxiliary light shielding film 20 was formed on the light shielding film 10. Specifically, a DC magnetron sputtering apparatus is used, a chromium target is used, a film is formed in a mixed gas atmosphere of Ar and N 2, and a CrN film (Cr content in the film: 80 atomic%) is 9 nm. It was formed with a film thickness. At this time, the CrN film was annealed at 200 ° C. to adjust the stress of the CrN film as low as possible.
The elemental analysis of each layer (thin film) used Rutherford backscattering analysis.
The auxiliary light shielding film 20 is made of CrN having a Cr content of 80 atomic% in the film, and has an optical density of 0.5 with a film thickness of 9 nm.
The binary mask blank which formed the light shielding film for ArF excimer laser exposure and the double exposure technique according to the above was obtained.

(転写用マスクの作製)
実施例1と同様に、ダブル露光技術を適用し、1つの密な設計転写パターンを対となる2つの転写パターンに分割し、製造した2枚のバイナリ型マスクブランクを使用して対となる2つの転写パターンをそれぞれ有する2枚の転写用マスク(転写用マスクセット)をそれぞれ作製した。
以上のようにして、実施例6のマスクブランクから転写用マスクを2枚作製し、ダブル露光技術対応の転写用マスクセットを作製した。
(Preparation of transfer mask)
As in the first embodiment, the double exposure technique is applied to divide one dense design transfer pattern into two transfer patterns to be paired, and two pairs of binary mask blanks manufactured are used to form a pair 2 Two transfer masks (transfer mask sets) each having one transfer pattern were produced.
As described above, two transfer masks were produced from the mask blank of Example 6 to produce a transfer mask set compatible with the double exposure technique.

評価
実施例1〜6で得られたダブル露光技術対応のバイナリ型転写用マスクセットを用いて、ArF露光光で、ダブル露光によりウェハ上のレジスト膜に転写パターンを転写すると、8回露光される部分でレジスト膜の感光が転写パターンに影響が生じない程度に抑制できていることが確認された。
これに対し、比較例1で得られたバイナリ型フォトマスクセット(光学濃度(OD)2.8の遮光膜から、転写パターン領域の遮光膜パターンを形成し、遮光膜とエッチングマスク膜の積層構造から、転写パターン領域の外側の領域の遮光帯を形成した)を用いて、ArF露光光で、ダブル露光により、ウェハ上のレジスト膜に転写パターンを転写すると、8回露光される部分でレジスト膜が感光されてしまっており、転写パターンに影響が生じていることが確認された。すなわち、従来の遮光膜10に転写パターンを転写するマスクとしての役割のみで考慮された膜厚のエッチングマスクでは光学濃度が不足する(エッチングマスクの役割の観点では、ドライエッチングで遮光膜10にパターンが転写し終えるまでマスクとして機能する範囲でより薄い膜厚が好ましく、光学濃度の確保とは相反する関係である。)ことが明らかとなった。
Evaluation When the transfer pattern is transferred to the resist film on the wafer by double exposure using ArF exposure light using the binary transfer mask set corresponding to the double exposure technology obtained in Examples 1 to 6, exposure is performed 8 times. It was confirmed that the resist film photosensitivity was suppressed to such an extent that the transfer pattern was not affected.
On the other hand, the light shielding film pattern in the transfer pattern region is formed from the light shielding film having the optical density (OD) of 2.8 obtained in the comparative example 1, and the laminated structure of the light shielding film and the etching mask film. Then, when the transfer pattern is transferred to the resist film on the wafer by double exposure with ArF exposure light using a light shielding band outside the transfer pattern area), the resist film is exposed at the portion exposed eight times. Was exposed to light, and it was confirmed that the transfer pattern was affected. That is, the optical density is insufficient in an etching mask having a film thickness that is considered only as a mask for transferring a transfer pattern to the light shielding film 10 (from the viewpoint of the role of the etching mask, the pattern on the light shielding film 10 by dry etching is insufficient. It is clear that a thinner film thickness is preferable in a range that functions as a mask until the transfer is completed, which is contrary to securing optical density.

実施例1〜6で得られたダブル露光技術対応のバイナリ型転写用マスクセットを用いて、ArF露光光で、ダブル露光により、ウェハ上のレジスト膜に転写パターンを転写すると、転写パターン領域に対応する2回露光される部分でレジスト膜の感光が転写パターンに影響が生じない程度に抑制できていることが確認された。
また、転写パターン領域の遮光膜の膜厚を薄くできるので、転写パターン領域の遮光膜パターンの精度を比較例2に比べ向上できた。
さらに、遮光膜の膜厚が厚くなるに従い、電磁界(EMF)効果に起因するバイアスは大きくなり、膜厚が厚くなるに従って複雑なシミュレーションが必要となり、多大な負荷がかかるという問題を回避できた。
これに対し、比較例2で得られたバイナリ型フォトマスクセット(単純に遮光膜の膜厚を厚くしてダブル露光技術に対応する場合で、光学濃度(OD)3.3の遮光膜から、転写パターン領域の遮光膜パターンおよび転写パターン領域の外側の領域の遮光帯を形成した)を用いて、ArF露光光で、ダブル露光により、ウェハ上のレジスト膜に転写パターンを転写すると、転写パターン領域に対応する2回露光される部分や、転写パターン領域の外側の領域で8回露光される部分でレジスト膜の感光が転写パターンに影響が生じない程度に抑制できているものの、転写パターン領域の遮光膜の膜厚が厚くなるので、転写パターン領域の遮光膜パターンの精度の低下が確認された。
さらに、遮光膜の膜厚が厚くなるに従い、電磁界(EMF)効果に起因するバイアスは大きくなり、膜厚が厚くなるに従って複雑なシミュレーションが必要となり、比較例2の場合、他の実施例と比較して、多大な負荷がかかるという問題があることが確認された。
When the transfer pattern is transferred to the resist film on the wafer by double exposure with ArF exposure light using the binary transfer mask set corresponding to the double exposure technology obtained in Examples 1 to 6, it corresponds to the transfer pattern region. It was confirmed that the resist film exposure was suppressed to the extent that the transfer pattern was not affected in the portion exposed twice.
Further, since the thickness of the light shielding film in the transfer pattern region can be reduced, the accuracy of the light shielding film pattern in the transfer pattern region can be improved as compared with Comparative Example 2.
Furthermore, as the film thickness of the light shielding film increases, the bias due to the electromagnetic field (EMF) effect increases, and as the film thickness increases, a complicated simulation is required, thereby avoiding the problem that a large load is applied. .
In contrast, the binary photomask set obtained in Comparative Example 2 (in the case where the film thickness of the light shielding film is simply increased to correspond to the double exposure technique, from the light shielding film having an optical density (OD) of 3.3), The transfer pattern area is transferred to the resist film on the wafer by double exposure with ArF exposure light using a light shielding film pattern in the transfer pattern area and a light shielding band outside the transfer pattern area). Although the resist film exposure can be suppressed to the extent that the exposure of the resist film does not affect the transfer pattern in the portion exposed twice and the portion exposed eight times outside the transfer pattern region, Since the thickness of the light shielding film is increased, it was confirmed that the accuracy of the light shielding film pattern in the transfer pattern region was lowered.
Further, as the film thickness of the light shielding film increases, the bias due to the electromagnetic field (EMF) effect increases, and as the film thickness increases, a complicated simulation is required. In comparison, it was confirmed that there is a problem that a great load is applied.

以上、本発明を実施形態や実施例を用いて説明したが、本発明の技術的範囲は、上記実施形態や実施例に記載の範囲には限定されない。上記実施形態や実施例に、多様な変更又は改良を加えることが可能であることは、当業者に明らかである。その様な変更又は改良を加えた形態も本発明の技術的範囲に含まれ得ることが、特許請求の範囲の記載から明らかである。   As mentioned above, although this invention was demonstrated using embodiment and an Example, the technical scope of this invention is not limited to the range as described in the said embodiment and Example. It will be apparent to those skilled in the art that various modifications or improvements can be added to the above-described embodiments and examples. It is apparent from the description of the scope of claims that embodiments with such changes or improvements can be included in the technical scope of the present invention.

1 透光性基板
10 遮光膜
11 遮光層
12 表面反射防止層
13 裏面反射防止層
20 補助遮光膜
21 エッチングマスク膜
22 補助遮光膜
100 レジスト膜
110 レジスト膜
DESCRIPTION OF SYMBOLS 1 Translucent substrate 10 Light shielding film 11 Light shielding layer 12 Front surface antireflection layer 13 Back surface antireflection layer 20 Auxiliary light shielding film 21 Etching mask film 22 Auxiliary light shielding film 100 Resist film 110 Resist film

Claims (20)

ArF露光光が適用されるバイナリ型の転写用マスクを作製するために用いられるマスクブランクであって、
透光性基板上に、基板側から、遮光膜と、補助遮光膜とをこの順に備え、
前記遮光膜は、遮光層と表面反射防止層の積層構造を有し、
前記遮光膜は、転写パターンを形成するためのものであり、
前記補助遮光膜は、遮光帯パターンを形成するためのものであり、かつ前記遮光膜との積層構造で遮光帯を形成するためのものであり、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上1.5以下である、
ことを特徴とするマスクブランク。
A mask blank used for producing a binary transfer mask to which ArF exposure light is applied,
On a transparent substrate, from the substrate side, comprising: a light film shielding, the auxiliary light-shielding film in this order,
The light shielding film has a laminated structure of a light shielding layer and a surface antireflection layer,
The light shielding film is for forming a transfer pattern,
The auxiliary light shielding film is for forming a light shielding band pattern, and for forming a light shielding band in a laminated structure with the light shielding film,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more and 1.5 or less .
A mask blank characterized by that.
ダブル露光技術が適用される転写用マスクを作製するために用いられることを特徴とする請求項1に記載のマスクブランク。   The mask blank according to claim 1, wherein the mask blank is used for producing a transfer mask to which a double exposure technique is applied. 前記補助遮光膜は、前記遮光膜をエッチングする際に用いられる、エッチング媒質に対してエッチング選択性を有することを特徴とする請求項1または2に記載のマスクブランク。 The auxiliary shielding film is used when etching the light shielding film, the mask blank according to claim 1 or 2, characterized in that it has an etch selectivity with respect to etching medium. 前記遮光膜は、遷移金属とケイ素を主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする請求項1からのいずれか1項に記載のマスクブランク。 The said light shielding film is a film | membrane which has a transition metal and a silicon as a main component, and the said auxiliary | assistant light shielding film is a film | membrane which has chromium as a main component, The any one of Claim 1 to 3 characterized by the above-mentioned. The mask blank described. 前記遮光膜は、クロムを主成分とする膜であり、前記補助遮光膜は、遷移金属とケイ素を主成分とする膜であることを特徴とする請求項1からのいずれか1項に記載のマスクブランク。 The said light shielding film is a film | membrane which has chromium as a main component, The said auxiliary | assistant light shielding film is a film | membrane which has a transition metal and a silicon as a main component, The any one of Claim 1 to 3 characterized by the above-mentioned. Mask blank. 前記遮光膜は、タンタルを主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする請求項1からのいずれか1項に記載のマスクブランク。 The light shielding film is a film composed mainly of tantalum, the auxiliary light-shielding film is a film mainly containing chromium mask according to any one of claims 1 to 3, characterized in that blank. 前記遮光膜と前記補助遮光膜の間に、これらの膜をエッチングする際に用いられるエッチング媒質に対してエッチング選択性を有するエッチングマスク膜を設けることを特徴とする請求項1または2に記載のマスクブランク。 Between the auxiliary light-shielding film and the light-shielding film, according to claim 1 or 2, characterized in that provision of the etching mask layer having an etch selectivity with respect to etching medium used in etching these films Mask blank. 前記遮光膜、前記補助遮光膜ともに、遷移金属とケイ素を主成分とする膜であり、さらにクロムを主成分とするエッチングマスク膜を有することを特徴とする請求項に記載のマスクブランク。 8. The mask blank according to claim 7 , wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of a transition metal and silicon, and further include an etching mask film mainly composed of chromium. 前記遮光膜、前記補助遮光膜ともに、クロムを主成分とする膜であり、さらに遷移金属とケイ素を主成分とするエッチングマスク膜を有することを特徴とする請求項に記載のマスクブランク。 The mask blank according to claim 7 , wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of chromium, and further include an etching mask film mainly composed of transition metal and silicon. 請求項1からのいずれか1項に記載のマスクブランクを用いて作製される転写用マスク。 The transfer mask produced using the mask blank of any one of Claim 1 to 9 . ArF露光光が適用されるバイナリ型の転写用マスクであって、
透光性基板上の転写パターン領域に、遮光膜で形成される転写パターンを有し、
転写パターン領域の外側の領域に、基板側から、前記遮光膜と遮光帯パターンを有する補助遮光膜との積層構造で形成される遮光帯を有し、
前記遮光膜は、遮光層と表面反射防止層の積層構造を有し、
前記遮光膜の光学濃度が2.5以上3.1以下であり、かつ、前記補助遮光膜の光学濃度が0.5以上1.5以下である、
ことを特徴とする転写用マスク。
A binary transfer mask to which ArF exposure light is applied,
In the transfer pattern area on the translucent substrate, has a transfer pattern formed of a light shielding film,
Outside the region of the transfer pattern region, from the substrate side, it has a light-shielding band formed in laminated structure of the auxiliary light-shielding film having a light-shielding band pattern and the light shielding film,
The light shielding film has a laminated structure of a light shielding layer and a surface antireflection layer,
The optical density of the light shielding film is 2.5 or more and 3.1 or less, and the optical density of the auxiliary light shielding film is 0.5 or more and 1.5 or less .
A transfer mask characterized by that.
ダブル露光技術が適用されることを特徴とする請求項11に記載の転写用マスク。 The transfer mask according to claim 11 , wherein a double exposure technique is applied. 前記補助遮光膜は、前記遮光膜をエッチングする際に用いられる、エッチング媒質に対してエッチング選択性を有することを特徴とする請求項11または12に記載の転写用マスク。 The transfer mask according to claim 11 , wherein the auxiliary light shielding film has etching selectivity with respect to an etching medium used when the light shielding film is etched. 前記遮光膜は、遷移金属とケイ素を主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする請求項11から13のいずれか1項に記載の転写用マスク。 The light shielding film is a film composed mainly of a transition metal and silicon, wherein the auxiliary light-shielding film is a film mainly composed of chromium, that any one of claims 11 13, wherein The transfer mask as described. 前記遮光膜は、クロムを主成分とする膜であり、前記補助遮光膜は、遷移金属とケイ素を主成分とする膜であることを特徴とする請求項11から13のいずれか1項に記載の転写用マスク。 The said light shielding film is a film | membrane which has chromium as a main component, The said auxiliary | assistant light shielding film is a film | membrane which has a transition metal and a silicon as a main component, The any one of Claim 11 to 13 characterized by the above-mentioned. Transfer mask. 前記遮光膜は、タンタルを主成分とする膜であり、前記補助遮光膜は、クロムを主成分とする膜である、ことを特徴とする請求項11から13のいずれか1項に記載の転写用マスク。 The light shielding film is a film composed mainly of tantalum, the auxiliary light-shielding film is a film mainly composed of chromium, that transfer according to any one of claims 11, wherein 13 Mask. 前記遮光膜と前記補助遮光膜の間に、これらの膜をエッチングする際に用いられるエッチング媒質に対してエッチング選択性を有するエッチングマスク膜を設けることを特徴とする請求項11または12に記載の転写用マスク。 Between the auxiliary light-shielding film and the light-shielding film, according to claim 11 or 12, characterized in that provision of the etching mask layer having an etch selectivity with respect to etching medium used in etching these films Transfer mask. 前記遮光膜、前記補助遮光膜ともに、遷移金属とケイ素を主成分とする膜であり、さらにクロムを主成分とするエッチングマスク膜を有することを特徴とする請求項17に記載の転写用マスク。 18. The transfer mask according to claim 17 , wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of transition metal and silicon, and further include an etching mask film mainly composed of chromium. 前記遮光膜、前記補助遮光膜ともに、クロムを主成分とする膜であり、さらに遷移金属とケイ素を主成分とするエッチングマスク膜を有することを特徴とする請求項17に記載の転写用マスク。 18. The transfer mask according to claim 17 , wherein both the light shielding film and the auxiliary light shielding film are films mainly composed of chromium, and further include an etching mask film mainly composed of transition metal and silicon. 請求項12から19のいずれか1項に記載の転写用マスクを2枚セットとした転写用マスクセットであって、
前記2枚の転写用マスクは、ダブル露光技術による転写露光に用いられるものであり、
前記2枚の転写用マスクに形成されている各転写パターンは、転写対象物に転写露光する1つの転写パターンを2つの疎な転写パターンに分割したものであることを特徴とする転写用マスクセット。
A transfer mask set comprising a set of two transfer masks according to any one of claims 12 to 19 ,
The two transfer masks are used for transfer exposure by a double exposure technique,
Each transfer pattern formed on the two transfer masks is obtained by dividing one transfer pattern to be transferred and exposed onto a transfer object into two sparse transfer patterns. .
JP2010200543A 2009-10-06 2010-09-08 Mask blank, transfer mask, and transfer mask set Active JP5666218B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010200543A JP5666218B2 (en) 2009-10-06 2010-09-08 Mask blank, transfer mask, and transfer mask set
KR1020100096740A KR101751542B1 (en) 2009-10-06 2010-10-05 Mask blank, transfer mask and transfer mask set

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2009232176 2009-10-06
JP2009232176 2009-10-06
JP2010200543A JP5666218B2 (en) 2009-10-06 2010-09-08 Mask blank, transfer mask, and transfer mask set

Publications (2)

Publication Number Publication Date
JP2011100108A JP2011100108A (en) 2011-05-19
JP5666218B2 true JP5666218B2 (en) 2015-02-12

Family

ID=44191292

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010200543A Active JP5666218B2 (en) 2009-10-06 2010-09-08 Mask blank, transfer mask, and transfer mask set

Country Status (1)

Country Link
JP (1) JP5666218B2 (en)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5920965B2 (en) * 2011-05-20 2016-05-24 Hoya株式会社 Mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
JP6058318B2 (en) * 2011-09-14 2017-01-11 Hoya株式会社 Mask blank, transfer mask, transfer mask manufacturing method, and semiconductor device manufacturing method
JP6084391B2 (en) * 2011-09-28 2017-02-22 Hoya株式会社 Mask blank, transfer mask, transfer mask manufacturing method, and semiconductor device manufacturing method
JP5896402B2 (en) * 2011-12-09 2016-03-30 Hoya株式会社 Mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method
JP5690023B2 (en) * 2012-07-13 2015-03-25 Hoya株式会社 Manufacturing method of mask blank and phase shift mask
JP2014191176A (en) * 2013-03-27 2014-10-06 Dainippon Printing Co Ltd Photomask blank, photomask, and method for manufacturing the same
JP2016057578A (en) * 2014-09-12 2016-04-21 信越化学工業株式会社 Photomask blank
US10018905B2 (en) * 2015-04-06 2018-07-10 S & S Tech Co., Ltd Phase shift blankmask and photomask
KR101617727B1 (en) * 2015-07-24 2016-05-03 주식회사 에스앤에스텍 Blankmask and Photomask using the same
JP6140330B2 (en) * 2016-04-08 2017-05-31 Hoya株式会社 Mask blank manufacturing method, transfer mask manufacturing method, and semiconductor device manufacturing method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9024A (en) * 1852-06-15 Motion of the lay in looms
JP2002184669A (en) * 2000-12-14 2002-06-28 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
JP4405443B2 (en) * 2004-10-22 2010-01-27 信越化学工業株式会社 Photomask blank, photomask, and manufacturing method thereof
JP4413828B2 (en) * 2004-10-22 2010-02-10 信越化学工業株式会社 Photomask blank, photomask, and manufacturing method thereof
JP4933754B2 (en) * 2005-07-21 2012-05-16 信越化学工業株式会社 Photomask blank, photomask, and manufacturing method thereof
JP4843304B2 (en) * 2005-12-14 2011-12-21 富士通セミコンダクター株式会社 Photomask manufacturing method, device manufacturing method, and photomask monitoring method
JP4551344B2 (en) * 2006-03-02 2010-09-29 信越化学工業株式会社 Photomask blank and photomask
JP2008294352A (en) * 2007-05-28 2008-12-04 Nuflare Technology Inc Exposure method and photomask for exposure

Also Published As

Publication number Publication date
JP2011100108A (en) 2011-05-19

Similar Documents

Publication Publication Date Title
JP5666218B2 (en) Mask blank, transfer mask, and transfer mask set
JP5602930B2 (en) Mask blank and transfer mask
TWI481949B (en) Photomask blank, photomask, and methods of manufacturing these
JP5940755B1 (en) Mask blank, phase shift mask, method for manufacturing phase shift mask, and method for manufacturing semiconductor device
JP6002786B2 (en) Mask blank, transfer mask, and transfer mask manufacturing method
JP5357341B2 (en) Mask blank, method for manufacturing the same, and transfer mask
TW201921097A (en) Photomask blank and photomask
JP6073028B2 (en) Mask blank, phase shift mask, method of manufacturing phase shift mask, and method of manufacturing semiconductor device
JP5507860B2 (en) Photomask manufacturing method
WO2012086744A1 (en) Mask blank and method of producing the same, and transfer mask and method of producing the same
TW201708931A (en) Mask blank, phase shift mask and manufacturing method thereof, and manufacturing method of semiconductor device having an etching stopper film formed of a material containing silicon, aluminum, and oxygen
JP5642643B2 (en) Photomask blank and manufacturing method thereof, and photomask and manufacturing method thereof
JP5317310B2 (en) Mask blank and transfer mask manufacturing method
JP6526938B1 (en) Mask blank, phase shift mask and method for manufacturing semiconductor device
JP6430666B2 (en) Mask blank, phase shift mask, phase shift mask manufacturing method, and semiconductor device manufacturing method
JP6927177B2 (en) Phase shift photomask blank and phase shift photomask
KR101751542B1 (en) Mask blank, transfer mask and transfer mask set
WO2021059890A1 (en) Mask blank, phase shift mask, and method for producing semiconductor device
WO2022004350A1 (en) Mask blank, phase-shift mask, method of manufacturing phase-shift mask, and method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130731

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140423

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140623

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141126

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141210

R150 Certificate of patent or registration of utility model

Ref document number: 5666218

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250