JP5615262B2 - リアルタイム電力推定のためのチップ活発性のサンプリング - Google Patents

リアルタイム電力推定のためのチップ活発性のサンプリング Download PDF

Info

Publication number
JP5615262B2
JP5615262B2 JP2011504013A JP2011504013A JP5615262B2 JP 5615262 B2 JP5615262 B2 JP 5615262B2 JP 2011504013 A JP2011504013 A JP 2011504013A JP 2011504013 A JP2011504013 A JP 2011504013A JP 5615262 B2 JP5615262 B2 JP 5615262B2
Authority
JP
Japan
Prior art keywords
power
predetermined number
weight
sampled
functional block
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011504013A
Other languages
English (en)
Other versions
JP2011528138A (ja
JP2011528138A5 (ja
Inventor
ディー.ナフザイガー サミュエル
ディー.ナフザイガー サミュエル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2011528138A publication Critical patent/JP2011528138A/ja
Publication of JP2011528138A5 publication Critical patent/JP2011528138A5/ja
Application granted granted Critical
Publication of JP5615262B2 publication Critical patent/JP5615262B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/324Power saving characterised by the action undertaken by lowering clock frequency
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power
    • G06F1/3203Power management, i.e. event-based initiation of a power-saving mode
    • G06F1/3234Power saving characterised by the action undertaken
    • G06F1/3296Power saving characterised by the action undertaken by lowering the supply or operating voltage
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Description

本発明は、電子回路に関し、より詳細には再現性のある正確なリアルタイム電力推定の効果的な方法に関するものである。
最新の集積回路(IC)の電力消費は半導体チップの各世代と共に深刻化する設計問題になってきている。増大したIC電力消費は直接的にシステムの構築価格に影響する。電力消費が増えるのに従って、過剰な熱を除去しICの故障を防ぐために、より大きなファン及びヒートシンクのようなより高価な冷却システムが用いられなければならない。しかし、冷却システムはシステム価格を増大させる。IC電力消散の制約は、可搬型コンピュータ及び携帯型通信装置だけでなく、多重化プロセッサコア、又はコア、及び各コア内での多重化パイプラインを含んでよい高性能なスーパースカラマイクロプロセッサの問題でもある。
最新の相補型金属酸化物半導体(CMOS)チップのようなICの電力消費は、式αfCVに比例する。記号αは切り換え因子(switching factor)、即ちクロック周期の間にノードが充電又は放電する確率である。記号fはチップの動作周波数である。記号Cはクロック周期内で充電又は放電される等価容量又は切り換え容量である。記号Vはチップの動作電圧である。チップの電力消費を低減し且つトランジスタ内の静電界を低減しようとして、電源供給電圧Vが減少させられてよい。電源供給電圧の低減はトランジスタを通って流れるであろう電流の量を減少させ従ってトランジスタを通した伝播遅延を増大させるので、電源供給電圧の低減には限界がある。トランジスタをより早い時間でターンオンして性能の維持を支援するためにスレッショルド電圧が低減させられると、トランジスタ漏れ電流が増加する。トランジスタ漏れ電流の増加は電力消費及び論理障害の可能性の両方を増大させる。
コアの各世代でデバイス及び金属経路の幾何学的な寸法が減少している。スーパースカラ設計は、多重化されたパイプライン、大規模なキャッシュ、及びより複雑な論理を伴うダイ上の集積回路の密度を増大させる。従って、クロック周期毎に切り換わることのできるノードの数が著しく増える。動作周波数も各世代と共に増大してきている。チップ内の特定のブロック及びユニットについて、不使用の期間にこれらの領域へのクロックを無効にすることによって、切り換え因子αを減少させることができる。従って、ICの各世代と共に動作電圧及び切り換え因子は減少してきているかもしれないが、IC電力消費式における他の項は増大しており、電力消費全体の増加を引き起こしている。この電力消費増大の幾つかの解決法は、マイクロアーキテクチャ及び回路レベルの両方の技術を含む。
これらの設計技術はリアルタイムで電力消費を推定する努力に支援されている。例えば、1つ以上のアプリケーションを動作させている間に、コアのリアルタイム電力消費が明らかになっており且つそれが電力マネージャに伝達されていれば、低電力消費期間における性能を高めるために電力マネージャは動作供給電圧、動作周波数又は両方を変更することができ、あるいは高電力消費期間における電力消費を低減することができる。
リアルタイム電力推定は特定のクロック周期の間のダイでの切り換え容量を測定するモニタによって達成することができる。ノード容量Cacは、切り換えられた、即ちACの容量と、クロスオーバ電流に起因する実効容量の両方からなる。与えられた部分について、動作が温度限界に到達したときに漏れ電流は固定される。この漏れ値は試験の時の測定に基く融合された(fused-in)電力オフセットにより計上することができる。漏れ電流における温度誘発変化を活用する試みは、以下に説明する再現性の理由により奨励されない。従って、チップ電力の正確なリアルタイムの推定値を得るために必要なのは、デジタル的に設定される動作供給電圧、既知の動作周波数、試験の間に見出される融合された漏れ値、並びに特定のクロック周期内で切り換えられる設計上のノードの数及びそのノード容量Cacの測定である。最後の項Cacは半導体上で測定する単純な値ではない。
チップ上モニタを用いて切り換えノード容量Cacを測定することが試みられるかもしれない。モニタはコア内あるいは多重化されたコアを有するプロセッサ内での命令発行率(instruction issue rate)を測定することができる。主要な構成要素、例えば命令発行キュー(queue)、再配列(reorder)バッファ、物理的レジスタファイル、実行ユニット等の挙動、及びそれらそれぞれの相互作用が監視されれば、正確性が高まる。しかし、このタスクを完遂するために何百もの信号をサンプリングすることは、追加的な金属経路、増大した回路、及び付加的な電力消費に関して莫大な経費をもたらす。
また、モニタ及びそれに続く電力マネージャ等の応答メカニズムは決定論的(deterministic)であることが必要である。つまり、モニタ及びメカニズムは、部分部分で、システム毎に、そして動作毎に同一の結果あるいは周波数変化を提供することが必要である。この必要性の理由は、製品システムの1つにおいてプロセッサを用いている相手先ブランドによる製造業者(OEMs)が、顧客に対して性能保証する必要があることである。顧客及びOEMsは、ベンチマーク及び性能測定を異なる時間に異なる場所で再現する必要がある。もしこの再現を厳しい許容範囲(例えば±1.5%)内で行うことができなければ、モニタ及び応答メカニズムはターンオフされる必要がある。そうなればモニタ及びメカニズムはダイ上の領域を占有するだけで、性能を改善し電力を節約するたに何ら有用な働きをなさない。
温度センサ及び/又は電流計のようなアナログセンサは正確な電力推定を可能にするが、それらは全て環境依存である。製造プロセス、環境温度、電源供給、及び熱除去解決法の品質における変動はアナログセンサの測定値を変化させる。
上述に鑑みて、ICの正確なデジタルリアルタイム電力推定を提供するための有効な方法及びメカニズムが要望されている。
正確なリアルタイム電力推定のためのシステム及び方法が検討される。
ある実施形態では、機能ブロック、電力モニタ、及び電力マネージャを備えたシステムが提供される。電力マネージャは、電力推定数に応じて、機能ブロック内で電力消費挙動を反転させるために動作電圧及び周波数の調整を機能ブロックに伝達するように構成される。機能ブロックは、所定数のサンプリングされた信号の各々の論理値を記憶し、論理値を電力モニタに伝達するように構成される。電力モニタは、所定数のサンプリングされた信号の各々に対する重み値を記憶し、高論理値の記憶された論理値を有するサンプリングされた信号のみの重み値を合計し、電力推定数を電力マネージャに伝達するように構成され、電力推定数は重み値の合計が形を変えたものである。
本発明の他の側面においては、電力推定数に応じて、電力消費挙動を反転させるために動作電圧及び周波数の調整を伝達する方法が提供される。所定数のサンプリングされた信号の各々に対して論理値が記憶されている。また、所定数のサンプリングされた信号の各々に対して重み値が記憶されている。高論理値の記憶された論理値を有するサンプリングされた信号のみの重み値についての積算が続く。電力推定数は重み値の合計が形を変えたものであり、電力マネージャに伝達される。
本発明の他の側面においては、機能ブロック及び電力マネージャと通信するように構成されるインタフェースを備えた電力モニタが提供される。重みテーブルは、所定数のサンプリングされた信号の各々に対する重み値を記憶する。重み積算器は、高論理値の記憶された論理値を有するサンプリングされた信号のみの重み値を合計する。チェインコントロールは電力マネージャに電力推定数を伝達し、電力推定数は重み値の合計が形を変えたものである。
これらの及び他の実施形態は、以下の説明及び添付の図面を参照することによって理解されるであろう。
図1は電力管理機能を有するIC、例えばコアの実施形態を示す概略的なブロックダイアグラムである。
図2Aはサンプリング間隔の間にコアで実行されるアプリケーションの実際の電力測定の実施形態を示す概略的なグラフである。
図2Bはサンプリング間隔の間にコアで実行されるアプリケーションの実際の電力測定のデジタルサンプリングの実施形態を示す概略的なグラフである。
図3は信号をサンプリングしサンプリングされた信号の値をシリアルに出力するための回路の実施形態を示す概略的な回路図である。
図4はサンプリングされた信号情報をユニットから出力するためのタイミングチャートの実施形態を示す概略図である。
図5は電力推定モニタ回路の実施形態を示す概略的なブロックダイアグラムである。
図6はコアの正確なリアルタイム電力推定のための方法の実施形態を示す概略的なブロックダイアグラム又はフローチャートである。
図7はコアの正確なリアルタイム電力推定のために、サンプリングされた信号に割り当てる重み(重み付け値)を決定するための方法の実施形態を示す概略的なブロックダイアグラム又はフローチャートである。
本発明は種々の改変及び代替的な形態を許容し得る一方で、特定の実施形態が図面において例示の目的で示されておりここに詳細に説明されている。しかしながら、図面及びそれに対する詳細な説明は、開示されている特定の形態に本発明を限定するよう意図されておらず、むしろ本発明は添付の特許請求の範囲によって画定されるような本発明の精神及び範囲内にある全ての改変、均等なもの及び代替的なものに及ぶものであることが理解されるべきである。
以下の説明では、本発明の深い理解を提供するために多くの特定な詳細が示される。しかし、これらの特定の詳細なしに本発明が実施可能であることを当業者は認識すべきである。幾つかの例では、周知の回路、構成、及び技術は本発明を不明瞭にすることを避けるために図示されていない。
図1を参照すると、コア電力管理機能100の実施形態が示されている。ここではコア102は任意の集積回路(IC)であってよい。ある実施形態では、コア102はプロセッサコアであってよい。プロセッサコアはチップ上(on-die)の命令及びデータキャッシュを有していてよい。プロセッサコアは単一のパイプライン又は多重化されたパイプラインを有するスーパースケーラ(superscalar)プロセッサであってよい。他の実施形態では、コア102は特定用途向けIC(ASIC)であってよい。コア102を実装するために任意のトランジスタファミリが用いられてよい。例として金属酸化物半導体電界効果トランジスタ(MOSFETs)及びバイポーラ接合トランジスタ(BJTs)が挙げられる。
機能ブロック110は論理機能、データ記憶等を実行するように構成されたトランジスタ群を含んでいてよい。電力管理の目的で機能ブロック110はユニット112a〜112dに分けられていてよい。ここで用いられているように、文字が続く参照数字によって参照される要素は集合的にその参照数字だけで参照されることがある。例えばユニット112a〜112dは集合的にユニット112と称されることがある。ある実施形態では、ユニット112は再配列バッファ、メモリ管理ユニット、実行ユニット、又はプロセッサの他の部分等の機能要素に対応していなくてよい。むしろユニット112は、電力管理の目的でサンプリングされることが必要な信号の種類に基いて選択されてよい。例えば、ローカルクロック分配ブロックに送られるクロックイネーブル信号が、サンプリングされるために選ばれた信号であってよい。
特定のクロック周期の間にどの信号をサンプリングするかの選択は、その選択が切り換えノード容量Cacの量にいかに良好に関連付けられているかに対応するであろう。サンプル信号選択の更なる説明は後で行われる。選択されたクロックイネーブル信号はフロアプラン内のいくつかの機能ブロックで重複していてよい。従って、例えばユニット112a及び112bを隔てる区域はフロアプラン内の区域に対応していなくてよい。ユニット112は電力を消費するユニットであり、この電力はリアルタイムで測定されることとなる。
ある実施形態では、ユニット112からのデータ、例えば所定のサンプリングされた全信号の論理値を収集するために、電力モニタ130が用いられてよい。データ収集の後、電力モニタ130は電力消費推定値を算出することができる。1つのモニタコントロール132が各ユニット112に対応してよい。代替的な実施形態では、モニタコントロール132は2つ以上のユニット112に対してデータを収集し、これらのユニット112での総電力消費推定値を算出してよい。更に他の実施形態では、1つのモニタコントロール132(即ちコントロール132a)は、1つ以上の他のモニタコントロール132(即ちコントロール132b〜132d)からのデータを収集するために、1つ以上の他のモニタコントロール132(即ちコントロール132b〜132d)との信号インタフェースを有していてよい。そして1つ以上のモニタコントロール132に対して電力推定値が算出されてよい。
信号サンプル120及びデータ出力122が電力管理を目的として用いられるコントロール及びデータ信号である。電力モニタ130と機能ブロック110の間でのインタフェース信号は、任意の必要な数の信号及び伝送プロトコルを備えていてよい。ある実施形態では、制御信号サンプル120は、選ばれた繰り返し間隔、例えば毎100クロック周期の間に単一のクロック周期に対してのみ有効にされてよい。ある実施形態では、制御信号サンプル120が有効にされた後の所定数のクロック周期の時点で、異なるサンプリングされた信号に対してデータ信号データ出力122が各々クロック周期で論理値を供給し始めてよい。つまり、データ信号データ出力122は、サンプリングされた信号の論理値からなる一連の値を特定の周期でスキャンするために用いられてよい。また、他の実施形態では、各一対のモニタコントロール132及びユニット112の間での信号の信号対が無いこともある。代替的な実施形態では、モニタコントロール132にとってはユニット112に対して聞き取りを行い、ユニット112にとっては出力データを伝達する準備が整ったことをモニタコントロール132に認識させるために、追加的な信号が含まれていてよい。
機能ブロック110から受けたデータから正確なリアルタイム電力推定値を一旦電力モニタ130が算出したら、電力モニタ130は目下動作中の単一又は複数のアプリケーションの電力プロファイルを決定する。この決定は電力マネージャ140に伝達される。それによって電力マネージャ140はアプリケーションがスレッショルド限界よりも上(下)であれば電力を減少(増加)する能力を有する。
もし大電力のアプリケーション又はウイルスがコア102で実行されていれば、電力マネージャ140は動作電圧、動作周波数、又はその両方に対して調節を行うように構成される回路を備えることとなる。Cacに対して設定された限界を上回る平均電力を伴うアプリケーションに対しては、動作周波数は低減される必要がある。しかし、どのアプリケーションもCac限界を超えて切り換えないことが保証されているので、Cacに対して設定された下限は、高周波数の部分が捨てられることを可能にする。
前述したように、コア切り換え電力を推定する1つの方法は、命令発行頻度(instruction issue rate)を測定することである。残念なことに、多くの特殊な動作を有することのあるコアの幾つかの実施形態に対しては、データ幅、性能を高める回路、キャッシュ挙動の不確実性、多くの分岐予測方法の1つ等に起因してクロック周期内で切り換わるノード容量Cacを推定するための簡単な方法はほとんど無い。相関関係の無い少数の信号から正確にCacを推定するために、それらの信号は、適正な電力モデルが設計され且つ広範な一連のアプリケーションが信号電力相関試験のためにそのモデルで実行されるまで、未知のものである。その時には、必要な信号サンプリング回路を必要な場所に追加する試みにより設計を変更しようとしても手遅れである。
一方、電力推定に対する顕著な効果を有する設計において、広範な一連の信号をサンプリングすることが可能である。この方法では信号がオーバーサンプリングされてよく、その理由は、多くの信号は相関する傾向にあり、幾つかの信号は電力に対して小さな影響のみを有するところにある。しかし、例えば効果的な実装を伴うことでオーバーサンプリングぎみの設計費用が小さければ、この方法によって正確なCacモニターの信頼性が顕著に高まるであろう。
前述したように、Cacに対して重要な影響を有する設計において何百もの信号をサンプリングすることは、配線、回路、及びもしモニタリングが全動作周波数でなされるとすれば電力消費における諸経費に関して多大なる設計費用をもたらすであろう。しかし、電力推定をもたらすCac推定は、ミリ秒の範囲にある熱的時間フレームにおいて応答すれば足りるという事実を利用可能である。この時間フレームは、平均Cacを決定するための何百万周期を用いた推定を可能にする。事実、もし推定及びそれに続く応答が十分迅速に行われ且つアプリケーションが活発に動作している短期間に対して応答していたとすると、応答によってコアが絞られるであろう。次いで性能は不必要に低下させられるかもしれない。それに続く応答及び見込まれる絞込みが基いているところの長期間にわたるアプリケーションの平均電力をモニタリングすることが推定では必要となる。しかし、もしサンプリング間隔が何百万周期に及ぶとすれば、アプリケーションがコードの完全に無関係な部分にまで移動する蓋然性が高いので、サンプルのシグマ即ち標準偏差が著しく増大してしまうであろう。電力モデルデータに基き、サンプルに対する活発性の良好な相関が特定の範囲で見出されるであろう。例えばある実施形態では、典型的なアプリケーションに対して、サンプルに対する活発性の良好な相関は、50Kクロック周期領域にあり、そこではシグマは小さい。
数百の既知の信号が最前列でサンプリングされるのに選ばれて且つ信号値がシリアル形式で走査される場合、信号に関連する活発性の単一のサンプルを得るためには数百クロック周期が必要とされる。次いで標準的な統計解析により、サンプルの平均及びシグマが信号の実際の母集団の平均及びシグマに密接に相関する信頼性が達成される(即ち99%)には、これら数百の信号について数サンプルが必要である。
アプリケーションのスイッチング挙動がポアソン分布を有する定常ランダム過程(stationary random process)で近似可能であると仮定する。また、中心極限定理(central limit theorem)は、データの大規模な母集団が正規分布で正確に近似可能であることを我々に伝えている。この統計的手法は、母集団の小規模なサンプル、統計的調査数等から世論調査をなすために用いられる手法と類似している。広範な母集団の特性は十分な数のランダムサンプルを取ることで再構築される。決定されるべき2つのパラメータは、必要なサンプルの数とどの信号をサンプリングするかという点とである。
必要なサンプル数に対して、ある実施形態では、統計的解析並びにアプリケーションの実際の電力消費及びサンプリングから推定される電力消費の両方の測定を用いて集計表(spreadsheet)が生成されてよい。
図2Aはサンプリング間隔の間にコアで実行されるアプリケーションの実際の電力測定200の実施形態を示している。代替的な実施形態では、この電力測定は、コアの1区画、例えばユニット、機能要素等において行われてよい。ある実施形態では、この測定はコアの設計検証段階モデル(pre-silicon model)において実行される電力モデルによって行われてよい。ピーク電力210は、コアの機能障害によって、又はコアにおいて高電力ウイルス、例えばズラスキー(Zuraski)を実行することによって決められてよい。サンプリング間隔の間の消費電力は実際の電力波形220によって示されている。ある実施形態では、サンプリング間隔は何十ミリ秒の範囲にあってよい。
最新のプロセッサでは、高電力ウイルスであるズラスキーの低出力化された形態によって熱的設計電力(TDP)が設定されてよい。この低出力化されたあるいは派生したTDPコード及びその対応する電力消費は、取捨(binning)を目的としてコアの動作電圧及び周波数を設定するために用いられてよい。しかし、最新のプロセッサの寿命の大部分において、実行されているアプリケーションはこのTDP値の60%乃至80%を消費するにすぎない。
正確なリアルタイムCac推定及びそれに続く電力推定により、実行されるアプリケーションとTDPの間で20%乃至40%のギャップを引き出すことが可能である。このことは、新たなTDPがアプリケーション電力になるTDP低減、あるいはTDPは以前と同じであるがそのTDPが典型的なアプリケーションによって消費される周波数増大のいずれかにギャップを転換することで行うことができる。ウイルスはCac推定に続く電力管理による後続の応答によって絞られるので、TDPはウイルスによっては消費されないであろう。
図2Bはあるサンプリング間隔の間にコアで実行されるアプリケーションの実際の電力測定のデジタルサンプリング230の実施形態を示している。サンプリングされたデータ点240は間欠的周期250の各々の間に取られている。図示された実施形態では、サンプリングされたデータ点240aで開始されサンプリングされたデータ点240nで終了するサンプリング間隔の間に全部でNサンプルが取られる。電力推定値のサンプリングの合間での間欠的周期の数の決定は、サンプリングされたデータ点の数Nの値の決定に依存していてよく、間欠的周期の数は所望の正確性及び信頼性に依存する。
上述したように、アプリケーションの実際の電力消費及び図2A〜2Bに示されたサンプリングから推定された電力消費の両方の測定値と統計的解析との両方を用いて集計表又は参照テーブル(look-up table)が生成されてよい。
例えば、第1の例では、図2Bに示されるようなサンプルのコア全体にわたる平均が図2Aに示されるような実際のアプリケーションの平均のシグマ即ち標準偏差の12%の範囲内になるような95%の信頼性を設計目標が要求してよい。0.12*シグマの項は統計的サンプリングに起因する誤差である。参照テーブル又は集計表を用いて、例えば少なくとも500サンプルがそれらの設計要求を達成するために必要とされることが決定されてよい。電力推定をもたらすCac推定がミリ秒の範囲にある熱的時間フレームに応答することのみが必要とされることを思い出して欲しい。この時間フレームは平均Cacを決定するために何百万の周期を用いた推定を可能にする。サンプリング間隔は25μsであってよい。ある実施形態では、動作周波数は3GHzであってよい。この例では、従ってサンプリングの間の間欠的クロック周期の数は、(3GHz)*(25μs)/500=150クロック周期となろう。ある実施形態では、種々のサンプリングされた信号の値はシリアル形式で走査されてよい。従って、150の信号が単一のクロック周期でサンプリングされて次のサンプリングが実行される前にシリアル的に走査されてよい。
この例では、推定シナリオの最悪のケースは±2シグマの切り取られた正規分布を含み、1シグマは範囲の25%である。従って、最悪のケースの誤差は0.12*0.25=3%となる。より典型的な最大シグマ値は概ね10%である。12%シグマの誤差項の場合、統計的サンプリングに起因する誤差が0.12*0.1=1.2%である95%の信頼性を有することができる。
第2の例では、図2Bに示されるようなサンプルのコア全体にわたる平均が図2Aに示されるような実際のアプリケーションの平均のシグマ即ち標準偏差の10%の範囲内になるような99%の信頼性を設計目標が要求してよい。0.10*シグマの項は統計的サンプリングに起因する誤差である。参照テーブル又は集計表を用いて、例えば少なくとも650サンプルがそれらの設計要求を達成するために必要とされることが決定されてよい。また、ある実施形態では、コアは10ユニットに分割されてよく、この場合各ユニットは同数の信号をサンプリングする。この分割の理由は以下に簡潔に示されるように正確性を高めるところにある。各ユニットに対して求められる信頼性は高々90%である。信頼性の総和は、0.91/10が0.9895であるから、99%の総信頼性を生じさせる。参照テーブル又は集計表を再び用いて、650サンプル及び90%信頼性に対して、シグマからの偏差がもはや10%ではなく6.5%にまで低減されることを決定可能である。個々のユニットに対してはその正確性が高まるにつれ信頼性レベルは低下したが、コアに対する全体としての信頼性レベルは99%を維持しており、またその正確性は10%から6.5%に改善された。
もしこの第2の例が上述の第1の例と同じ25μsのサンプル間隔を有しており且つ動作周波数が3GHzで同じであるとすると、サンプル間の間欠的クロック周期の数は、(3GHz)*(25μs)/650=115クロック周期となろう。ある実施形態では、第1の例のように種々のサンプリングされた信号はシリアル形式で走査されてよい。従って、115の信号が単一のクロック周期で10ユニットのそれぞれからサンプリングされて次のサンプリングが実行される前にシリアル的に走査されてよい。
図3を参照すると、信号をサンプリングしサンプリングされた信号の値をシリアルに出力するための回路300の実施形態が示されている。ある実施形態では、クロック周期内でサンプリングするのに選択された信号を捕獲するために、Dフリップフロップ回路320及びマルチプレクサ310が、図示されるようにサンプリングされるべき信号に対してのみ配置されていてよく、それらの信号は信号350として示されている。この追加的な回路は、正確なリアルタイムCac推定のためのサンプリングされたデータを得るのに低い諸経費をもたらす。選択ライン、即ちサンプル120が高論理値として有効になると、信号350がマルチプレクサ310によって選択されてDフリップフロップ320に格納される。
信号サンプル120は図1のモニタコントロール132のような制御ブロックから受信されてよい。ある実施形態では、コアのユニットでサンプリングする信号の数は100であってよい。代替的な実施形態では、サンプリングする信号の数は、特定のコア設計に対して正確な電力推定を提供する任意の数であってよい。サンプル120はクロック周期の100周期毎に有効にされてよく、これにより、サンプリングされた信号の捕獲された値がサンプル間でシリアルに出力されることが可能になる。
Dフリップフロップ320に供給されるクロック信号Clk330はゲート未処理の(ungated)クロック信号であってよい。信号サンプル120が低論理値として無効になると、マルチプレクサ310は前段のDフリップフロップ320の出力値を伝達する。このように、100個のサンプリングされた信号、即ち信号350のサンプリングクロック周期の間に値がシリアル形式で出力される。モニタコントロール132への経路距離に応じて、インバータバッファがDフリップフロップ320aの後段に配置されていてよい。
ある実施形態では、サンプリングのために選択される信号は、クロックゲータイネーブル信号(clock gater enable signal)であってよい。ゲータ回路はクロック信号を生成するクロック分配システムの最終段であってよい。典型的には、ゲータ回路は最新のプロセッサのフロアプランのローカルブロック内にあり、ローカル設計者はブロック内の特定のクロック負荷を駆動するゲータ回路をクロックライブラリから選択する。
図3におけるゲータ回路340は、クロック分配システム内の前段からの共通クロック360とイネーブル信号、即ちClkイネーブル362とを受け取る。Clkイネーブル362は、図示された実施形態では、サンプリングされるべき信号であって且つマルチプレクサ310への1つの入力である。ゲータ回路340は他の種類の信号の内の走査入出力信号と結合されていてもよい。
統計的解析について上述したように、統計的サンプリングによるCac推定の誤差はおそらく小さい。しかし、サンプリングされた信号活発性(signal activity)のノードスイッチング容量Cacに対する不正確な相関性に起因する誤差があり得る。クロックゲータイネーブル信号Clkイネーブル362は、ローカル設計者が配置するのが比較的に容易である。これらの信号はまた、下流の回路が動作中か否かについて、従って下流のノード容量が切り換え可能か否かについて直接的に決定する。
サンプリングされる特定のClkイネーブル362に対して、対応する記憶された高論理値は、少なくとも最小容量に切り換えられていることを意味する。容量の追加量は、そのクロックゲータイネーブル即ちClkイネーブル362の下流の他の信号及び構成により決定される条件によって切り換えられる。Cacがどのように変化するかを種々の方法で決定するゲータクロックイネーブル信号の下流の信号の幾つかの例は、有効ビット、一例としては負荷記憶キュー(load-store queue);オペランドビット幅;及びキャッシュの成功又は失敗(cache hit or miss)である。図3には示されていないが、代替的な実施形態では、これらの信号の幾つか及び他の信号は、クロックゲータイネーブル信号と論理的に組み合わされてよく、この場合、サンプリングされマルチプレクサ310に入力されるべき新たな信号が生成される。この新たな信号は切り換えられた最小容量に付加すべき容量増分を決定してよい。
また、クロックゲータイネーブル以外にも、切り換えられた容量を示す有効性(assertion)を有する信号がある。幾つかの例は、バスドライバイネーブル、コンテントアドレッサブルメモリ(content-addressable memories)(CAM)の不一致、及びCAMワード線(WL)ドライバである。これらの信号に対して付加的な切り換えられた容量を決定するのに遷移検出器が必要になることがある。
図4は図3の回路300におけるクロック330、サンプル120、及びデータ出力122の信号のためのタイミングチャートの実施形態を示している。クロック330はゲート未処理のクロック信号である。サンプル120が有効になると、サンプリングされた信号即ち信号350がDフリップフロップ320に格納される。サンプル120が無効になると、サンプリング信号即ち信号350はシリアルで連鎖的にラインデータ出力122として出力される。
信号のサンプリングが一旦正確に行われたら、この情報は加重平均合計(weighted average sum)を用いてCac推定値に変換される。図5を参照すると、加重平均合計を決定するための回路500の実施形態が示されている。この回路はモニタコントロール132に属していてよい。チェインコントロール(chain control)502はサンプル120を機能ブロック110内のユニットに伝達してよい。また、チェインコントロール502はデータ出力122を受信してよい。サンプル120がデータをサンプリングするために有効にされてから無効にされた後、チェインコントロール502はどの信号、又はシリアル連鎖におけるどのビット位置がその受信中の値を目下有しているかを追跡してよい。ある実施形態では、サンプリングされた信号を区別するためにカウンタが用いられてよい。そのようなカウンタの出力は重みテーブル504に索引付けするために用いられてよい。チェインコントロール502により伝達される値は、重みテーブル504内のビット位置506にエントリーするために比較されてよい。エントリーが索引にヒット又は一致すれば、それに対応して重み508内に記憶されている重みが重み積算器510に伝達される。ここで、受信した重みが予め受信していた重みと合算される。
例えば、100の信号がサンプリングされるのに選択されてよい。新たなサンプリング周期が開始されたとして、ラインデータ出力122として受信したその時点での値がこの新たなサンプリング周期の37番目に受信した値であるとする。もしこの37番目のサンプリングされた値が低論理値を有していれば、38番目のサンプリング値が到着するまではそれ以上の動作がなされる必要は無い。もし37番目のサンプリングされた値が高論理値を有していれば、37という値が重みテーブル504に索引付けするために用いられる。37番目のサンプリングされた信号のための対応する重みが重み積算器510に伝達される。この対応する重みは、高論理値を有した既に受信されている36個の値の累積重みに合算される。重み用の値を選択するために用いられる方法を以下簡潔に説明する。
図6はコアの正確なリアルタイム電力推定のための方法600の実施形態を示している。方法600は代替的な実施形態を導き出すために当業者によって修正されてよい。また、この実施形態におけるステップはシーケンシャルな順序で示されている。しかし、幾つかのステップは、他の実施形態では、図示されたのと異なる順序で起こってよく、同時に実行されてよく、他のステップと組み合わされてよく、また省略されてよい。図示された実施形態では、半導体チップについてフロアプランが作成され、個々のブロック及びセルはブロック602内のフロアプランに従って配置される。コアはチップ全体あるいはチップの一部であってよい。前述したように、コアはICであってよい。ある実施形態では、コアはプロセッサコアであってよい。他の実施形態では、コアはASIC又は他の半導体チップであってよい。
ブロック604でのサンプリングを目的として、コアは複数ユニットに分割されてよい。各ユニットは所定のサンプリングされた信号の値のシリアル連鎖を提供してよい。ブロック606では、サンプリング間隔の間にダイ全体の電力消費を特徴付けるために、広範な一連のアプリケーションにわたり電力モデルがコア上で実行される。ブロック608では、アプリケーションを実行する一方で、コアのノード容量切り換え挙動を特徴付ける、ポアソン分布等を用いた統計的解析によりもたらされる参照テーブルから、サンプリング間隔内で実行されるサンプリングの数が決定されてよい。次いで、動作周波数、サンプル間隔、及び取り込むサンプルの決定された数から、サンプリングする信号の数が決定されてよい。
ブロック610では、重み値が各サンプリングされた信号に割り当てられる。この処理の更に詳細な説明は後でなされる。有効にされてサンプリングされた信号の重みの合計は、特定のクロック周期におけるコアの正確なリアルタイム電力推定を決定するために用いられる。その合計は特定のクロック周期におけるノード切り換え容量Cacの推定であり、この合計は参照テーブルに索引付けすることによって電力数(power number)に形を変えられてよい。この参照テーブルは電力モデルがコアで動作させられたときの特徴付け処理によって作成されていてもよい。
ブロック612では、サンプリングされた信号の重みの合計から生成されるリアルタイム電力推定値が、所定のアプリケーション一式内の各アプリケーションに対する電力モデルから生成される電力推定値と比較される。もしこれら2つの値の差が予め定められた最低の正確性以下であれば(条件ブロック614)、電力推定回路の設計は完了し、ブロック616においてチップをコア内のモニタコントロールと共にテープアウトしてよい。もし最低の正確性の条件に合わなければ(条件ブロック614)、制御フローはブロック608に戻る。サンプリングの新たな回数とサンプリングすべき信号の新たな数が選択される。
図7はコアの正確なリアルタイム電力推定のために、サンプリングされた信号に割り当てられる重み(重み付け値)を決定する方法700を示している。方法700は代替的な実施形態を導き出すために当業者によって修正されてよい。また、この実施形態におけるステップはシーケンシャルな順序で示されている。しかし、幾つかのステップは、他の実施形態では、図示されたのと異なる順序で起こってよく、同時に実行されてよく、他のステップと組み合わされてよく、また省略されてよい。図示された実施形態では、ブロック702において、サンプリング間隔の間におけるダイ全体の電力消費を特徴付けるために、広範な一連のアプリケーションにわたり電力モデルがコア上で実行される。
ブロック704では、最初の均等な重み値が各サンプリングされた信号に割り当てられる。この最初の値を決定するために、特定のアプリケーションがコア上で実行され、当該クロック周期において有効にされてサンプリングされた信号の合計が記録される。有効にされてサンプリングされた信号の数が、サンプリング間隔内での各サンプリングされたクロック周期に対して記録される。1つのサンプリング間隔内には何百のサンプリングされたクロック周期があってよい。ブロック702内での電力モデルの実行から見出されたこのサンプリング間隔に対する実際の電力推定が、実際のCac値を決定するために用いられる。この実際のCacはサンプリング間隔にわたって有効にされてサンプリングされた信号の総計によって除される。この除して得られた値が各サンプリングされた信号に割り当てられる均等な最初の重み値であってよい。
上述のステップはブロック706においてアプリケーション一式の各アプリケーションに対して実行されてよい。各アプリケーションはそれ自身に生成された最初の均等な重み値をサンプリングされた信号に対して有することとなろう。ブロック708では、これらの値全ての平均がサンプリングされた信号に対して用いられる最初の均等な重み値となってよい。
各アプリケーションに対して、最初の重みから算出されたCacは誤差項を有することになろう。ブロック710において全てのアプリケーションに対して総誤差が算出される。ある実施形態では、この総誤差は各アプリケーションに対する誤差の合計であってよい。代替的な実施形態では、この総誤差は各アプリケーションに対する誤差の二乗の合計であってよい。代替的な実施形態では、総誤差を算出するために他の式が用いられてよい。
ブロック712では、特定のサンプリングされた信号に対して、その対応する最初の均等な重み値が、総誤差を小さくする方向に増大又は減少させられてよい。もし総誤差が小さくなるのを停止しなかったならば(条件ブロック714)、制御フローはブロック712に戻る。もし総誤差が小さくなるのを停止すれば、ブロック716においてその特定のサンプリングされた信号に対するその時点での重み値が保存される。この値は重みテーブル504等のテーブル内に保存することができる。
もしサンプリングされた全ての信号が、見込まれる値変化について調査されていなければ(条件ブロック718)、制御フローはブロック712に戻る。もしサンプリングされた全ての信号が、見込まれる値変化について調査されたならば(条件ブロック718)、ブロック720において、重み値を記憶する1つ以上のテーブルが最後の重み値を有することとなる。
上述の実施形態はかなり詳細に説明されてきたが、上述の開示を完全に理解することで多くの変形や修正が当業者には明らかになろう。以下の特許請求の範囲は全てのそのような変形や修正を包含するものとして解釈されることが意図されている。
この発明は一般的には電子回路に適用可能である。

Claims (9)

  1. 集積回路の動作中に、所定数のサンプリングされた信号の各々に対応するデータを伝達するように構成される、前記集積回路の機能ブロック(110)と、
    前記所定数のサンプリングされた信号の各々に対応する、予め設定された重み値を記憶するように構成される重みテーブルと、
    前記データを受け取るとともに、前記所定数のサンプリングされた信号の各々に対応する重み値に前記重みテーブルからアクセスし、前記所定数のサンプリングされた信号の各々に対する対応する重み値を記憶し、対応する高論理値を有する前記サンプリングされた信号の前記重み値を合計し、前記重み値の合計に基づき電力推定数を決定するように構成される電力モニタ(130)と、
    電圧及び周波数の調整を前記機能ブロックに伝達し、前記電力推定数に応じて前記機能ブロック内で電力消費挙動を変更するように構成される電力マネージャ(140)とを備えたシステムであって、
    前記所定数のサンプリングされた信号は、1つ以上のクロックゲータイネーブル信号を含み、
    前記重み値は、統計的ランダム処理により近似されるノード容量切り換え挙動を前記機能ブロックが有すると仮定する統計的解析を用いて決定されるシステム。
  2. 前記電力推定数は環境変化とは独立した再現性のある値である、請求項1に記載されたシステム。
  3. 前記重み値は、ポアソン分布を有している統計的ランダム処理により近似されるノード容量切り換え挙動を前記機能ブロックが有すると仮定する統計的解析を用いて決定される、請求項に記載されたシステム。
  4. 前記重み値の記憶は予め定められた数のクロック周期毎に1回行われる、請求項1に記載されたシステム。
  5. サンプリング間隔内の所定数のサンプルは前記統計的解析から決定される、請求項に記載されたシステム。
  6. 前記所定数のサンプリングされた信号は、動作周波数、前記サンプリング間隔、及び前記所定数のサンプルから決定される、請求項に記載されたシステム。
  7. 前記機能ブロックは1つ以上のユニットを備え、同じ所定数のサンプル及び同じ所定数のサンプリングされた信号に対して、前記1つ以上のユニットの各々は前記機能ブロックよりも低い信頼性レベル及び高い正確性を備えている、請求項に記載されたシステム。
  8. 所定数のサンプリングされた信号の各々に対応するデータを、集積回路の機能ブロックから前記集積回路の動作中に伝達することと、
    前記所定数のサンプリングされた信号の各々に対応する、予め設定された重み値を記憶するように構成される重みテーブルを維持することと、
    前記データを受け取るとともに、前記所定数のサンプリングされた信号の各々に対応する重み値に前記重みテーブルからアクセスし、前記所定数のサンプリングされた信号の各々に対する対応する重み値を記憶することと、
    対応する高論理値を有する前記サンプリングされた信号の前記重み値を合計することと、
    前記重み値の合計に基づき電力推定数を決定することと、
    電圧及び周波数の調整を前記機能ブロックに伝達して前記電力推定数に応じて前記機能ブロック内で電力消費挙動を変更することと、を備えた方法であって、
    前記所定数のサンプリングされた信号は、1つ以上のクロックゲータイネーブル信号を含み、
    前記重み値は、統計的ランダム処理により近似されるノード容量切り換え挙動を前記機能ブロックが有すると仮定する統計的解析を用いて決定される、方法。
  9. 集積回路の機能ブロック(110)及び電力マネージャ(140)と通信するように構成されるインタフェースと、
    所定数のサンプリングされた信号の各々に対応する、予め設定された重み値を記憶するように構成される重みテーブル(504)と、
    高論理値を有する前記サンプリングされた信号の前記重み値を合計するように構成される重み積算器(510)と、
    力マネージャに電力推定数を伝達するように構成されるチェインコントロール(502)とを備えた電力モニタ(130)であって、
    前記所定数のサンプリングされた信号は、前記集積回路の動作中にサンプリングされた1つ以上のクロックゲータイネーブル信号を含み、
    前記重み値は、統計的ランダム処理により近似されるノード容量切り換え挙動を前記機能ブロックが有すると仮定する統計的解析を用いて決定され、
    前記電力推定数は、前記重み値の合計から変換されたものである、電力モニタ。
JP2011504013A 2008-04-11 2009-04-10 リアルタイム電力推定のためのチップ活発性のサンプリング Active JP5615262B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/101,598 US8010824B2 (en) 2008-04-11 2008-04-11 Sampling chip activity for real time power estimation
US12/101,598 2008-04-11
PCT/US2009/002268 WO2009126322A2 (en) 2008-04-11 2009-04-10 Sampling chip activity for real time power estimation

Publications (3)

Publication Number Publication Date
JP2011528138A JP2011528138A (ja) 2011-11-10
JP2011528138A5 JP2011528138A5 (ja) 2012-06-07
JP5615262B2 true JP5615262B2 (ja) 2014-10-29

Family

ID=41162470

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011504013A Active JP5615262B2 (ja) 2008-04-11 2009-04-10 リアルタイム電力推定のためのチップ活発性のサンプリング

Country Status (7)

Country Link
US (1) US8010824B2 (ja)
EP (1) EP2266037A2 (ja)
JP (1) JP5615262B2 (ja)
KR (1) KR101493018B1 (ja)
CN (1) CN102272735B (ja)
TW (1) TWI480757B (ja)
WO (1) WO2009126322A2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8825464B2 (en) * 2008-09-02 2014-09-02 Oracle America, Inc. Method and apparatus for parallelization of sequential power simulation
US8135970B2 (en) * 2009-03-06 2012-03-13 Via Technologies, Inc. Microprocessor that performs adaptive power throttling
US8463456B2 (en) * 2010-03-18 2013-06-11 International Business Machines Corporation Minimizing aggregate cooling and leakage power
US8527794B2 (en) * 2010-05-27 2013-09-03 Advanced Micro Devices, Inc. Realtime power management of integrated circuits
US8615672B2 (en) 2010-06-30 2013-12-24 Via Technologies, Inc. Multicore processor power credit management to allow all processing cores to operate at elevated frequency
US8942932B2 (en) 2010-08-31 2015-01-27 Advanced Micro Devices, Inc. Determining transistor leakage for an integrated circuit
US9052359B2 (en) * 2010-11-02 2015-06-09 Advanced Micro Devices, Inc. Method and system for varying sampling frequency to avoid software harmonics when sampling digital power indicators
US9092219B2 (en) 2010-11-02 2015-07-28 Advanced Micro Devices, Inc. Method and system of sampling to automatically scale digital power estimates with frequency
KR20140001933A (ko) * 2010-11-29 2014-01-07 샌디스크 아이엘 엘티디 데이터 오류 분석을 위한 파워 소모의 감소
US9182803B2 (en) 2010-12-02 2015-11-10 Advanced Micro Devices, Inc. Load step mitigation method and apparatus
US20120144215A1 (en) * 2010-12-03 2012-06-07 Advanced Micro Devices, Inc. Maximum current limiting method and apparatus
US8756442B2 (en) 2010-12-16 2014-06-17 Advanced Micro Devices, Inc. System for processor power limit management
US8707063B2 (en) * 2010-12-21 2014-04-22 Advanced Micro Devices, Inc. Hardware assisted performance state management based on processor state changes
US8806254B2 (en) * 2011-02-01 2014-08-12 Dell Products L.P. System and method for creating and dynamically maintaining system power inventories
US8635483B2 (en) 2011-04-05 2014-01-21 International Business Machines Corporation Dynamically tune power proxy architectures
US8650428B2 (en) * 2011-07-19 2014-02-11 Ati Technologies Ulc Dynamic weight calculation in a digital power estimation and management system
US9141159B2 (en) 2011-11-03 2015-09-22 International Business Machines Corporation Minimizing aggregate cooling and leakage power with fast convergence
US8421495B1 (en) 2011-11-03 2013-04-16 International Business Machines Corporation Speed binning for dynamic and adaptive power control
TWI619038B (zh) * 2011-11-07 2018-03-21 Admedec Co Ltd Safety box
US8909961B2 (en) 2011-11-29 2014-12-09 Ati Technologies Ulc Method and apparatus for adjusting power consumption level of an integrated circuit
WO2013112249A1 (en) 2012-01-24 2013-08-01 University Of Southern California Digital circuit power measurements using numerical analysis
US9329670B2 (en) 2012-06-05 2016-05-03 International Business Machines Corporation Predicting energy savings
KR20140020404A (ko) * 2012-08-08 2014-02-19 삼성전자주식회사 집적 회로의 소비 전력 모델링 방법 및 장치
US20140298049A1 (en) 2013-03-26 2014-10-02 University Of Southern California Digital signal transition counters for digital integrated circuits
EP2849024A1 (en) 2013-09-16 2015-03-18 ST-Ericsson SA Power consumption management system and method
US9495271B2 (en) 2014-01-29 2016-11-15 Freescale Semiconductor, Inc. Statistical power indication monitor for purpose of measuring power consumption
US9811142B2 (en) * 2014-09-29 2017-11-07 Apple Inc. Low energy processor for controlling operating states of a computer system
US9477243B2 (en) * 2014-12-22 2016-10-25 Intel Corporation System maximum current protection
KR101688303B1 (ko) * 2015-01-20 2016-12-20 전남대학교산학협력단 진동 모드의 파라미터 추정 장치 및 방법
US10386395B1 (en) 2015-06-03 2019-08-20 University Of Southern California Subcircuit physical level power monitoring technology for real-time hardware systems and simulators
US11556162B2 (en) 2018-03-16 2023-01-17 Advanced Micro Devices, Inc. Per-instruction energy debugging using instruction sampling hardware
US10948957B1 (en) * 2019-09-26 2021-03-16 Apple Inc. Adaptive on-chip digital power estimator
CN111694714B (zh) * 2020-06-15 2023-06-06 中国人民解放军国防科技大学 一种众核芯片功耗估算方法、装置、设备及可读存储介质
US11836031B2 (en) * 2020-11-10 2023-12-05 Advanced Micro Devices, Inc. Application override of power estimation mechanism

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5764089A (en) 1995-09-11 1998-06-09 Altera Corporation Dynamic latching device
US6473732B1 (en) * 1995-10-18 2002-10-29 Motorola, Inc. Signal analyzer and method thereof
US5712826A (en) 1996-03-26 1998-01-27 Intel Corporation Apparatus and a method for embedding dynamic state machines in a static environment
US5917355A (en) 1997-01-16 1999-06-29 Sun Microsystems, Inc. Edge-triggered staticized dynamic flip-flop with conditional shut-off mechanism
US6278308B1 (en) 1999-10-08 2001-08-21 Advanced Micro Devices, Inc. Low-power flip-flop circuit employing an asymmetric differential stage
US6564328B1 (en) * 1999-12-23 2003-05-13 Intel Corporation Microprocessor with digital power throttle
US6597620B1 (en) 2001-07-18 2003-07-22 Advanced Micro Devices, Inc. Storage circuit with data retention during power down
US7111178B2 (en) * 2001-09-28 2006-09-19 Intel Corporation Method and apparatus for adjusting the voltage and frequency to minimize power dissipation in a multiprocessor system
US6611435B2 (en) 2002-01-08 2003-08-26 Intel Corporation voltage regulator with voltage droop compensation
JP2003256495A (ja) * 2002-02-27 2003-09-12 Nec Corp 消費電力計算装置及び方法
JP4130134B2 (ja) * 2003-02-26 2008-08-06 三洋電機株式会社 施設内機器の各運転モード毎の単位時間当たりの消費電力量算出装置および施設内機器の消費電力量算出装置
US7225349B2 (en) 2003-07-25 2007-05-29 Intel Corporation Power supply voltage droop compensated clock modulation for microprocessors
US7430672B2 (en) * 2004-10-27 2008-09-30 Intel Corporation Method and apparatus to monitor power consumption of processor
TWI258702B (en) * 2004-12-15 2006-07-21 Univ Tsinghua Power estimation method using chip-design register transmission layer as basis, and recording medium of computer can access
JP2007034669A (ja) * 2005-07-27 2007-02-08 Nec Corp 消費電力モニタリングシステム及びその方法ならびにプログラム
US7301373B1 (en) 2005-08-04 2007-11-27 Advanced Micro Devices, Inc. Asymmetric precharged flip flop
US7454637B2 (en) 2005-09-02 2008-11-18 Intel Corporation Voltage regulator having reduced droop
US7498694B2 (en) 2006-04-12 2009-03-03 02Micro International Ltd. Power management system with multiple power sources
US7420378B2 (en) 2006-07-11 2008-09-02 International Business Machines Corporation Power grid structure to optimize performance of a multiple core processor
US7673160B2 (en) * 2006-10-19 2010-03-02 International Business Machines Corporation System and method of power management for computer processor systems
JP4696051B2 (ja) * 2006-11-28 2011-06-08 富士通株式会社 消費電力解析方法及びプログラム
JP4410278B2 (ja) * 2007-10-04 2010-02-03 レノボ・シンガポール・プライベート・リミテッド 電子機器、電子機器の電力制御方法、およびコンピュータが実行するためのプログラム

Also Published As

Publication number Publication date
WO2009126322A9 (en) 2011-05-12
WO2009126322A2 (en) 2009-10-15
CN102272735B (zh) 2015-03-18
KR101493018B1 (ko) 2015-02-12
JP2011528138A (ja) 2011-11-10
US20090259869A1 (en) 2009-10-15
TWI480757B (zh) 2015-04-11
TW200949598A (en) 2009-12-01
EP2266037A2 (en) 2010-12-29
KR20110004869A (ko) 2011-01-14
US8010824B2 (en) 2011-08-30
CN102272735A (zh) 2011-12-07

Similar Documents

Publication Publication Date Title
JP5615262B2 (ja) リアルタイム電力推定のためのチップ活発性のサンプリング
TWI806927B (zh) 積體電路邊限測量和故障預測裝置
Blome et al. Self-calibrating online wearout detection
US8860502B2 (en) Method and apparatus for monitoring timing of critical paths
US8228106B2 (en) On-chip self calibrating delay monitoring circuitry
US8442786B2 (en) Flexible power reporting in a computing system
US7185300B2 (en) Vectorless instantaneous current estimation
TW202217346A (zh) 用於結構測試的積體電路邊際測量
US8571847B2 (en) Efficiency of static core turn-off in a system-on-a-chip with variation
Yi et al. A failure prediction strategy for transistor aging
Tenentes et al. Coarse-grained online monitoring of bti aging by reusing power-gating infrastructure
Omaña et al. Self-checking monitor for NBTI due degradation
Abuhamdeh et al. A production IR-drop screen on a chip
Turakhia et al. Silicon evaluation of longest path avoidance testing for small delay defects
JP3243434B2 (ja) Lsiのタイミング劣化シミュレーション装置およびシミュレーション方法
US11829222B2 (en) Operating voltage adjustment for aging circuits
US20220268857A1 (en) Power leakage testing
Kandula et al. Path Margin Monitor for Silicon Lifecycle
Pei et al. A unified architecture for speed-binning and circuit failure prediction and detection
JP5821240B2 (ja) プログラム、ライブラリ作成装置、及び方法
Yamato et al. An Improved Method of Per-Cell Dynamic IR-Drop Estimation Based on the Weighted Switching Activity Metric
Kundu et al. Power issues during test

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120404

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120404

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130527

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130619

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140129

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140428

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140508

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140529

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140605

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140627

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140813

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140909

R150 Certificate of patent or registration of utility model

Ref document number: 5615262

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250