JP5532834B2 - Reflective projection exposure mask blank and reflective projection exposure mask - Google Patents

Reflective projection exposure mask blank and reflective projection exposure mask Download PDF

Info

Publication number
JP5532834B2
JP5532834B2 JP2009257244A JP2009257244A JP5532834B2 JP 5532834 B2 JP5532834 B2 JP 5532834B2 JP 2009257244 A JP2009257244 A JP 2009257244A JP 2009257244 A JP2009257244 A JP 2009257244A JP 5532834 B2 JP5532834 B2 JP 5532834B2
Authority
JP
Japan
Prior art keywords
layer
reflective
mask
light
reflective film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009257244A
Other languages
Japanese (ja)
Other versions
JP2011103344A (en
Inventor
崇 原口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Inc filed Critical Toppan Inc
Priority to JP2009257244A priority Critical patent/JP5532834B2/en
Publication of JP2011103344A publication Critical patent/JP2011103344A/en
Application granted granted Critical
Publication of JP5532834B2 publication Critical patent/JP5532834B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Description

本発明は、軟X線領域の極紫外光、すなわちEUV(Extreme Ultra Violet) 光を使用するフォトリソグラフィー法において、反射型投影露光マスクブランク及び反射型投影露光マスク並びに反射型投影露光マスクの製造方法に係るものである。   The present invention relates to a reflection-type projection exposure mask blank, a reflection-type projection exposure mask, and a method for manufacturing a reflection-type projection exposure mask in a photolithography method using extreme ultraviolet light in the soft X-ray region, that is, EUV (Extreme Ultra Violet) light. It is related to.

近年、半導体装置の微細化に伴い、露光光源も従来のランプ光源(波長365nm)からエキシマレーザー光源(波長248nm、193nm)へと次第に短波長化されてきた。現在は193nmのArFエキシマレーザー光を使用し、少しでも高い解像性を得るために、液浸露光(Immersion Lithography)や二重露光(Double Patterning)、その他超解像技術(RET)を多用して解像性向上を図っている。しかしながら、半導体回路のパターン寸法の微細化が進むにつれて、従来の露光方式の延長では微細化への対応が難しくなってくる。このため、現在は上記の様な技術により193nm露光を延命しているが、今後の露光方式の候補として反射投影型のX線縮小投影露光(以下EUV露光もしくはEUVリソグラフィー)の開発が進められている。   In recent years, along with the miniaturization of semiconductor devices, the exposure light source has been gradually shortened from a conventional lamp light source (wavelength 365 nm) to an excimer laser light source (wavelengths 248 nm and 193 nm). Currently, 193nm ArF excimer laser light is used, and in order to obtain as little resolution as possible, liquid immersion exposure (Immersion Lithography), double exposure (Double Patterning), and other super-resolution techniques (RET) are frequently used. To improve resolution. However, as the pattern dimensions of semiconductor circuits are further miniaturized, it becomes difficult to cope with the miniaturization by extending the conventional exposure method. For this reason, the 193 nm exposure is currently extended by the above-mentioned technique, but the development of a reflection projection type X-ray reduction projection exposure (hereinafter referred to as EUV exposure or EUV lithography) is being promoted as a candidate for the future exposure method. Yes.

軟X線領域を用いた縮小投影露光は、極紫外線露光(Extreme Ultra Violet Lithography:EUV露光)とも呼ばれる。このEUV露光は、波長1nm〜20nm程度の軟X線領域の波長を用いた露光方法で、将来の有力な半導体微細パターン作製技術と考えられている。EUVを用いた露光方法では、金属にYAGレーザー等を照射して生じるレーザープラズマから放射される軟X線等を露光光源として用いている。露光波長は10〜15nmの波長が一般的に考えられているが、この波長領域を用いる場合には従来の屈折光学系を用いることが困難である。このため、屈折レンズの代わりに多層膜ミラー面によって反射率を高めた反射光学系を用いる。また多層膜ミラーに非球面鏡を用いることで縮小露光が可能となるため、マスクは4倍若しくは5倍の拡大率で形成される。この露光方法は日本では1984年頃より検討が開始され、現在国内外の研究機関で開発が進められている。   Reduction projection exposure using a soft X-ray region is also called extreme ultraviolet exposure (EUV exposure). This EUV exposure is an exposure method using a wavelength in the soft X-ray region of a wavelength of about 1 nm to 20 nm, and is considered to be a promising technology for producing a semiconductor fine pattern in the future. In an exposure method using EUV, soft X-rays or the like emitted from laser plasma generated by irradiating a metal with a YAG laser or the like is used as an exposure light source. Although an exposure wavelength of 10 to 15 nm is generally considered, it is difficult to use a conventional refractive optical system when using this wavelength region. For this reason, a reflective optical system in which the reflectance is increased by a multilayer mirror surface is used instead of the refractive lens. Further, since an aspherical mirror is used as the multilayer mirror, reduction exposure can be performed, so that the mask is formed at a magnification of 4 times or 5 times. This exposure method has been studied in Japan since around 1984, and is currently being developed by domestic and foreign research institutions.

ところで、EUV光の波長領域における物質の屈折率は1よりわずかに小さい程度であり、従来の露光源で用いられるような屈折光学系が使用できない。このため、EUV露光では、反射光学系により露光が行われる。また、EUV光の波長域ではほとんどの物質が高い光吸収性を持つため、EUV露光の際は、透過型マスクではなく、反射型マスクが用いられる。   By the way, the refractive index of the substance in the wavelength region of EUV light is slightly smaller than 1, and a refractive optical system used in a conventional exposure source cannot be used. For this reason, in EUV exposure, exposure is performed by a reflective optical system. In addition, since most substances have high light absorptivity in the EUV light wavelength region, a reflective mask is used instead of a transmissive mask during EUV exposure.

このEUV露光用の反射型フォトマスクは、低膨張率基材上にEUV光を実質的に反射する反射膜層を設け、更にその上にEUV光に対して吸収性の高い重金属からなる吸収体のパターン(吸収体パターン)を設けたものである。反射膜層は屈折率の大きく異なる材料の組み合わせによる多層膜から構成されており、多層反射膜表面が吸収体パターンにより覆われた吸収領域と、吸収体のない多層反射膜表面が露出した反射領域とのEUV光に対する反射率の違いにより吸収体パターンのパターン転写を行うものである。   In this reflective photomask for EUV exposure, a reflective film layer that substantially reflects EUV light is provided on a low expansion coefficient base material, and further an absorber made of a heavy metal that is highly absorbable with respect to EUV light. This pattern (absorber pattern) is provided. The reflective film layer is composed of a multilayer film made of a combination of materials having significantly different refractive indexes, and the reflective area where the multilayer reflective film surface is covered with the absorber pattern and the reflective area where the multilayer reflective film surface without the absorber is exposed The pattern transfer of the absorber pattern is performed by the difference in reflectance with respect to EUV light.

上記EUV露光は、反射投影光学系を用いた反射型マスクに対して入射角を有した露光光を入射することで行う。そして、該露光光は入射角と同等の反射角でウエハ(半導体基板)上に照射される。しかしながら、この際に前記反射型マスクの反射膜層の上部に設けられた吸収体パターンは70nm程度の膜厚を有している。このため、前記露光光に対して前記吸収体パターンの一部が影となり、ウエハへのパターン転写後のパターン寸法やパターン位置がずれること(シャドーイング)が問題となっている。   The EUV exposure is performed by making exposure light having an incident angle incident on a reflective mask using a reflective projection optical system. The exposure light is irradiated onto the wafer (semiconductor substrate) at a reflection angle equivalent to the incident angle. However, at this time, the absorber pattern provided on the reflective film layer of the reflective mask has a thickness of about 70 nm. For this reason, a part of the absorber pattern becomes a shadow with respect to the exposure light, and there is a problem that pattern dimensions and pattern positions after pattern transfer to the wafer are shifted (shadowing).

このシャドーイングの影響を緩和する方法として、吸収体の膜厚を低減する方法(例えば特許文献1を参照)が提案されている。しかし、この方法では、シャドーイングの影響を完全に排除できないことに加え、EUV光吸収率の低下をもたらしてしまう。また、シャドーイングの影響を緩和する方法として、予めシャドーイングの影響を計算してパターンの設計データに前記計算結果をフィードバックすることで反射型マスクの描画パターンデータを補正し、反射型マスクを作製する等の方法が提案されている。しかし、この方法では、補正のためのデータハンドリングと検証作業が膨大になるという問題があった。   As a method for reducing the influence of this shadowing, a method for reducing the thickness of the absorber (see, for example, Patent Document 1) has been proposed. However, in this method, the influence of shadowing cannot be completely eliminated, and the EUV light absorption rate is lowered. In addition, as a method to reduce the influence of shadowing, the influence of shadowing is calculated in advance and the calculation result is fed back to the pattern design data to correct the drawing pattern data of the reflective mask, thereby producing a reflective mask. The method of doing etc. is proposed. However, this method has a problem that the data handling and verification work for correction becomes enormous.

このため、高精度に反射型マスクのパターンをウエハ上に転写することが困難であった。   For this reason, it is difficult to transfer the pattern of the reflective mask onto the wafer with high accuracy.

特開2004−266300号公報JP 2004-266300 A

本発明は、高精度に反射型マスクのパターンをウエハ上に転写することが可能な反射型投影露光マスクブランク、反射型投影露光マスク及び反射型投影露光マスクの製造方法を提供することを目的としている。   It is an object of the present invention to provide a reflective projection exposure mask blank, a reflective projection exposure mask, and a method of manufacturing a reflective projection exposure mask that can transfer a reflective mask pattern onto a wafer with high accuracy. Yes.

本発明の第一の視点に係る反射型投影露光マスクブランクの態様は、支持基板上にEUV光を実質的に吸収することを目的とした吸収体層と、前記吸収体層上に形成され、EUV光を実質的に反射させることを目的とした反射膜層とを備え、前記反射膜層は前記吸収体層より上に設けられていることを特徴とする。   An aspect of a reflective projection exposure mask blank according to the first aspect of the present invention is formed on an absorber layer intended to substantially absorb EUV light on a support substrate, and the absorber layer, And a reflective film layer intended to substantially reflect EUV light, wherein the reflective film layer is provided above the absorber layer.

本発明の第二の視点に係る反射型投影露光マスクの態様は、支持基板上にEUV光を実質的に吸収することを目的とした吸収体層と、前記吸収体層上に形成され、EUV光を実質的に反射させることを目的とし、所望のパターンを有する反射膜層とを備え、前記反射膜層は前記吸収体層より上方に設けられていることを特徴とする。   The aspect of the reflective projection exposure mask according to the second aspect of the present invention includes an absorber layer intended to substantially absorb EUV light on a support substrate, and an EUV formed on the absorber layer. The reflective film layer has a desired pattern for the purpose of substantially reflecting light, and the reflective film layer is provided above the absorber layer.

本発明の第二の視点に係る反射型投影露光マスクの製造方法の態様は、支持基板上に吸収体層を形成し、前記吸収体層の上方に反射膜層を形成し、前記反射膜層の上方に第1のマスク膜を形成し、前記第1のマスク膜をマスクとして用いて前記反射膜層を加工し、前記第1のマスク膜を除去することを含むことを特徴とする。   According to a second aspect of the present invention, there is provided a reflective projection exposure mask manufacturing method comprising: forming an absorber layer on a support substrate; forming a reflective film layer above the absorber layer; and Forming a first mask film above the first mask film, processing the reflective film layer using the first mask film as a mask, and removing the first mask film.

本発明によれば、高精度に反射型マスクのパターンをウエハ上に転写することが可能な反射型投影露光マスクブランク、反射型投影露光マスク及び反射型投影露光マスクの製造方法を提供することが可能である。   According to the present invention, it is possible to provide a reflective projection exposure mask blank, a reflective projection exposure mask, and a method of manufacturing a reflective projection exposure mask that can transfer a reflective mask pattern onto a wafer with high accuracy. Is possible.

本発明の実施の形態の反射型マスクブランク(反射型投影露光マスクブランク)の基本的な構成を模式的に示した図である。It is the figure which showed typically the basic composition of the reflective mask blank (reflective projection exposure mask blank) of embodiment of this invention. 本発明の実施の形態の反射型投影露光マスクの基本的な構成を模式的に示した図である。It is the figure which showed typically the fundamental structure of the reflection type projection exposure mask of embodiment of this invention. 本発明の実施の形態の反射型投影露光マスクブランクの基本的な構成を模式的に示した図である。It is the figure which showed typically the fundamental structure of the reflection type projection exposure mask blank of embodiment of this invention. 本発明の実施の形態の反射型投影露光マスクの基本的な構成を模式的に示した図である。It is the figure which showed typically the fundamental structure of the reflection type projection exposure mask of embodiment of this invention. 本発明の実施の形態の反射型投影露光マスクブランクの基本的な構成を模式的に示した図である。It is the figure which showed typically the fundamental structure of the reflection type projection exposure mask blank of embodiment of this invention. 本発明の実施の形態の反射型投影露光マスクの基本的な構成を模式的に示した図である。It is the figure which showed typically the fundamental structure of the reflection type projection exposure mask of embodiment of this invention. 本発明の実施例1の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 1 of this invention. 本発明の実施例1の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 1 of this invention. 本発明の実施例1の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 1 of this invention. 本発明の実施例1の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 1 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention. 本発明の実施例2の反射型投影露光マスクの製造方法を模式的に示した図である。It is the figure which showed typically the manufacturing method of the reflection type projection exposure mask of Example 2 of this invention.

以下、本発明の実施の形態の詳細を図面を参照して説明する。この説明に際し、全図にわたり、共通する部分には共通する参照符号を付す。また、以下で説明する反射型マスク(反射型投影露光マスク)は、半導体装置の製造等で使用されるものである。   The details of the embodiments of the present invention will be described below with reference to the drawings. In the description, common parts are denoted by common reference symbols throughout the drawings. A reflective mask (reflective projection exposure mask) described below is used in the manufacture of semiconductor devices and the like.

図1、図3、図5は、本発明の実施の形態の反射型マスクブランク(反射型投影露光マスクブランク)の基本的な構成を模式的に示した図である。図2、図4、図6は、本発明の実施の形態の反射型マスク(反射型投影露光マスク)の基本的な構成を模式的に示した図である。   1, 3 and 5 are diagrams schematically showing the basic configuration of a reflective mask blank (reflective projection exposure mask blank) according to an embodiment of the present invention. 2, 4, and 6 are diagrams schematically showing the basic configuration of a reflective mask (reflective projection exposure mask) according to an embodiment of the present invention.

図1に示すように、反射型マスクブランク(反射型投影露光マスクブランク)10は、支持基板100と、支持基板100上に設けられた吸収体層101と、吸収体層101上に設けられた反射膜層102とが積層されている構造を有している。   As shown in FIG. 1, a reflective mask blank (reflective projection exposure mask blank) 10 is provided on a support substrate 100, an absorber layer 101 provided on the support substrate 100, and the absorber layer 101. The reflective film layer 102 is laminated.

支持基板100は、例えば合成石英基板である。支持基板100をEUV露光(EUVリソグラフィー)に用いる場合には、露光精度等の点からチタン等が含有された超低膨張ガラス基板を用いることが好ましい。このEUV露光用の超低膨張ガラス基板としてはコーニング社製の超低膨張ガラス基板ULEや、ショット社製の超低膨張ガラス基板ZeroDure等がある。   The support substrate 100 is, for example, a synthetic quartz substrate. When the support substrate 100 is used for EUV exposure (EUV lithography), it is preferable to use an ultra-low expansion glass substrate containing titanium or the like from the viewpoint of exposure accuracy. Examples of the ultra-low expansion glass substrate for EUV exposure include an ultra-low expansion glass substrate ULE manufactured by Corning, and an ultra-low expansion glass substrate ZeroDure manufactured by Schott.

吸収体層101は、EUV(軟X線あるいは極紫外線)光を実質的に吸収することを目的とした吸収体であり、例えば窒化タンタル等で形成されている。   The absorber layer 101 is an absorber intended to substantially absorb EUV (soft X-ray or extreme ultraviolet) light, and is formed of, for example, tantalum nitride.

反射膜層102は、EUV光を実質的に反射させることを目的とした多層膜層であり、例えばモリブデンとシリコンとが交互に40対層程度積層された構造を有している。   The reflective film layer 102 is a multilayer film layer for the purpose of substantially reflecting EUV light, and has a structure in which, for example, about 40 pairs of molybdenum and silicon are alternately stacked.

このように、反射型マスクブランク10において、EUV光を反射する反射膜層102は、EUV光を吸収する吸収体層101より上に設けられている。   Thus, in the reflective mask blank 10, the reflective film layer 102 that reflects EUV light is provided above the absorber layer 101 that absorbs EUV light.

また、図2に示すように、反射型マスク(反射型投影露光マスク)11は、反射型マスクブランク10の反射膜層102にパターンが形成された、反射膜層102aを有している。前記パターンは、例えば半導体装置の回路パターン等である。   As shown in FIG. 2, the reflective mask (reflective projection exposure mask) 11 has a reflective film layer 102 a in which a pattern is formed on the reflective film layer 102 of the reflective mask blank 10. The pattern is, for example, a circuit pattern of a semiconductor device.

上述した実施形態の反射型マスク11によれば、パターンが形成され、EUV光を反射する反射膜層102aは、EUV光を吸収する吸収体層101より上に設けられている。そして、EUV光を露光光として用いたEUV露光の際には、この反射型マスク11に、例えば6度程度の入射角を有したEUV光を照射する。そして、反射膜層102aは前記EUV光を反射し、吸収体層101は、前記EUV光を吸収する。反射膜層102aによって反射された前記EUV光(反射光)は、入射角と同等の反射角で半導体基板上に設けられた該軟X線あるいは極紫外線露光用レジスト層に照射される。これにより、前記レジスト層には、反射膜層102aのパターンが転写される。   According to the reflective mask 11 of the above-described embodiment, the reflective film layer 102a that forms a pattern and reflects EUV light is provided above the absorber layer 101 that absorbs EUV light. In the case of EUV exposure using EUV light as exposure light, the reflective mask 11 is irradiated with EUV light having an incident angle of about 6 degrees, for example. The reflective film layer 102a reflects the EUV light, and the absorber layer 101 absorbs the EUV light. The EUV light (reflected light) reflected by the reflective film layer 102a is applied to the soft X-ray or extreme ultraviolet exposure resist layer provided on the semiconductor substrate at a reflection angle equal to the incident angle. Thereby, the pattern of the reflective film layer 102a is transferred to the resist layer.

このように、反射型マスク11では、反射膜層102aが吸収体層101よりも上方に形成されているため、EUV露光時において、吸収体層101に起因する反射光の影の発生を防止することができ、半導体基板へのEUV露光後のパターン寸法やパターン位置がずれる問題を抑制することができる。これにより、従来の吸収対層が反射膜層よりも上方に形成されている場合のように、吸収対層の膜の厚さにより反射光が遮られることがない。   As described above, in the reflective mask 11, the reflective film layer 102 a is formed above the absorber layer 101, so that it is possible to prevent generation of a shadow of reflected light due to the absorber layer 101 during EUV exposure. It is possible to suppress the problem that the pattern dimensions and pattern positions after the EUV exposure on the semiconductor substrate are shifted. As a result, the reflected light is not blocked by the thickness of the absorption pair layer as in the case where the conventional absorption pair layer is formed above the reflection film layer.

その結果、所定の角度から照射される露光光を反射する反射型マスクにおいて、高精度に反射型マスクのパターンを半導体基板上に転写することが可能となる。   As a result, in a reflective mask that reflects exposure light irradiated from a predetermined angle, the pattern of the reflective mask can be transferred onto the semiconductor substrate with high accuracy.

次に、本実施形態の変形例について説明する。本変形例では、図3に示すように、反射型マスクブランク20は、支持基板100と、支持基板100上に設けられた吸収体層101と、吸収体層101上に設けられたエッチングストッパ層103と、エッチングストッパ層103上に設けられた反射膜層102と、反射膜層102上に設けられた保護層104とが積層されている構造を有している。   Next, a modification of this embodiment will be described. In this modification, as shown in FIG. 3, the reflective mask blank 20 includes a support substrate 100, an absorber layer 101 provided on the support substrate 100, and an etching stopper layer provided on the absorber layer 101. 103, a reflective film layer 102 provided on the etching stopper layer 103, and a protective layer 104 provided on the reflective film layer 102 are stacked.

エッチングストッパ層103は、反射膜層102をエッチングする際にエッチングストッパとして機能し、吸収体層101を保護するものである。また、EUV光を吸収する構成を有していても良い。   The etching stopper layer 103 functions as an etching stopper when the reflective film layer 102 is etched, and protects the absorber layer 101. Moreover, you may have the structure which absorbs EUV light.

保護層104は、反射膜層102をEUV光等から保護するものであり、また、露光光(EUV光)は吸収せず、検査光(UV光)を吸収する、例えばシリコン酸化物で形成されている。   The protective layer 104 protects the reflective film layer 102 from EUV light or the like, and does not absorb exposure light (EUV light) but absorbs inspection light (UV light), and is formed of, for example, silicon oxide. ing.

また、図4に示すように、反射型マスク(反射型投影露光マスク)21は、反射型マスクブランク20の反射膜層102及び保護層104にパターンが形成されたものである。前記パターンが形成された反射膜層102a及び保護層104aは、反射型マスクブランク20の反射膜層102及び保護層104をドライエッチング等のエッチング処理を行うことで形成される。   As shown in FIG. 4, the reflective mask (reflective projection exposure mask) 21 has a pattern formed on the reflective film layer 102 and the protective layer 104 of the reflective mask blank 20. The reflective film layer 102 a and the protective layer 104 a on which the pattern is formed are formed by performing an etching process such as dry etching on the reflective film layer 102 and the protective layer 104 of the reflective mask blank 20.

上述した変形例の反射型マスク21によれば、上述した反射型マスク11と同様に、EUV光を反射する反射膜層102aは、EUV光を吸収する吸収体層101より上方に設けられている。このため、反射型マスク11と同様に、EUV露光時において、吸収体層101に起因する反射光の影の発生を防止することができ、半導体基板へのEUV露光後のパターン寸法やパターン位置がずれる問題を抑制することができる。   According to the reflective mask 21 of the above-described modification, the reflective film layer 102a that reflects EUV light is provided above the absorber layer 101 that absorbs EUV light, similarly to the reflective mask 11 described above. . For this reason, similar to the reflective mask 11, during the EUV exposure, it is possible to prevent the shadow of the reflected light due to the absorber layer 101 from occurring, and the pattern dimensions and pattern positions after the EUV exposure on the semiconductor substrate can be prevented. The problem of shifting can be suppressed.

また、反射型マスク21では、吸収体層101と反射膜層102との間にはエッチングストッパ層103が形成されているため、反射膜層102にパターンを形成するエッチング時の吸収体層101へのダメージを抑制することができる。また、反射膜層102a上には保護層104aが形成されているため、反射膜層102aへのダメージを抑制することができる。   In the reflective mask 21, the etching stopper layer 103 is formed between the absorber layer 101 and the reflective film layer 102, so that the pattern is formed on the reflective film layer 102 to the absorber layer 101 during etching. Damage can be suppressed. Further, since the protective layer 104a is formed over the reflective film layer 102a, damage to the reflective film layer 102a can be suppressed.

その結果、所定の角度から照射される露光光を反射し、高精度にパターンを半導体基板上に転写することができる反射型マスクを高品質に形成することが可能となる。   As a result, it is possible to form a reflective mask with high quality that reflects exposure light irradiated from a predetermined angle and can transfer the pattern onto the semiconductor substrate with high accuracy.

次に、本実施形態の他の変形例について説明する。本変形例では、図5に示すように、反射型マスクブランク30は、支持基板100と、支持基板100上に設けられた吸収体層101と、吸収体層101上に設けられたエッチングストッパ層103と、エッチングストッパ層103上に設けられた反射膜層102とが積層されている構造を有している。   Next, another modification of the present embodiment will be described. In this modification, as shown in FIG. 5, the reflective mask blank 30 includes a support substrate 100, an absorber layer 101 provided on the support substrate 100, and an etching stopper layer provided on the absorber layer 101. 103 and a reflective film layer 102 provided on the etching stopper layer 103 are stacked.

また、図6に示すように、反射型マスク31は、反射型マスクブランク30の反射膜層102にパターンが形成され、前記パターンが形成された反射膜層102a上と、反射膜層102aの側面と、露出されているエッチングストッパ層103上とに保護層104bが形成されている。   Further, as shown in FIG. 6, the reflective mask 31 has a pattern formed on the reflective film layer 102 of the reflective mask blank 30, and on the reflective film layer 102a on which the pattern is formed, and the side surface of the reflective film layer 102a. A protective layer 104b is formed on the exposed etching stopper layer 103.

保護膜104bは、反射膜層102をEUV光等から保護するものであり、また、露光光(EUV光)は吸収せず、検査光(UV光)を吸収する、例えばシリコン酸化物で形成されている。この保護膜104bは、反射膜層102にパターンを形成後に、スパッタリング法等の方法により形成される。   The protective film 104b protects the reflective film layer 102 from EUV light or the like, and does not absorb exposure light (EUV light) but absorbs inspection light (UV light). For example, the protective film 104b is formed of silicon oxide. ing. The protective film 104b is formed by a method such as sputtering after forming a pattern on the reflective film layer 102.

上述した変形例の反射型マスク31によれば、上述した反射型マスク11と同様に、EUV光を反射する反射膜層102aは、EUV光を吸収する吸収体層101より上方に設けられている。このため、反射型マスク11と同様に、EUV露光時において、吸収体層101に起因する反射光の影の発生を防止することができ、半導体基板へのEUV露光後のパターン寸法やパターン位置がずれる問題を抑制することができる。   According to the reflective mask 31 of the above-described modification, the reflective film layer 102a that reflects EUV light is provided above the absorber layer 101 that absorbs EUV light, as with the reflective mask 11 described above. . For this reason, similar to the reflective mask 11, during the EUV exposure, it is possible to prevent the shadow of the reflected light due to the absorber layer 101 from occurring, and the pattern dimensions and pattern positions after the EUV exposure on the semiconductor substrate can be prevented. The problem of shifting can be suppressed.

また、反射型マスク31では、上述した反射型マスク21と同様に、吸収体層101と反射膜層102との間にはエッチングストッパ層103が形成されているため、反射膜層102にパターンを形成するエッチング時の吸収体層101へのダメージを抑制することができる。また、反射膜層102a上には保護層104bが形成されているため、反射膜層102aへのダメージを抑制することができる。   In the reflective mask 31, similarly to the reflective mask 21 described above, the etching stopper layer 103 is formed between the absorber layer 101 and the reflective film layer 102. Damage to the absorber layer 101 during etching to be formed can be suppressed. Further, since the protective layer 104b is formed over the reflective film layer 102a, damage to the reflective film layer 102a can be suppressed.

その結果、所定の角度から照射される露光光を反射し、高精度にパターンを半導体基板上に転写することができる反射型マスクを高品質に形成することが可能となる。   As a result, it is possible to form a reflective mask with high quality that reflects exposure light irradiated from a predetermined angle and can transfer the pattern onto the semiconductor substrate with high accuracy.

尚、上述で説明した反射型マスクブランク及び反射型マスクブランクにおいて、本実施形態の効果が失われないようなものであれば、各層間や各層の上下に他の層を設けても構わない。   In the reflective mask blank and the reflective mask blank described above, other layers may be provided above each layer and above and below each layer as long as the effect of this embodiment is not lost.

また、モリブデンとシリコンとの積層構造を有する反射膜層102のドライエッチングに際してのエッチングガスとしては、塩素ガスを使用して加工を行うことができるが、モリブデンとシリコン共にフッ素系ガスでもエッチングが可能である。このため、CF、C等のガスを用いる事も可能である。 In addition, as the etching gas for dry etching of the reflective film layer 102 having a laminated structure of molybdenum and silicon, chlorine gas can be used as the etching gas, but both molybdenum and silicon can be etched with a fluorine-based gas. It is. Therefore, it is also possible to use CF 4, C 2 F 6 or the like of gas.

図7〜図10を用いて本発明の実施例1を説明する。   A first embodiment of the present invention will be described with reference to FIGS.

図7〜図10は本発明の実施例1の反射型マスクの製造方法を模式的に示した図である。   7-10 is the figure which showed typically the manufacturing method of the reflective mask of Example 1 of this invention.

実施例1では6インチ角−6.25mm厚の合成石英基板を支持基板100として用いている。   In Example 1, a synthetic quartz substrate having a 6-inch square and a thickness of 6.25 mm is used as the support substrate 100.

まず、図7に示すように、支持基板100の上にアネルバ社製マグネトロンスパッタ装置を使用して窒化タンタルから成る吸収体層101を約67nmの膜厚で成膜する。具体的には純度4Nのタンタルのスパッタリングターゲートを用い、アルゴン及び窒素雰囲気内で反応性スパッタリングを行うことで成膜する。印加電圧は直流300Wとし、所望の膜厚となるように予め算出した成膜レートを基に時間を調整することで膜厚の制御を行う。   First, as shown in FIG. 7, an absorber layer 101 made of tantalum nitride is formed on the support substrate 100 with a film thickness of about 67 nm using an Anelva magnetron sputtering apparatus. Specifically, the film is formed by performing reactive sputtering in an argon and nitrogen atmosphere using a sputtering gate of tantalum having a purity of 4N. The applied voltage is DC 300 W, and the film thickness is controlled by adjusting the time based on the film formation rate calculated in advance so as to obtain a desired film thickness.

次に、反射膜層102は、ビーコ社製イオンビームスパッタ装置を用いて成膜を行う。   Next, the reflective film layer 102 is formed using an ion beam sputtering apparatus manufactured by Beco.

材料はモリブデンとシリコン材料をターゲットとして使用し、交互に40対層積層した。これにより、支持基板100と、支持基板100上に設けられた吸収体層101と、吸収体層101上に設けられた反射膜層102とを有している反射型マスクブランクが形成される。 As materials, molybdenum and silicon materials were used as targets, and 40 pairs of layers were alternately laminated. Thereby, the reflective mask blank which has the support substrate 100, the absorber layer 101 provided on the support substrate 100, and the reflective film layer 102 provided on the absorber layer 101 is formed.

次に、上記までに得られたブランクにネガ型化学増幅電子線レジストFEN271(富士フィルムエレクトロニクスマテリアルズ)を膜厚150nmでスピンコートし、PAB(Post Applied Bake:塗布後ベーク)を130度で600秒間行い、レジスト層105を形成する。   Next, negative-type chemically amplified electron beam resist FEN271 (Fuji Film Electronics Materials) is spin-coated at a film thickness of 150 nm on the blank obtained above, and PAB (Post Applied Bake: 600 after baking) is 600 at 130 degrees. Second, the resist layer 105 is formed.

次に、図8に示すように、可変成形型の電子線描画装置EBM5000(ニューフレアテクノロジーズ)を用いて、ドーズ量15μC/cmで、パターンサイズ40〜200nmのライン&スペースパターンをレジスト層105に描画する。これにより、レジスト層105は、パターンを有するレジスト層105aになる。 Next, as shown in FIG. 8, a line-and-space pattern having a pattern size of 40 to 200 nm and a pattern size of 40 to 200 nm with a dose of 15 μC / cm 2 using a variable-shaped electron beam lithography apparatus EBM5000 (New Flare Technologies). To draw. Thereby, the resist layer 105 becomes a resist layer 105a having a pattern.

次に、図9に示すように、レジスト層105aをエッチングマスクとして用いて、ドライエッチング装置Tetra2(アプライドマテリアル)にてモリブデンとシリコンからなる反射膜層102を加工する(条件:圧力15mTorr、ICPパワー500W、Biasパワー13W、塩素ガス=90sccm)。これにより、反射膜層102は、パターンを有する反射膜層102aになる。   Next, as shown in FIG. 9, using the resist layer 105a as an etching mask, the reflective film layer 102 made of molybdenum and silicon is processed by a dry etching apparatus Tetra2 (applied material) (conditions: pressure 15 mTorr, ICP power). 500 W, Bias power 13 W, chlorine gas = 90 sccm). Thereby, the reflective film layer 102 becomes a reflective film layer 102a having a pattern.

次に、図10に示すように、最後に残ったレジスト層105aを硫酸によって剥離洗浄する。   Next, as shown in FIG. 10, the last remaining resist layer 105a is stripped and washed with sulfuric acid.

このようにして、反射型マスクが形成される。   In this way, a reflective mask is formed.

図11〜図16を用いて本発明の実施例2を説明する。   A second embodiment of the present invention will be described with reference to FIGS.

図11〜図16は本発明の実施例2の反射型マスクの製造方法を模式的に示した図である。   11-16 is the figure which showed typically the manufacturing method of the reflective mask of Example 2 of this invention.

まず、図11に示すように。支持基板100の上にアネルバ社製マグネトロンスパッタ装置を使用して窒化タンタルから成る吸収体層101を約67nmの膜厚で成膜する。具体的には純度4Nのタンタルのスパッタリングターゲートを用い、アルゴン及び窒素雰囲気内で反応性スパッタリングを行うことで成膜する。印加電圧は直流300Wとし、所望の膜厚となるように予め算出した成膜レートを基に時間を調整することで膜厚の制御を行う。   First, as shown in FIG. On the support substrate 100, an absorber layer 101 made of tantalum nitride is formed to a thickness of about 67 nm using an Anelva magnetron sputtering apparatus. Specifically, the film is formed by performing reactive sputtering in an argon and nitrogen atmosphere using a sputtering gate of tantalum having a purity of 4N. The applied voltage is DC 300 W, and the film thickness is controlled by adjusting the time based on the film formation rate calculated in advance so as to obtain a desired film thickness.

次に、反射膜層102は、ビーコ社製イオンビームスパッタ装置を用いて成膜を行う。   Next, the reflective film layer 102 is formed using an ion beam sputtering apparatus manufactured by Beco.

材料はモリブデンとシリコン材料をターゲットとして使用し、交互に40対層積層した。これにより、支持基板100と、支持基板100上に設けられた吸収体層101と、吸収体層101上に設けられた反射膜層102とを有している反射型マスクブランクが形成される。 As materials, molybdenum and silicon materials were used as targets, and 40 pairs of layers were alternately laminated. Thereby, the reflective mask blank which has the support substrate 100, the absorber layer 101 provided on the support substrate 100, and the reflective film layer 102 provided on the absorber layer 101 is formed.

続いて、反射膜層102の上に、マスク加工用のマスク層106としてクロムをスパッタリング法により成膜した。成膜に際しての装置等は吸収体層101の成膜の際と同条件を用い、窒化クロム膜を5nmの膜厚で成膜した。このマスク層106は、反射膜層102とエッチングの選択比が充分にとれる材料で形成されている。   Subsequently, chromium was formed as a mask layer 106 for mask processing on the reflective film layer 102 by a sputtering method. The apparatus for film formation was the same as that for film formation of the absorber layer 101, and a chromium nitride film was formed to a thickness of 5 nm. The mask layer 106 is made of a material that can have a sufficient etching selectivity with respect to the reflective film layer 102.

その後、マスク層106上に、ネガ型化学増幅電子線レジストFEN271(富士フィルムエレクトロニクスマテリアルズ)を膜厚150nmでスピンコートし、PAB(Post Applied Bake:塗布後ベーク)を130度で600秒間行って、レジスト層105を形成した。   Thereafter, a negative chemically amplified electron beam resist FEN271 (Fuji Film Electronics Materials) is spin-coated on the mask layer 106 at a film thickness of 150 nm, and PAB (Post Applied Bake) is performed at 130 degrees for 600 seconds. A resist layer 105 was formed.

次に、図12に示すように、可変成形型の電子線描画装置EBM5000(ニューフレアテクノロジーズ)を用いて、ドーズ量15μC/cmで、パターンサイズ40〜200nmのライン&スペースパターンをレジスト層105に描画する。これにより、レジスト層105は、パターンを有するレジスト層105aになる。 Next, as shown in FIG. 12, a line-and-space pattern having a pattern size of 40 to 200 nm and a pattern size of 40 to 200 nm with a dose of 15 μC / cm 2 using a variable-shaped electron beam lithography apparatus EBM5000 (New Flare Technologies). To draw. Thereby, the resist layer 105 becomes a resist layer 105a having a pattern.

次に、図13に示すように、レジスト層105aをエッチングマスクとして用いて、ドライエッチング装置Tetra2(アプライドマテリアル)にてマスク層106を加工する(条件:圧力15mTorr、ICPパワー500W、Biasパワー13W、塩素=90sccm、酸素=30sccm、300秒)。これにより、マスク層106は、パターンを有するマスク層106aになる。   Next, as shown in FIG. 13, using the resist layer 105a as an etching mask, the mask layer 106 is processed with a dry etching apparatus Tetra2 (applied material) (conditions: pressure 15 mTorr, ICP power 500 W, Bias power 13 W, (Chlorine = 90 sccm, oxygen = 30 sccm, 300 seconds). Thus, the mask layer 106 becomes a mask layer 106a having a pattern.

次に、図14に示すように、最後に残ったレジスト層105aを硫酸によって剥離洗浄する。   Next, as shown in FIG. 14, the last remaining resist layer 105a is stripped and washed with sulfuric acid.

次に、図15に示すように、レジスト層105aをエッチングマスクとして用いて、ドライエッチング装置Tetra2(アプライドマテリアル)にてモリブデンとシリコンからなる反射膜層102を加工する(条件:圧力15mTorr、ICPパワー500W、Biasパワー13W、塩素ガス=90sccm)。これにより、反射膜層102は、パターンを有する反射膜層102aになる。   Next, as shown in FIG. 15, using the resist layer 105a as an etching mask, the reflective film layer 102 made of molybdenum and silicon is processed by a dry etching apparatus Tetra2 (applied material) (conditions: pressure 15 mTorr, ICP power). 500 W, Bias power 13 W, chlorine gas = 90 sccm). Thereby, the reflective film layer 102 becomes a reflective film layer 102a having a pattern.

次に、図16に示すように、平行平板RIE(Reactive Ion Etching)によるドライエッチングでマスク層106aをエッチング剥膜する(剥膜条件:圧力25mTorr、RFパワー150W、塩素=90sccm、酸素=30sccm、430秒)。   Next, as shown in FIG. 16, the mask layer 106a is etched by dry etching using parallel plate RIE (Reactive Ion Etching) (filming conditions: pressure 25 mTorr, RF power 150 W, chlorine = 90 sccm, oxygen = 30 sccm, 430 seconds).

このようにして、反射型マスクが形成される。   In this way, a reflective mask is formed.

以上、本発明の実施形態等を説明したが、本発明は上記実施形態に限定されるものではなく、その趣旨を逸脱しない範囲内において種々変形して実施することが可能である。さらに、上記実施形態には種々の段階の発明が含まれており、開示された構成要件を適宜組み合わせることによって種々の発明が抽出される。例えば、開示された構成要件からいくつかの構成要件が削除されても、所定の効果が得られるものであれば、発明として抽出され得る。   Although the embodiments of the present invention have been described above, the present invention is not limited to the above-described embodiments, and various modifications can be made without departing from the spirit of the present invention. Further, the above embodiments include inventions at various stages, and various inventions can be extracted by appropriately combining the disclosed constituent elements. For example, even if several constituent requirements are deleted from the disclosed constituent requirements, the invention can be extracted as long as a predetermined effect can be obtained.

上記の発明は、微細化に対応した半導体デバイスのパターン形成、特に反射型投影露光マスクを用いたリソグラフィーに関して利用可能であり、特に上記露光用マスク及びマスク作製のための製造方法として利用可能である。   The above invention can be used for semiconductor device pattern formation corresponding to miniaturization, particularly lithography using a reflective projection exposure mask, and in particular, can be used as a manufacturing method for the exposure mask and mask fabrication. .

100・・・支持基板
101・・・吸収体層
102、102a・・・反射膜層
103・・・エッチングストッパ層
104、104a、104b・・・保護層
105、105a・・・レジスト層
106・・・マスク層
DESCRIPTION OF SYMBOLS 100 ... Support substrate 101 ... Absorber layer 102, 102a ... Reflective film layer 103 ... Etching stopper layer 104, 104a, 104b ... Protective layer 105, 105a ... Resist layer 106 ...・ Mask layer

Claims (2)

支持基板上にEUV光を実質的に吸収することを目的とした吸収体層と、
前記吸収体層上に直接または他の層を介して前記吸収体層上に形成され、EUV光を実質的に反射させることを目的としたモリブデンとシリコンとが交互に40対層程度積層された構造の反射膜層と
を備え、
前記反射膜層は前記吸収体層より上に設けられており、
前記反射膜層上に、露光光(EUV光)は吸収せず検査光(UV光)を吸収する保護層が更に設けられていることを特徴とする反射型投影露光マスクブランク。
An absorber layer intended to substantially absorb EUV light on a support substrate;
Formed on the absorber layer directly or via another layer on the absorber layer, and about 40 pairs of molybdenum and silicon alternately laminated for the purpose of substantially reflecting EUV light . A reflective film layer having a structure , and
The reflective film layer is provided above the absorber layer ,
A reflective projection exposure mask blank, further comprising a protective layer that absorbs inspection light (UV light) without absorbing exposure light (EUV light) on the reflective film layer .
支持基板上にEUV光を実質的に吸収することを目的とした吸収体層と、
前記吸収体層上に直接または他の層を介して前記吸収体層上に形成され、EUV光を実質的に反射させることを目的とし、所望のパターンを有し、モリブデンとシリコンとが交互に40対層程度積層された構造の反射膜層と
を備え、
前記反射膜層は前記吸収体層より上に設けられており、
前記反射膜層上に、露光光(EUV光)は吸収せず検査光(UV光)を吸収する保護層が更に設けられていることを特徴とする反射型投影露光マスク。
An absorber layer intended to substantially absorb EUV light on a support substrate;
Is formed on the absorber layer directly or via another layer the absorber layer, are intended to be substantially reflect the EUV light, have a desired pattern, alternating molybdenum and silicon are A reflective film layer having a structure in which about 40 pairs of layers are laminated ;
With
The reflective film layer is provided above the absorber layer ,
A reflective projection exposure mask, further comprising a protective layer that absorbs inspection light (UV light) without absorbing exposure light (EUV light) on the reflective film layer .
JP2009257244A 2009-11-10 2009-11-10 Reflective projection exposure mask blank and reflective projection exposure mask Active JP5532834B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009257244A JP5532834B2 (en) 2009-11-10 2009-11-10 Reflective projection exposure mask blank and reflective projection exposure mask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009257244A JP5532834B2 (en) 2009-11-10 2009-11-10 Reflective projection exposure mask blank and reflective projection exposure mask

Publications (2)

Publication Number Publication Date
JP2011103344A JP2011103344A (en) 2011-05-26
JP5532834B2 true JP5532834B2 (en) 2014-06-25

Family

ID=44193571

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009257244A Active JP5532834B2 (en) 2009-11-10 2009-11-10 Reflective projection exposure mask blank and reflective projection exposure mask

Country Status (1)

Country Link
JP (1) JP5532834B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9335206B2 (en) * 2012-08-30 2016-05-10 Kla-Tencor Corporation Wave front aberration metrology of optics of EUV mask inspection system
JP6340800B2 (en) * 2014-01-24 2018-06-13 凸版印刷株式会社 EUV exposure mask and manufacturing method thereof
JP2016009744A (en) * 2014-06-24 2016-01-18 凸版印刷株式会社 Reflective mask and reflective mask blank
JP2016173392A (en) * 2015-03-16 2016-09-29 株式会社東芝 Light reflection type lithography mask, method of manufacturing the same, method of producing mask data, and mask blank
JP6374360B2 (en) 2015-08-04 2018-08-15 東芝メモリ株式会社 EUV mask and manufacturing method thereof

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01175734A (en) * 1987-12-29 1989-07-12 Canon Inc Reflective mask and its manufacture
JP3240189B2 (en) * 1992-10-12 2001-12-17 株式会社日立製作所 Optical element and method for manufacturing optical element
JP2005340553A (en) * 2004-05-28 2005-12-08 Sony Corp Mask for exposure
JP4663749B2 (en) * 2008-03-11 2011-04-06 大日本印刷株式会社 Inspection method and manufacturing method of reflective mask

Also Published As

Publication number Publication date
JP2011103344A (en) 2011-05-26

Similar Documents

Publication Publication Date Title
US9134604B2 (en) Extreme ultraviolet (EUV) mask and method of fabricating the EUV mask
TWI454833B (en) Reflective mask blank and method of manufacturing a reflective mask
US6583068B2 (en) Enhanced inspection of extreme ultraviolet mask
KR20080004547A (en) Extreme ultraviolet mask with leaky absorber and method for its fabrication
US9817307B2 (en) Method of manufacturing an extreme ultraviolet (EUV) mask and the mask manufactured therefrom
JP5233321B2 (en) Extreme ultraviolet exposure mask blank, extreme ultraviolet exposure mask, extreme ultraviolet exposure mask manufacturing method, and pattern transfer method using extreme ultraviolet exposure mask
JP5900773B2 (en) Mask blank, transfer mask, transfer mask manufacturing method, and semiconductor device manufacturing method
US11022874B2 (en) Chromeless phase shift mask structure and process
TWI772645B (en) Blank photomask, method for manufacturing photomask, and photomask
JP5532834B2 (en) Reflective projection exposure mask blank and reflective projection exposure mask
JP2009071126A (en) Reflective photomask for extreme-ultraviolet ray and semiconductor device manufacturing method
US9081288B2 (en) Extreme ultraviolet (EUV) mask, method of fabricating the EUV mask and method of inspecting the EUV mask
JP5521714B2 (en) EUV reflective mask manufacturing method
TWI739532B (en) Extreme ultraviolet lithography mask and method of patterning semiconductor wafer by extreme ultraviolet lithography
KR20090097493A (en) Extreme ultraviolet mask and method for fabricating the same
JP4923465B2 (en) Extreme ultraviolet exposure mask blank, extreme ultraviolet exposure mask, manufacturing method thereof, and pattern transfer method
JP2011249391A (en) Reflective photomask and manufacturing method thereof, and pattern formation method
US8916482B2 (en) Method of making a lithography mask
JP4529359B2 (en) Ultraviolet exposure mask, blank and pattern transfer method
JP4910820B2 (en) Extreme ultraviolet exposure mask, extreme ultraviolet exposure mask blank, method for manufacturing extreme ultraviolet exposure mask, and lithography method
US9726970B2 (en) Method of fabricating reflective photomask
US7745070B2 (en) Structure of a lithography mask
US7807318B2 (en) Reflective photomask and method of fabricating the same
JP5754592B2 (en) Reflective mask manufacturing method and reflective mask
TW202305498A (en) Mask blank, method for manufacturing phase shift mask, and method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121022

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130719

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130930

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140401

R150 Certificate of patent or registration of utility model

Ref document number: 5532834

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140414

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250