JP5416998B2 - Charged particle beam drawing method and charged particle beam drawing apparatus - Google Patents

Charged particle beam drawing method and charged particle beam drawing apparatus Download PDF

Info

Publication number
JP5416998B2
JP5416998B2 JP2009064204A JP2009064204A JP5416998B2 JP 5416998 B2 JP5416998 B2 JP 5416998B2 JP 2009064204 A JP2009064204 A JP 2009064204A JP 2009064204 A JP2009064204 A JP 2009064204A JP 5416998 B2 JP5416998 B2 JP 5416998B2
Authority
JP
Japan
Prior art keywords
effect correction
dimension
proximity effect
correction coefficient
pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009064204A
Other languages
Japanese (ja)
Other versions
JP2010219285A (en
Inventor
裕史 松本
靖雄 加藤
隆幸 阿部
智浩 飯島
純 八島
知生 本杉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2009064204A priority Critical patent/JP5416998B2/en
Publication of JP2010219285A publication Critical patent/JP2010219285A/en
Application granted granted Critical
Publication of JP5416998B2 publication Critical patent/JP5416998B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、荷電粒子ビーム描画方法および荷電粒子ビーム描画装置に関する。   The present invention relates to a charged particle beam drawing method and a charged particle beam drawing apparatus.

近年、半導体装置の集積度の増加に伴い個々の素子の寸法は微小化が進み、各素子を構成する配線やゲートなどの幅も微細化されている。   In recent years, with the increase in the degree of integration of semiconductor devices, the dimensions of individual elements have been reduced, and the widths of wirings and gates constituting each element have also been reduced.

この微細化を支えているフォトリソグラフィ技術には、加工や処理を受ける基板の表面にレジスト組成物を塗布してレジスト膜を形成する工程、光を照射して所定のレジストパターンを露光することによりレジストパターン潜像を形成する工程、必要に応じて加熱処理する工程、次いでこれを現像して所望の微細パターンを形成する工程、および、この微細パターンをマスクとして基板に対してエッチングなどの加工を行う工程が含まれる。   The photolithography technology that supports this miniaturization includes a step of applying a resist composition to the surface of a substrate to be processed or processed to form a resist film, and exposing a predetermined resist pattern by irradiating light. A step of forming a resist pattern latent image, a step of heat treatment as necessary, a step of developing this to form a desired fine pattern, and a process such as etching on the substrate using this fine pattern as a mask The process to perform is included.

フォトリソグラフィ技術においては、露光光の波長が解像可能な配線パターン等の幅と比例関係にある。従って、パターンの微細化を図る手段の一つとして、上記のレジストパターン潜像を形成する際に使用される露光光の短波長化が進められている。   In the photolithography technology, the wavelength of exposure light is proportional to the width of a resolvable wiring pattern or the like. Accordingly, as one of means for reducing the pattern size, the wavelength of exposure light used for forming the resist pattern latent image is being shortened.

また、より高解像度の露光技術として、電子ビームリソグラフィ技術の開発も進められている。電子ビームリソグラフィ技術は、利用する電子ビームが荷電粒子ビームであるために、本質的に優れた解像度を有している。また、焦点深度を大きく確保することができるため、高い段差上でも寸法変動を抑制できるという利点も有している。このため、DRAMを代表とする最先端デバイスの開発に適用されている他、一部ASICの生産にも用いられている。さらに、ウェハにLSIパターンを転写する際の原版となるマスクまたはレチクルの製造現場では、電子ビームリソグラフィ技術が広く一般に使われている。   In addition, development of an electron beam lithography technique is being promoted as a higher resolution exposure technique. The electron beam lithography technique has an essentially excellent resolution because the electron beam used is a charged particle beam. Further, since a large depth of focus can be secured, there is an advantage that dimensional variation can be suppressed even on a high level difference. For this reason, in addition to being applied to the development of state-of-the-art devices typified by DRAM, some are also used in the production of ASICs. Furthermore, electron beam lithography technology is widely used in the field of manufacturing masks or reticles that serve as masters for transferring LSI patterns onto wafers.

特許文献1には、電子ビームリソグラフィ技術に使用される可変成形型電子ビーム描画装置が開示されている。こうした装置における描画データは、CADシステムを用いて設計された半導体集積回路などの設計データ(CADデータ)に、補正や図形パターンの分割などの処理を施すことによって作成される。   Patent Document 1 discloses a variable shaping type electron beam drawing apparatus used in an electron beam lithography technique. The drawing data in such an apparatus is created by performing processing such as correction and graphic pattern division on design data (CAD data) such as a semiconductor integrated circuit designed using a CAD system.

例えば、図形パターンの分割処理は、電子ビームのサイズにより規定される最大ショットサイズ単位で行われ、併せて、分割された各ショットの座標位置、サイズおよび照射時間が設定される。そして、描画する図形パターンの形状や大きさに応じてショットが成形されるように、描画データが作成される。描画データは、短冊状のフレーム(主偏向領域)単位で区切られ、さらにその中は副偏向領域に分割されている。つまり、チップ全体の描画データは、主偏向領域のサイズにしたがった複数の帯状のフレームデータと、フレーム内で主偏向領域よりも小さい複数の副偏向領域単位とからなるデータ階層構造になっている。   For example, the graphic pattern division processing is performed in units of the maximum shot size defined by the size of the electron beam, and the coordinate position, size, and irradiation time of each divided shot are set. Then, drawing data is created so that a shot is formed according to the shape and size of the graphic pattern to be drawn. The drawing data is divided into strip-shaped frames (main deflection areas) and further divided into sub-deflection areas. That is, the drawing data of the entire chip has a data hierarchical structure including a plurality of strip-shaped frame data according to the size of the main deflection area and a plurality of sub deflection area units smaller than the main deflection area in the frame. .

副偏向領域は、副偏向器によって、主偏向領域よりも高速に電子ビームが走査されて描画される領域であり、一般に最小描画単位となる。副偏向領域内を描画する際には、パターン図形に応じて準備された寸法と形状のショットが成形偏向器により形成される。具体的には、電子銃から出射された電子ビームが、第1のアパーチャで矩形状に成形された後、成形偏向器で第2のアパーチャ上に投影されて、そのビーム形状と寸法を変化させる。その後、上述の通り、副偏向器と主偏向器により偏向されて、ステージ上に載置されたマスクに照射される。   The sub-deflection area is an area where an electron beam is scanned by the sub-deflector at a speed higher than that of the main deflection area, and is generally a minimum drawing unit. When drawing in the sub-deflection area, a shot having a size and shape prepared according to the pattern figure is formed by the shaping deflector. Specifically, after the electron beam emitted from the electron gun is shaped into a rectangular shape by the first aperture, it is projected onto the second aperture by the shaping deflector to change the beam shape and dimensions. . Thereafter, as described above, the light is deflected by the sub-deflector and the main deflector and is irradiated onto the mask placed on the stage.

電子ビーム描画装置ではパターン寸法が設計データの寸法と同一になるようにビーム照射量を変動させる補正処理が必要である。この処理は、近接効果、かぶり効果、ローディング効果といった、レジストパターンの寸法変動を引き起こす要因に対して行われる。ここで、近接効果とは、レジスト膜に照射された電子がガラス基板の内部で反射してレジスト膜を再照射する現象を言う。一方、かぶり効果は、レジスト膜に照射された電子がその表面で反射し、さらに電子ビーム描画装置の光学部品に反射した後、レジスト膜を広範囲に渡って再照射してしまう現象である。この現象は、レジスト膜に電子が照射されて発生した二次電子によっても引き起こされる。また、ローディング効果は、レジストパターンをマスクとして下層の遮光膜等をエッチングする際に、面内でのレジスト膜や遮光膜の面積の違いが原因となって起こる寸法変動を言う。近接効果の影響半径σが十数μm程度であるのに対して、かぶり効果の影響半径σは十mm程度、さらに、ローディング効果の影響半径σは十mm〜数十mmにも及ぶ。 In the electron beam drawing apparatus, correction processing for changing the beam irradiation amount is necessary so that the pattern dimension is the same as the dimension of the design data. This process is performed for factors that cause dimensional variations of the resist pattern, such as proximity effect, fogging effect, and loading effect. Here, the proximity effect refers to a phenomenon in which electrons irradiated on the resist film are reflected inside the glass substrate and re-irradiated on the resist film. On the other hand, the fogging effect is a phenomenon in which electrons irradiated on the resist film are reflected on the surface thereof and further reflected on the optical components of the electron beam drawing apparatus, and then re-irradiated over a wide range. This phenomenon is also caused by secondary electrons generated by irradiating the resist film with electrons. The loading effect refers to a dimensional variation caused by a difference in the area of the resist film or the light shielding film in the surface when the lower light shielding film or the like is etched using the resist pattern as a mask. While the influence radius σ of the proximity effect is about 10 and several μm, the influence radius σ F of the fogging effect is about 10 mm, and the influence radius σ L of the loading effect ranges from 10 mm to several tens mm.

特許文献2には、近接効果、かぶり効果およびローディング効果による寸法変動を同時に補正して照射量を求める手法が開示されている。この方法では、近接効果補正係数ηと、基準照射量Dbaseと、パターンの寸法CDとの関係を求めることが必要となる。具体的には、パターン面積密度Uの異なる複数のラインパターンを配置し、近接効果補正係数η、基準照射量Dbaseおよび近接効果の影響範囲σの各値を変えてパターンを描画する。次に、描画後のパターンの寸法CDを測定し、各パターンの寸法CDの差が最も小さくなるときの近接効果補正係数ηを求める。次いで、近接効果条件を満たす最適な近接効果補正係数ηと基準照射量Dbaseの組合せを求め、各組合せにおいて、各パターンの寸法CDの差が最も小さくなる影響範囲を最適な影響範囲σとする。以上の結果を基に線形補間を行い、最適な近接効果補正係数ηと基準照射量Dbaseと寸法CDとが、それぞれ連続的な相関となるようにする。 Japanese Patent Application Laid-Open No. 2004-228561 discloses a method for obtaining an irradiation amount by simultaneously correcting dimensional variations due to a proximity effect, a fogging effect, and a loading effect. In this method, it is necessary to obtain the relationship among the proximity effect correction coefficient η, the reference dose D base, and the pattern dimension CD. Specifically, a plurality of line patterns having different pattern area densities U are arranged, and patterns are drawn by changing each value of the proximity effect correction coefficient η, the reference dose D base, and the proximity effect influence range σ B. Next, the dimension CD of the pattern after drawing is measured, and the proximity effect correction coefficient η when the difference in the dimension CD of each pattern is minimized is obtained. Next, an optimum combination of the proximity effect correction coefficient η and the reference dose D base satisfying the proximity effect condition is obtained, and in each combination, the influence range in which the difference in the dimension CD of each pattern becomes the smallest is the optimum influence range σ B. To do. Based on the above results, linear interpolation is performed so that the optimum proximity effect correction coefficient η, the reference dose D base, and the dimension CD have continuous correlations.

特開平9−293670号公報JP-A-9-293670 特開2007−150243号公報JP 2007-150243 A

このように、特許文献2の方法では、予め何種類かの近接効果補正係数η、基準照射量Dbaseおよび近接効果の影響範囲σを決めておき、各値にしたがって複数のパターンを描画する作業が必要となる。また、最適な近接効果補正係数ηと基準照射量Dbaseと寸法CDとの関係は、描画実験を行なったDbaseとそのときの最適なηとCDの組を補間処理して求めているが、従来の方法では、目標とする補正寸法からのずれが大きくなるという問題があった。 As described above, in the method of Patent Document 2, several types of proximity effect correction coefficient η, reference irradiation amount D base, and proximity effect influence range σ B are determined in advance, and a plurality of patterns are drawn according to each value. Work is required. The relationship between the optimal proximity effect correction coefficient η, the reference dose D base, and the dimension CD is obtained by interpolating the D base on which the drawing experiment was performed and the optimal combination of η and CD at that time. However, the conventional method has a problem that a deviation from a target correction dimension becomes large.

近年、半導体デバイスのデザインルールが微細化、高精度化の一途を辿るなか、リソグラフィ技術に対する寸法精度(CD精度)に対する要求は厳しくなる一方である。特に、マスクヘの要求は非常に厳しいものとなっている。そこで、本発明は、こうした点に鑑み、補正処理の精度を高めて寸法変動を低減することのできる荷電粒子ビーム描画方法および荷電粒子ビーム描画装置を提供することを目的とする。   In recent years, as the design rules of semiconductor devices are continually miniaturized and highly accurate, the requirements for dimensional accuracy (CD accuracy) for lithography technology are becoming stricter. In particular, the requirements for masks are very strict. In view of the above, an object of the present invention is to provide a charged particle beam drawing method and a charged particle beam drawing apparatus that can improve the accuracy of correction processing and reduce dimensional variation.

本発明の他の目的および利点は、以下の記載から明らかとなるであろう。   Other objects and advantages of the present invention will become apparent from the following description.

本発明の第1の態様は、面積密度の異なる複数のパターンを荷電粒子ビームの照射量を変えて描画し、描画後のパターンの寸法を測定して照射量との関係を求める第1の工程と、
寸法と照射量の離散値をフィッティングして得られる連続的な値から、面積密度の異なる複数のパターン毎に近接効果補正係数と寸法との関係を求め、この関係からパターン間における寸法の差が最小となる近接効果補正係数を求める第2の工程とを有することを特徴とする荷電粒子ビーム描画方法に関する。
The first aspect of the present invention is a first step in which a plurality of patterns having different area densities are drawn while changing the irradiation amount of the charged particle beam, and the dimension of the pattern after drawing is measured to obtain the relationship with the irradiation amount. When,
From the continuous values obtained by fitting the discrete values of the dimensions and dose, the relationship between the proximity effect correction coefficient and the dimensions is determined for each of the multiple patterns with different area densities, and the difference in dimensions between the patterns is determined from this relationship. And a second step of obtaining a minimum proximity effect correction coefficient.

本発明の第1の態様は、第2の工程で求めた近接効果補正係数を用いて荷電粒子ビームの照射量を求める第3の工程と、
第3の工程で求めた照射量に基づき、所定のレジストに荷電粒子ビームで描画する第4の工程とを有することができる。
この場合、フィッティングには、レジストの種類に応じた近似式を用いる。
The first aspect of the present invention includes a third step of obtaining an irradiation amount of the charged particle beam using the proximity effect correction coefficient obtained in the second step,
And a fourth step of drawing with a charged particle beam on a predetermined resist based on the dose determined in the third step.
In this case, an approximate expression corresponding to the type of resist is used for fitting.

本発明の第1の態様において、近似式には、閾値モデルの式または3次多項式を用いることができる。   In the first aspect of the present invention, a threshold model expression or a cubic polynomial can be used as the approximate expression.

本発明の第2の態様は、面積密度の異なる複数のパターンを荷電粒子ビームの照射量を変えて描画した結果が入力される入力部と、
パターンの寸法と照射量の離散値をフィッティングして連続的な値を得る第1の算出部と、
第1の算出部で得られた連続的な値から、面積密度の異なる複数のパターン毎に近接効果補正係数と寸法との関係を求め、この関係からパターン間における寸法の差が最小となる近接効果補正係数を求める第2の算出部と、
第2の算出部で求めた近接効果補正係数を用いて、荷電粒子ビームの照射量を求める照射量算出部と、
照射量算出部で求めた照射量に基づいて、荷電粒子ビームで描画する描画部とを有することを特徴とする荷電粒子ビーム描画装置に関する。
According to a second aspect of the present invention, an input unit to which a result of drawing a plurality of patterns having different area densities by changing the irradiation amount of the charged particle beam is input;
A first calculation unit that obtains a continuous value by fitting a discrete value of a pattern dimension and an irradiation amount;
The relationship between the proximity effect correction coefficient and the dimension is obtained for each of a plurality of patterns having different area densities from the continuous values obtained by the first calculation unit, and the proximity that minimizes the dimension difference between the patterns is obtained from this relationship. A second calculation unit for obtaining an effect correction coefficient;
An irradiation amount calculation unit for determining an irradiation amount of the charged particle beam using the proximity effect correction coefficient obtained by the second calculation unit;
The present invention relates to a charged particle beam drawing apparatus having a drawing unit for drawing with a charged particle beam based on an irradiation amount obtained by an irradiation amount calculating unit.

本発明の第2の態様において、フィッティングには、閾値モデルの式または3次多項式を用いることができる。   In the second aspect of the present invention, an equation of a threshold model or a cubic polynomial can be used for fitting.

本発明の第1の態様によれば、補正処理の精度を高めて寸法変動を低減することができる。   According to the first aspect of the present invention, it is possible to improve the accuracy of the correction process and reduce the dimensional variation.

本発明の第2の態様によれば、補正処理の精度を高めて寸法変動を低減することのできる荷電粒子ビーム描画装置が提供される。   According to the second aspect of the present invention, there is provided a charged particle beam drawing apparatus capable of improving the accuracy of correction processing and reducing dimensional fluctuations.

本実施の形態の電子ビーム描画方法を示すフローチャートである。It is a flowchart which shows the electron beam drawing method of this Embodiment. 図1のS101を導出する手法の一例である。It is an example of the method of deriving | leading-out S101 of FIG. 本実施の形態において、各照射量における寸法をグラフにした一例である。In this Embodiment, it is an example which made the dimension in each irradiation amount into a graph. 本実施の形態でフィッティングを行う手順を示す一例である。It is an example which shows the procedure which performs fitting in this Embodiment. 本実施の形態において、面積密度の異なる複数のパターン毎に近接効果補正係数と寸法との関係を示した一例である。In this Embodiment, it is an example which showed the relationship between a proximity effect correction coefficient and a dimension for every some pattern from which an area density differs. 本実施の形態において、寸法に対する近接効果補正係数と基準照射量の相関を示すグラフの一例である。In this Embodiment, it is an example of the graph which shows the correlation of the proximity effect correction coefficient with respect to a dimension, and reference | standard irradiation amount. 従来法による各基準照射量における寸法をグラフにした一例である。It is an example which made the dimension in each reference irradiation amount by a conventional method a graph. 図7を線形補間して、面積密度の異なる複数のパターン毎に近接効果補正係数と寸法との関係を求めた一例である。FIG. 7 is an example in which the relationship between the proximity effect correction coefficient and the dimension is obtained for each of a plurality of patterns having different area densities by linear interpolation. 近接効果補正係数と基準照射量を変えたときの寸法の変化量を示した図である。It is the figure which showed the variation | change_quantity of the dimension when a proximity effect correction coefficient and a reference irradiation amount were changed. パターン寸法と設計寸法とのずれを本実施の形態(a)と従来法(b)で比較した一例である。This is an example in which the deviation between the pattern dimension and the design dimension is compared between the present embodiment (a) and the conventional method (b). 本実施の形態における電子ビーム描画装置の構成図である。It is a block diagram of the electron beam drawing apparatus in this Embodiment. 本実施の形態の電子ビームによる描画方法の説明図である。It is explanatory drawing of the drawing method by the electron beam of this Embodiment.

図1は、本実施の形態による電子ビーム描画方法を示すフローチャートである。   FIG. 1 is a flowchart showing an electron beam writing method according to the present embodiment.

図1に示すように、電子ビーム描画方法は、パターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係CD(η,Dbase)を求める工程(S101)と、ローディング効果補正係数γとローディング効果の影響範囲σとを求める工程(S102)と、ローディング効果補正寸法値CD(x,y)を求める工程(S103)と、基準照射量マップDbase(x,y)を作成する工程(S104)と、近接効果補正係数マップη(x,y)を作成する工程(S105)と、近接効果補正照射量D(x,y)を求める工程(S106)と、電子ビームの照射量を求める工程の一例となる図形照射位置での照射量算出工程(S107)と、照射時間算出工程(S108)と、描画工程(S109)という一連の工程を有する。 As shown in FIG. 1, the electron beam writing method includes a step (S101) of obtaining a correlation CD (η, D base ) between a proximity effect correction coefficient η and a reference dose D base with respect to a pattern dimension CD, and a loading effect correction coefficient. A step (S102) for obtaining γ and an influence range σ L of the loading effect, a step (S103) for obtaining a loading effect correction dimension value CD (x, y), and a reference dose map D base (x, y) are created. A step (S104), a step (S105) for creating a proximity effect correction coefficient map η (x, y), a step (S106) for obtaining a proximity effect correction dose D p (x, y), an electron beam A series of processes including an irradiation amount calculation step (S107) at a figure irradiation position, an irradiation time calculation step (S108), and a drawing step (S109) as an example of a step for obtaining an irradiation amount Having.

S101では、パターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係CD(η,Dbase)とが求められる。具体的には、まず、面積密度Uの異なる複数のパターンを電子ビームの照射量Dを変えて描画し、描画後のパターンの寸法CDを測定して照射量Dとの関係を求める。ここで、Dに近接効果補正係数を用いずにD=DbaseとしてDbaseを変えて描画してもよい。あるいは、複数のDbaseと近接効果補正係数ηの組を用い、D=Dbase(η、U)を変えて描画してもよい。次いで、寸法CDと照射量Dの離散値をフィッティングして得られる連続的な値から、面積密度Uの異なる複数のパターン毎に近接効果補正係数ηと寸法CDとの関係を求め、この関係からパターン間における寸法CDの差が最小となる近接効果補正係数ηを求める。 In S101, the correlation effect correction coefficient η with respect to the pattern dimension CD and the correlation CD (η, D base ) between the reference dose D base are obtained. Specifically, first, a plurality of patterns having different area densities U are drawn while changing the electron beam irradiation amount D, and the dimension CD of the pattern after drawing is measured to obtain the relationship with the irradiation amount D. Here, it may be drawn by changing the D base as D = D base without using the proximity effect correction coefficient D. Alternatively, using a set of a plurality of D base and the proximity effect correction coefficient η, D = D base D p (η, U) may be drawn by changing the. Next, a relationship between the proximity effect correction coefficient η and the dimension CD is obtained for each of a plurality of patterns having different area densities U from continuous values obtained by fitting the discrete values of the dimension CD and the irradiation amount D. From this relationship, A proximity effect correction coefficient η that minimizes the difference in dimension CD between patterns is obtained.

例えば、図2のように、面積密度Uが、約0%のラインパターンと、50%のラインパターンと、100%のラインパターンとが組みになったパターンセットを配置し、電子ビームの照射量Dの値を変えてマスクに描画する。次いで、描画後の各パターンの線幅寸法CDを測定する。   For example, as shown in FIG. 2, a pattern set in which a line pattern having an area density U of about 0%, a line pattern of 50%, and a line pattern of 100% is arranged, and the irradiation amount of the electron beam is arranged. Drawing on the mask while changing the value of D. Next, the line width dimension CD of each pattern after drawing is measured.

図3は、各照射量における寸法をグラフにした一例である。本実施の形態では、寸法CDと照射量Dの離散値(図3の●)を適当な近似式でフィッティングする。これにより、図3の曲線で示すような連続的な値を得る。ここで、本発明におけるフィッティングは、離散的な値に対し、連続的な関数の最良フィッティングパラメータまたは係数を決定するための数学的最適化法として理解される。この用語は、一般に、曲線フィッティング計算についての全ての数学的方法を包含する。こうした曲線フィッティング計算の目的は、データに対して最もよくフィットする関数を導出する点にある。例えば、最小2乗法によるフィッティングや、別の誤差評価関数によるフィッティングが使用され、関数の係数は、全ての値の対の偏差の2乗の和が最小になるように決定される。例えば、
y=a+ax+a+a
の3次多項式関数をフィッティング関数として選択する場合、係数a、a、aおよびaは、この関数の曲線ができる限り値の対に近接にフィットするように決定される。
FIG. 3 is an example in which the dimensions at each dose are graphed. In the present embodiment, the discrete values (● in FIG. 3) of the dimension CD and the dose D are fitted with an appropriate approximate expression. Thereby, a continuous value as shown by the curve of FIG. 3 is obtained. Here, fitting in the present invention is understood as a mathematical optimization method for determining the best fitting parameters or coefficients of a continuous function for discrete values. The term generally encompasses all mathematical methods for curve fitting calculations. The purpose of such curve fitting calculations is to derive the function that best fits the data. For example, fitting by the least square method or fitting by another error evaluation function is used, and the coefficient of the function is determined so that the sum of the squares of the deviations of all value pairs is minimized. For example,
y = a 0 + a 1 x + a 2 x 2 + a 3 x 3
If the third order polynomial function is selected as the fitting function, the coefficients a 0 , a 1 , a 2 and a 3 are determined so that the curve of this function fits as closely as possible to the value pairs.

図1の一連の工程によりレジストに電子ビームで描画する場合、フィッティングには、レジストの種類に応じた近似式を用いることが好ましい。例えば、富士フィルム株式会社製のレジストFEP−171(商品名)の場合、閾値モデルの式を用いてフィッティングすることが好ましい。また同社製のレジストPRL−009(商品名)の場合には、3次多項式を用いてフィッティングすることが好ましい。   In the case of drawing an electron beam on a resist by the series of steps shown in FIG. 1, it is preferable to use an approximate expression corresponding to the type of resist for fitting. For example, in the case of resist FEP-171 (trade name) manufactured by Fuji Film Co., Ltd., it is preferable to perform fitting using a threshold model equation. In the case of the resist PRL-009 (trade name) manufactured by the same company, it is preferable to perform fitting using a cubic polynomial.

図4は、閾値モデルの式を用いてフィッティングを行う場合の一例である。   FIG. 4 is an example when fitting is performed using the threshold model equation.

図4では、まず、面積密度毎に(σ,Dth,η)を独立にとり、得られたデータを下記に示す閾値モデルの式でフィッティングする(S201)。尚、G(ξ)は、パターン端での前方散乱による照射量分布を表す関数で、ここでは一例として誤差関数を用いる。ηは近接効果補正係数、Uはパターンの面積密度、Dthは現像閾値、Dは照射量、σは前方散乱の影響範囲、CDはパターン寸法である。また、CDは設計寸法、または、照射量に依存しない現像による変化量を設計寸法に加味した寸法である。
G(ξ)+ηU=Dth/D
G(ξ)=erfc(ξ/σ)/2
ξ=(CD−CD)/2
In FIG. 4, first, (σ F , D th , η) is independently taken for each area density, and the obtained data is fitted by the following threshold model formula (S201). G (ξ) is a function representing the dose distribution due to forward scattering at the pattern edge, and an error function is used here as an example. η is the proximity effect correction coefficient, U is the pattern area density, D th is the development threshold, D is the dose, σ F is the range of influence of forward scattering, and CD is the pattern dimension. CD 0 is a design dimension or a dimension obtained by adding a change due to development independent of the irradiation amount to the design dimension.
G (ξ) + ηU = D th / D
G (ξ) = erfc (ξ / σ F ) / 2
ξ = (CD−CD 0 ) / 2

次に、フィッティングにより求めた曲線から、適当なCD(η,Dbase)の組を求める(S202)。次いで、パターン寸法がレジスト像の寸法に等しい基準照射量Dbaseにおける近接効果補正係数ηを求める(S203)。そして、面積密度U毎に(σ,Dth)を独立に、ηを共通にとり、得られたデータを再び閾値モデルの式でフィッティングする(S204)。ここで、ηには、S203で求めた値を用いる。次に、パターン寸法がレジスト像の寸法に等しい基準照射量Dbaseにおける近接効果補正係数ηc’を求めた後(S205)、ηとηc’を比較する(S206)。ηとηc’との差が所定値以下である場合にはフィッティングを終え、ηとηc’との差が所定値より大きい場合にはS204に戻って以降の処理を繰り返す。尚、繰り返し工程でのηには、S205で求めた値を用いる。 Next, an appropriate set of CD (η, D base ) is obtained from the curve obtained by fitting (S202). Next, the proximity effect correction coefficient η c at the reference dose D base whose pattern dimension is equal to the dimension of the resist image is obtained (S203). Then, (σ B , D th ) is independently set for each area density U, η c is taken in common, and the obtained data is fitted again with the threshold model equation (S204). Here, the eta c, using a value obtained in S203. Next, after obtaining the proximity effect correction coefficient η c ′ at the reference dose D base whose pattern dimension is equal to the dimension of the resist image (S205), η c and η c ′ are compared (S206). When the difference between η c and η c ′ is equal to or smaller than the predetermined value, the fitting is finished. When the difference between η c and η c ′ is larger than the predetermined value, the process returns to S204 and the subsequent processing is repeated. In addition, the value calculated | required by S205 is used for (eta) c in a repetition process.

図5は、寸法CDと照射量Dの離散値をフィッティングして得られる連続的な値から、面積密度Uの異なる複数のパターン毎に近接効果補正係数ηと寸法CDとの関係を求めた一例である。図5において、点線は、パターン間における寸法CDの差を示している。寸法CDの差が最小となるときのηの値が、近接効果補正条件を満たす最適な近接効果補正係数である。   FIG. 5 shows an example in which the relationship between the proximity effect correction coefficient η and the dimension CD is obtained for each of a plurality of patterns having different area densities U from continuous values obtained by fitting the discrete values of the dimension CD and the dose D. It is. In FIG. 5, the dotted line indicates the difference in dimension CD between patterns. The value of η when the difference in dimension CD is the minimum is the optimum proximity effect correction coefficient that satisfies the proximity effect correction condition.

図6は、パターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係を示すグラフの一例である。すなわち、上記のフィッティングで得られた連続線に沿って、近接効果補正係数と基準照射量を変えたときの寸法CDの変化量を示したものである。この関係を用いることにより、近接効果補正条件を満たした状態で寸法を変化させることが可能になる。横軸のCDは、基準照射条件からの寸法バイアスであり、ローディング補正の目的で与える寸法変動幅を表す。基準照射条件は、50%の面積密度となる線幅1対1のラインパターンにおける照射量がiso−focul Doseと一致する近接効果補正係数と基準照射量の組み合わせを、標準の近接効果補正係数η0と標準の基準照射量Dbase,0として、図6のように算出されるデータ点またはその補間で定める。 FIG. 6 is an example of a graph showing the correlation between the proximity effect correction coefficient η and the reference dose D base with respect to the pattern dimension CD. That is, the change amount of the dimension CD when the proximity effect correction coefficient and the reference irradiation amount are changed is shown along the continuous line obtained by the above fitting. By using this relationship, it is possible to change the dimensions while satisfying the proximity effect correction condition. CD on the horizontal axis is a dimensional bias from the reference irradiation condition, and represents a dimensional variation range given for the purpose of loading correction. The reference irradiation condition is that a combination of a proximity effect correction coefficient and a reference irradiation amount at which the irradiation amount in a line pattern of a line width of 1 to 1 with an area density of 50% coincides with an iso-focal dose, and a standard proximity effect correction coefficient η Data points calculated as shown in FIG. 6 or interpolation thereof are defined as 0 and the standard reference dose D base, 0 .

ここで、比較のために、従来のパターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係CD(η,Dbase)を求める方法について述べる。 Here, for comparison, a method for obtaining the correlation CD (η, D base ) between the proximity effect correction coefficient η and the reference irradiation amount D base with respect to the conventional pattern dimension CD will be described.

従来法では、Dbaseを固定してηを変える描画実験により、実験条件(Dbase、σ)での最適なηを求める。例えば、図2に示すパターンセットを配置し、近接効果補正係数、基準照射量、影響範囲の各値を変えてマスクに描画を行い、描画後の各パターンの線幅寸法CDを測定する。 In the conventional method, the optimum η under the experimental conditions (D base , σ B ) is obtained by a drawing experiment in which D base is fixed and η is changed. For example, the pattern set shown in FIG. 2 is arranged, drawing is performed on the mask while changing each value of the proximity effect correction coefficient, the reference irradiation amount, and the influence range, and the line width dimension CD of each pattern after drawing is measured.

図7は、ある近接効果の影響範囲(σ)における照射量と寸法との関係をグラフにした一例である。この例では、4通りの基準照射量Dbaseについて、基準照射量毎に近接補正係数を5通り変え、D=Dbase(η,U)の関係を用いて描画している。Uが1に近いと、ηを変えた時のDの変化量が小さくなるため、図7に示すようにデータが離散的になる。 FIG. 7 is an example in which the relationship between the dose and the size in the influence range (σ B ) of a certain proximity effect is graphed. In this example, the four reference doses D base are drawn using the relationship of D = D base D p (η, U) by changing the proximity correction coefficient in five ways for each reference dose. When U is close to 1, since the amount of change D p when varying η decreases, data is discretely as shown in FIG.

図8は、図7に示したデータの内で、ある基準照射量Dbaseのデータを抜き出し、面積密度Uの異なるパターン毎に、近接効果補正係数と寸法の関係を線形補間して求めた例である。図8では、横軸に描画に用いた近接補正係数を、縦軸に寸法をそれぞれとっている。図8において、点線は、パターン間における寸法CDの差を示している。寸法CDの差が最小となるときのηの値が、近接効果補正条件を満たす最適な近接効果補正係数である。図8のデータを図2のように4通りのDbaseを用いて得た場合、4組の最適な近接効果補正係数と基準照射量の組み合わせが、近接効果の影響範囲毎に算出される。そして、最適な近接効果補正係数と基準照射量の組み合わせにおけるパターン面積密度約0%、50%、100%のラインパターンの線幅寸法の差が一番小さくなる影響範囲を、最適な影響範囲σと決める。 FIG. 8 shows an example in which data of a certain reference dose D base is extracted from the data shown in FIG. 7 and the relationship between the proximity effect correction coefficient and the dimension is obtained by linear interpolation for each pattern having a different area density U. It is. In FIG. 8, the horizontal axis represents the proximity correction coefficient used for drawing, and the vertical axis represents the dimensions. In FIG. 8, a dotted line indicates a difference in dimension CD between patterns. The value of η when the difference in dimension CD is the minimum is the optimum proximity effect correction coefficient that satisfies the proximity effect correction condition. When the data of FIG. 8 is obtained using four types of D base as shown in FIG. 2, four optimal combinations of proximity effect correction coefficients and reference irradiation amounts are calculated for each range of influence of the proximity effect. Then, the optimum influence range σ is defined as the influence range in which the difference between the line width dimensions of the pattern area densities of about 0%, 50%, and 100% in the combination of the optimum proximity effect correction coefficient and the reference irradiation amount becomes the smallest. Decide B.

次に、最適な近接効果補正係数と基準照射量と影響範囲σ、このときの寸法の4組の組み合わせを基に、補間によって最適な近接効果補正係数と基準照射量と寸法がそれぞれ連続的な相関となるようにする。そして、寸法に対する相関連続線上の近接効果補正係数と基準照射量のうち、50%の面積密度となる線幅1対1のラインパターンにおけるiso−focul Doseと一致する近接効果補正係数と基準照射量の組み合わせを、標準の近接効果補正係数η0と標準の基準照射量Dbase,0として定め、基準照射条件とする。 Next, based on the combination of the optimum proximity effect correction coefficient, the reference irradiation amount, the influence range σ B , and the four dimensions at this time, the optimum proximity effect correction coefficient, the reference irradiation amount, and the dimension are successively obtained by interpolation. To have a good correlation. Of the proximity effect correction coefficient on the correlation continuous line with respect to the dimension and the reference irradiation amount, the proximity effect correction coefficient and the reference irradiation amount that coincide with the iso-focal dose in the line pattern having a line width of 1 to 1 with an area density of 50%. Are defined as a standard proximity effect correction coefficient η 0 and a standard reference dose D base, 0 , and set as a reference irradiation condition.

図9は、標準の近接効果補正係数ηと標準の基準照射量Dbase,0とこのときの寸法を中心に、最適な近接効果補正係数と基準照射量の4組の組み合わせを基に補間を行った相関連続線に沿って近接効果補正係数と基準照射量を変えたときの、寸法CDの変化量、すなわち補正線幅寸法の相関CD(η,Dbase)を示した図である。 FIG. 9 shows an interpolation based on four combinations of the optimum proximity effect correction coefficient and the reference dose, centering on the standard proximity effect correction coefficient η 0 and the standard reference dose D base, 0 and the dimensions at this time. It is the figure which showed the variation | change_quantity of dimension CD, ie, correlation CD ((eta), Dbase ) of a correction line width dimension when a proximity effect correction coefficient and a reference irradiation amount are changed along the correlation continuous line which performed.

図10は、描画した際のパターン寸法と設計寸法とのずれを本実施の形態(図10(a))と、従来法(図10(b))とで比較した一例である。図10(a)のグラフは、図6に示す寸法CD毎のDbaseとηの関係から、各寸法CDにおける面積密度毎の照射量Dを算出し、この照射量Dと、図3および図7の合成データを作成する際に用いた照射量Dと、寸法の真の関係とを用いて求められる。また、図10(b)のグラフも、図9に示す寸法CD毎のDbaseとηの関係から、各寸法CDにおける面積密度毎の照射量Dを算出した後、上記と同様にして求められる。尚、これらの例では、試料として、石英等の透明ガラス基板に遮光層となるクロム膜を形成し、クロム膜上にレジスト膜を形成したマスクを想定している。また、レジスト膜として、富士フィルム株式会社製のレジストFEP−171(商品名)を想定している。図10(a)および(b)において、横軸は、基準照射条件における寸法からのずらし幅であり、ローディング補正として与える寸法変動幅である。縦軸は、クロム膜のローディング補正後の目標寸法から実際の寸法を引いた値である。但し、図10(a)および(b)では、図3および図7のデータに測定誤差として付加したノイズを加えていない。すなわち、レジストの種類に応じた寸法のばらつきを考慮していない。 FIG. 10 is an example in which the deviation between the pattern dimension and the design dimension when drawing is compared between the present embodiment (FIG. 10A) and the conventional method (FIG. 10B). The graph of FIG. 10A calculates the irradiation dose D for each area density in each dimension CD from the relationship between D base and η for each dimension CD shown in FIG. 7 is obtained using the irradiation amount D used when creating the composite data 7 and the true relationship of the dimensions. 10B is also obtained in the same manner as described above after calculating the dose D for each area density in each dimension CD from the relationship between D base and η for each dimension CD shown in FIG. . In these examples, a mask is assumed in which a chromium film serving as a light shielding layer is formed on a transparent glass substrate such as quartz and a resist film is formed on the chromium film as a sample. Moreover, the resist FEP-171 (brand name) by Fuji Film Co., Ltd. is assumed as a resist film. 10 (a) and 10 (b), the horizontal axis is the shift width from the dimension under the reference irradiation condition, and is the dimension fluctuation width given as loading correction. The vertical axis represents a value obtained by subtracting the actual dimension from the target dimension after correcting the loading of the chromium film. However, in FIGS. 10A and 10B, noise added as a measurement error to the data of FIGS. 3 and 7 is not added. That is, dimensional variation according to the type of resist is not taken into consideration.

図10から分かるように、本実施の形態により得られたパラメータを用いれば、クロム膜の設計寸法からのずれの大きさにかかわらず、略一様な寸法のパターンが得られるのに対して、従来法で得られたパラメータを用いた場合には、得られるパターンの設計寸法からのずれが大きくなる。これは、本実施の形態では、レジスト物性に応じた近似式を用いて照射量と寸法の関係を連続的に求め、細かいステップでCD毎のDbaseとηを実質補間操作を介さずに算出するのに対し、従来法では、(1)近接効果補正係数と寸法との関係を1次式で補間してCD毎のDbaseとηを算出し、(2)この結果を用いて、粗いステップのCDについて線形補間することによる。従来法において、(1)は、実験に用いたDbaseで算出したパラメータ(CD=−20,−7,7,20nm)での寸法ばらつきが、(2)はこれらの間での寸法ばらつきが、それぞれ広がる原因となっている。また、従来法では、描画実験の際に、Dbase毎のηの最適値を予測して、描画に用いるηの範囲を決める必要がある。これに対して、本実施の形態では、電子ビームの照射量Dbaseの値を適当にばらつくように変えるだけでよいので、従来法に比較して簡便である。 As can be seen from FIG. 10, by using the parameters obtained by the present embodiment, a pattern with a substantially uniform dimension can be obtained regardless of the deviation from the design dimension of the chromium film. When the parameters obtained by the conventional method are used, the deviation of the obtained pattern from the design dimension becomes large. In this embodiment, the relationship between the dose and the dimension is continuously obtained by using an approximate expression corresponding to the physical properties of the resist, and D base and η for each CD are calculated without a substantial interpolation operation in fine steps. On the other hand, in the conventional method, (1) D base and η for each CD are calculated by interpolating the relationship between the proximity effect correction coefficient and the dimension with a linear expression, and (2) using this result, a rough result is obtained. By linear interpolation for the step CD. In the conventional method, (1) is a dimensional variation in parameters (CD = −20, −7, 7, 20 nm) calculated by D base used in the experiment, and (2) is a dimensional variation between these. , Each has become a cause of spread. In the conventional method, it is necessary to predict the optimum value of η for each D base during a drawing experiment and determine the range of η used for drawing. On the other hand, in the present embodiment, it is only necessary to change the value of the electron beam irradiation amount D base so as to vary appropriately, which is simpler than the conventional method.

以上のようにして、パターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係CD(η,Dbase)とを求めた後は、図1のS102の工程、すなわち、ローディング効果補正係数γとローディング効果の影響範囲σとを求める工程を行う。 After the proximity effect correction coefficient η with respect to the pattern dimension CD and the correlation CD (η, D base ) between the reference dose D base are obtained as described above, the process of S102 in FIG. 1, ie, the loading effect correction is performed. A step of obtaining the coefficient γ and the influence range σ L of the loading effect is performed.

本実施の形態では、マスク上の描画領域において、ローカルな領域として、μmオーダー、例えば、1μm以下の寸法でメッシュ状に区切られた近接効果補正用の小領域(第1の領域)を定義する。また、グローバルな領域として、μm〜mmオーダー、例えば、0.5mm〜1mmの寸法でメッシュ状に区切られたローディング効果補正用の小領域(第2の領域)を定義する。   In the present embodiment, a small area (first area) for proximity effect correction divided in a mesh shape with a dimension of μm order, for example, 1 μm or less, is defined as a local area in the drawing area on the mask. . In addition, as a global region, a small region (second region) for correcting a loading effect, which is divided in a mesh shape with a size of μm to mm, for example, 0.5 mm to 1 mm, is defined.

次に、S103において、第2の領域におけるローディング効果によるパターン線幅寸法のずれを補正する補正寸法値CD(x,y)を計算する。ここで、ローディング効果補正寸法値CD(x,y)は、第2の領域におけるパターン面積密度に依存したパターン密度依存値L(x,y)と、第2の領域のマスク面内位置に依存した位置依存値P(x,y)との影響を受ける。そこで、まず、パターン密度依存のローディング効果補正寸法値(パタン密度依存値)L(x,y)を計算する。L(x,y)は、以下の式で求められる。   Next, in S103, a correction dimension value CD (x, y) for correcting the shift of the pattern line width dimension due to the loading effect in the second region is calculated. Here, the loading effect correction dimension value CD (x, y) depends on the pattern density dependency value L (x, y) depending on the pattern area density in the second region and the mask in-plane position of the second region. The position-dependent value P (x, y) is affected. Accordingly, first, a loading effect correction dimension value (pattern density dependent value) L (x, y) depending on the pattern density is calculated. L (x, y) is obtained by the following equation.

ローディング効果補正係数γ、g(x,y)はローディング効果の分布関数である。ここでは、g(x,y)は、ローディング効果影響範囲σのガウス分布で近似できる。ローディング効果半径はcmのオーダーであり、第2の領域はローディング効果半径の10分の1以下とすることで、L(x,y)は以下の式で求めることができる。尚、ρ(x,y)は第2の領域のパターン面積密度、Smeshは第2の領域の面積である。 The loading effect correction coefficient γ, g (x, y) is a distribution function of the loading effect. Here, g (x, y) can be approximated by a Gaussian distribution with a loading effect influence range σ L. The loading effect radius is in the order of cm, and L (x, y) can be obtained by the following equation by setting the second region to be one tenth or less of the loading effect radius. Note that ρ (x, y) is the pattern area density of the second region, and S mesh is the area of the second region.

次に、実験により、位置依存のローディング効果補正寸法値(位置依存値)P(x,y)を求める。例えば、試料として、石英等の透明ガラス基板に遮光層となるクロム膜を形成し、クロム膜上にレジスト膜を形成したマスクを用意する。そして、かかるマスクの描画領域について、第2の領域毎に、パターン面積密度が約0%のラインパターンと、50%のラインパターンと、100%のラインパターンとが組になったパターンセットをマスク全面にEB描画する。その後、レジスト膜を現像して線幅寸法CDを測定する(測定1)。次に、このレジストパターンをマスクとしてクロム膜をエッチングし、得られたクロム膜パターンの線幅寸法CDを測定する(測定2)。そして、測定2におけるパターンセット位置毎の線幅寸法CDから、測定1におけるパターンセット位置毎の線幅寸法CDを引いた差分値を、位置依存のローディング効果補正寸法値(位置依存値)P(x,y)とする。尚、このローディング効果補正寸法値はパターンセット位置毎の値であるが、内挿して第2の領域毎の値となるようにすれば、より高精度なローディング効果補正寸法値P(x,y)が得られる。   Next, a position-dependent loading effect correction dimension value (position-dependent value) P (x, y) is obtained by experiment. For example, as a sample, a mask is prepared in which a chromium film serving as a light shielding layer is formed on a transparent glass substrate such as quartz and a resist film is formed on the chromium film. Then, with respect to the drawing area of the mask, a pattern set in which a line pattern having a pattern area density of about 0%, a line pattern of 50%, and a line pattern of 100% is set as a mask for each second area. EB is drawn on the entire surface. Thereafter, the resist film is developed and the line width dimension CD is measured (Measurement 1). Next, the chromium film is etched using this resist pattern as a mask, and the line width dimension CD of the obtained chromium film pattern is measured (measurement 2). Then, a difference value obtained by subtracting the line width dimension CD for each pattern set position in measurement 1 from the line width dimension CD for each pattern set position in measurement 2 is used as a position-dependent loading effect correction dimension value (position dependent value) P ( x, y). This loading effect correction dimension value is a value for each pattern set position, but if it is interpolated to be a value for each second area, a more accurate loading effect correction dimension value P (x, y ) Is obtained.

そして、パターン面積密度に依存したローディング効果補正寸法値(パターン密度依存値)L(x,y)と、マスク面内位置に依存したローディング効果補正寸法値(位置依存値)P(x,y)の第2の領域毎の和を、各第2の領域におけるローディング効果補正寸法値CD(x,y)とする。パターン密度依存値だけではなく、位置依存値をも考慮することで、より高精度なローディング効果補正寸法値が得られる。尚、第2の領域におけるローディング効果補正寸法値は、ローディング効果に起因する寸法のみならず、エッチング起因以外のマスク面内不均一を補正するような寸法を加算してもよい。例えば、現像装置における現像むらによる寸法不均一が挙げられる。   Then, a loading effect correction dimension value (pattern density dependency value) L (x, y) depending on the pattern area density and a loading effect correction dimension value (position dependency value) P (x, y) depending on the position in the mask surface. Is the loading effect correction dimension value CD (x, y) in each second region. By considering not only the pattern density dependent value but also the position dependent value, a more accurate loading effect correction dimension value can be obtained. Note that the loading effect correction dimension value in the second region may include not only the dimension caused by the loading effect but also a dimension for correcting non-uniformity in the mask surface other than the etching origin. For example, dimensional non-uniformity due to uneven development in the developing device can be mentioned.

次に、S104において、第2の領域におけるローディング効果補正寸法値CD(x,y)に基づいて、各第2の領域における電子ビームの基準照射量マップを作成する。このマップは、図6に示したCD(η,Dbase)の関係から、ローディング効果補正寸法値CD(x,y)に対応する基準照射量マップDbase(x,y)を求めることにより作成される。 Next, in S104, based on the loading effect correction dimension value CD (x, y) in the second region, an electron beam reference dose map in each second region is created. This map is created by obtaining a reference dose map D base (x, y) corresponding to the loading effect correction dimension value CD (x, y) from the relationship of CD (η, D base ) shown in FIG. Is done.

また、S105において、各第2の領域におけるローディング効果補正寸法値CD(x,y)に基づいて、各第2の領域における近接効果補正係数マップを作成する。このマップは、図6に示すCD(η,Dbase)の関係からローディング効果補正寸法値CD(x,y)に対応する近接効果補正係数η(x,y)を求めることにより作成される。そして、図6に示すCD(η,Dbase)の関係から、基準照射量マップDbase(x,y)と近接効果補正係数マップη(x,y)を求めることで、任意のパターンカテゴリで同一の寸法値の補正を実現することができる。 In S105, a proximity effect correction coefficient map in each second region is created based on the loading effect correction dimension value CD (x, y) in each second region. This map is created by obtaining the proximity effect correction coefficient η (x, y) corresponding to the loading effect correction dimension value CD (x, y) from the relationship of CD (η, D base ) shown in FIG. Then, by obtaining a reference dose map D base (x, y) and a proximity effect correction coefficient map η (x, y) from the relationship of CD (η, D base ) shown in FIG. Correction of the same dimension value can be realized.

次に、S106において、基準照射量マップと近接効果補正係数マップとを用いて、近接効果補正用の単位小領域である第1の領域における近接効果を補正する電子ビームの近接効果補正照射量Dを算出する。下記式は、本実施の形態における近接効果補正照射量Dを算出する式である。尚、Base Dose(x,y)はDbase(x,y)であり、(x’,y’)はマスク面内での位置を示している。 Next, in S106, the proximity effect correction dose D of the electron beam that corrects the proximity effect in the first region, which is a unit small region for proximity effect correction, using the reference dose map and the proximity effect correction coefficient map. p is calculated. Below is an equation for calculating the proximity effect correction dose D p in the present embodiment. Note that Base Dose (x, y) is D base (x, y), and (x ′, y ′) indicates the position in the mask plane.

上式に示すように、近接効果補正照射量Dは、基準照射量Dbase(x,y)、近接効果補正係数η(x,y)、近接効果影響範囲σB、0次近接効果補正データU(x,y)、(i>0の場合)i次近接効果補正データV(x,y)を用いて求めることができる。ここで、近接効果補正係数η(x,y)、0次近接効果補正データU(x,y)、i次近接効果補正データV(x,y)で定義されたdについて1次以降の項を加えることで、精度良く照射量を算出することができる。dとして1次以降の項をとることで、精度よく近接効果補正照射量Dを算出できる。尚、何次まで計算を行うかは、計算能力や計算時間の制約の範囲内で任意に設定することができる。実効的には、3次まで計算することで、計算誤差約0.5%以内に収めることが可能である。 As shown in the above equation, the proximity effect correction dose Dp is the reference dose D base (x, y), the proximity effect correction coefficient η (x, y), the proximity effect influence range σ B, and the zeroth order proximity effect correction. Data U (x, y), (when i> 0) i-th proximity effect correction data V i (x, y) can be used. Here, the first and subsequent values of d i defined by the proximity effect correction coefficient η (x, y), the 0th order proximity effect correction data U (x, y), and the i th order proximity effect correction data V i (x, y) are used. By adding the term, the dose can be calculated with high accuracy. By taking the first-order sections following as d i, can be calculated accurately proximity effect correction dose D p. It should be noted that the number of calculations to be performed can be arbitrarily set within the limits of calculation capacity and calculation time. Effectively, by calculating up to the third order, it is possible to keep the calculation error within about 0.5%.

各第1の領域における近接効果補正照射量Dを算出した後、実際の照射位置(x,y)での近接効果補正照射量Dを計算する。実際の照射位置(x,y)での近接効果補正照射量Dは、実際の照射位置(x,y)を取り囲む4つの第1の領域における近接効果補正照射量Dを使って内挿計算して求める。 After calculating the proximity effect correction dose D p in each first region, to calculate a proximity effect correction dose D p in the actual irradiation position (x, y). The proximity effect correction dose D p at the actual irradiation position (x, y) is interpolated by using the proximity effect correction dose D p in the four first regions surrounding the actual irradiation position (x, y). Calculate to find.

下記式は、本実施の形態における分布関数式g(x,y)の一例を示す図である。この式を用いて、0次近接効果補正データU(x,y)、i次近接効果補正データV(x,y)を計算を行なう。 The following formula is a diagram showing an example of the distribution function formula g (x, y) in the present embodiment. Using this equation, 0th-order proximity effect correction data U (x, y) and i-th order proximity effect correction data V i (x, y) are calculated.

次に、S107において、実際の照射位置での照射量Dを算出する。具体的には、上述した近接効果補正照射量Dに基づいて、電子ビームの照射量Dが算出される。 Next, in S107, the dose D at the actual irradiation position is calculated. Specifically, based on the proximity effect correction dose D p as described above, the dose D of the electron beam is calculated.

次に、S108において、描画領域の各位置における電子ビームの照射時間Tを算出する。照射量Dは、照射時間Tと電流密度Jとの積で定義することができるので、照射時間Tは、照射量Dを電流密度Jで除することで求められる。   Next, in S108, the irradiation time T of the electron beam at each position in the drawing area is calculated. Since the irradiation amount D can be defined by the product of the irradiation time T and the current density J, the irradiation time T can be obtained by dividing the irradiation amount D by the current density J.

次に、S109において、求めた照射量に基づき、レジストに電子ビームで描画が行われる。すなわち、後述する電子ビーム描画装置において、制御計算機は、求めた照射時間Tで試料へのビーム照射がOFFになるように偏向制御部に信号を出力する。偏向制御部では、かかる信号に沿って、求めた照射時間Tに合わせて、電子ビームを偏向するようにブランキング回路を制御する。そして、所望する照射量Dを試料に照射した後、ブランキング回路で偏向された電子ビームは、試料に到達しないようにアパーチャによって遮蔽される。   Next, in S109, drawing is performed on the resist with an electron beam based on the obtained dose. That is, in an electron beam drawing apparatus described later, the control computer outputs a signal to the deflection control unit so that beam irradiation to the sample is turned off at the obtained irradiation time T. The deflection control unit controls the blanking circuit so as to deflect the electron beam in accordance with the obtained irradiation time T along the signal. Then, after irradiating the sample with a desired dose D, the electron beam deflected by the blanking circuit is shielded by the aperture so as not to reach the sample.

以上のような描画方法により試料を描画することで、補正処理の精度を高めて寸法変動を低減することができる。   By drawing the sample by the drawing method as described above, it is possible to improve the accuracy of the correction process and reduce the dimensional variation.

図11は、本実施の形態における電子ビーム描画装置の構成図である。   FIG. 11 is a configuration diagram of the electron beam drawing apparatus according to the present embodiment.

図11に示すように、電子ビーム描画装置は、試料に電子ビームで描画する描画部と、描画を制御する制御部とを有する。試料室1内には、試料であるマスク2が設置されるステージ3が設けられている。マスク2は、例えば、石英等の透明ガラス基板上に、遮光膜としてクロム膜が形成され、さらにこの上にレジスト膜が形成されたものである。本実施の形態では、レジスト膜に対して電子ビームで描画を行う。ステージ3は、ステージ駆動回路4によりX方向(紙面における左右方向)とY方向(紙面における垂直方向)に駆動される。ステージ3の移動位置は、レーザ測長計等を用いた位置回路5により測定される。   As shown in FIG. 11, the electron beam drawing apparatus includes a drawing unit that draws an electron beam on a sample, and a control unit that controls drawing. In the sample chamber 1, a stage 3 on which a mask 2 as a sample is installed is provided. The mask 2 is formed by forming a chromium film as a light shielding film on a transparent glass substrate such as quartz, and further forming a resist film thereon. In this embodiment mode, writing is performed on the resist film with an electron beam. The stage 3 is driven by the stage drive circuit 4 in the X direction (left and right direction on the paper surface) and the Y direction (vertical direction on the paper surface). The moving position of the stage 3 is measured by a position circuit 5 using a laser length meter or the like.

試料室1の上方には、電子ビーム光学系10が設置されている。この光学系10は、電子銃6、各種レンズ7、8、9、11、12、ブランキング用偏向器13、成形偏向器14、ビーム走査用の主偏向器15、ビーム走査用の副偏向器16、および、2個のビーム成型用アパーチャ17、18等から構成されている。   An electron beam optical system 10 is installed above the sample chamber 1. The optical system 10 includes an electron gun 6, various lenses 7, 8, 9, 11, 12, a blanking deflector 13, a shaping deflector 14, a beam scanning main deflector 15, and a beam scanning sub deflector. 16 and two beam shaping apertures 17, 18 and the like.

図12は、電子ビームによる描画方法の説明図である。この図に示すように、マスク2上に描画されるパターン51は、短冊状のフレーム領域52に分割されている。電子ビーム54による描画は、ステージ3が一方向(例えば、X方向)に連続移動しながら、フレーム領域52毎に行われる。フレーム領域52は、さらに副偏向領域53に分割されており、電子ビーム54は、副偏向領域53内の必要な部分のみを描画する。尚、フレーム領域52は、主偏向器15の偏向幅で決まる短冊状の描画領域であり、副偏向領域53は、副偏向器16の偏向幅で決まる単位描画領域である。   FIG. 12 is an explanatory diagram of a drawing method using an electron beam. As shown in this figure, the pattern 51 drawn on the mask 2 is divided into strip-shaped frame regions 52. Drawing with the electron beam 54 is performed for each frame region 52 while the stage 3 continuously moves in one direction (for example, the X direction). The frame area 52 is further divided into sub-deflection areas 53, and the electron beam 54 draws only necessary portions in the sub-deflection areas 53. The frame area 52 is a strip-shaped drawing area determined by the deflection width of the main deflector 15, and the sub-deflection area 53 is a unit drawing area determined by the deflection width of the sub-deflector 16.

副偏向領域の基準位置の位置決めは、主偏向器15で行われ、副偏向領域53内での描画は、副偏向器16によって制御される。すなわち、主偏向器15によって、電子ビーム54が所定の副偏向領域53に位置決めされ、副偏向器16によって、副偏向領域53内での描画位置が決められる。さらに、成形偏向器14とビーム成型用アパーチャ17、18によって、電子ビーム54の形状と寸法が決められる。そして、ステージ3を一方向に連続移動させながら、副偏向領域53内を描画し、1つの副偏向領域53の描画が終了したら、次の副偏向領域53を描画する。フレーム領域52内の全ての副偏向領域53の描画が終了したら、ステージ3を連続移動させる方向と直交する方向(例えば、Y方向)にステップ移動させる。その後、同様の処理を繰り返して、フレーム領域52を順次描画して行く。   Positioning of the reference position of the sub deflection area is performed by the main deflector 15, and drawing in the sub deflection area 53 is controlled by the sub deflector 16. That is, the main deflector 15 positions the electron beam 54 in a predetermined sub-deflection region 53, and the sub-deflector 16 determines the drawing position in the sub-deflection region 53. Further, the shape and size of the electron beam 54 are determined by the shaping deflector 14 and the beam shaping apertures 17 and 18. Then, the sub-deflection area 53 is drawn while continuously moving the stage 3 in one direction. When drawing of one sub-deflection area 53 is completed, the next sub-deflection area 53 is drawn. When drawing of all the sub-deflection areas 53 in the frame area 52 is completed, the stage 3 is stepped in a direction orthogonal to the direction in which the stage 3 is continuously moved (for example, the Y direction). Thereafter, the same processing is repeated, and the frame area 52 is sequentially drawn.

図11で、符号20は入力部であり、記憶媒体である磁気ディスクを通じて電子ビーム描画装置に、マスク2の描画データが入力される部分である。入力部20には、面積密度の異なる複数のパターンを電子ビームの照射量を変えて描画した結果や、ローディング効果補正係数γとローディング効果の影響範囲σのデータも入力される。 In FIG. 11, reference numeral 20 denotes an input unit, which is a part where drawing data of the mask 2 is input to the electron beam drawing apparatus through a magnetic disk as a storage medium. The input unit 20 also receives a result of drawing a plurality of patterns with different area densities by changing the electron beam irradiation amount, and data on the loading effect correction coefficient γ and the loading effect influence range σ L.

入力部20から読み出された上記結果に基づき、制御計算機19の第1の算出部において、各パターンの寸法と照射量の離散値をフィッティングして連続的な値が得られる。次に、制御計算機19の第2の算出部において、第1の算出部で得られた連続的な値から、細かいステップのDbase毎に、面積密度の異なる複数のパターン毎に近接効果補正係数と寸法との関係が求められ、次いで、この関係からパターン間における寸法の差が最小となる近接効果補正係数と、この近接効果補正係数を用いたときのパターンの平均寸法とが求められる。 Based on the result read from the input unit 20, the first calculation unit of the control computer 19 fits the discrete values of the dimensions of each pattern and the dose to obtain continuous values. Next, in the second calculation unit of the control computer 19, the proximity effect correction coefficient for each of a plurality of patterns having different area densities, for each D base of fine steps, from the continuous value obtained by the first calculation unit. Then, the relationship between the pattern and the size is obtained, and then, from this relationship, the proximity effect correction coefficient that minimizes the dimensional difference between the patterns and the average dimension of the pattern when using the proximity effect correction coefficient are obtained.

入力部20から読み出された描画データは、フレーム領域52毎にパターンメモリ21に一時的に格納される。パターンメモリ21に格納されたフレーム領域52毎のパターンデータ、すなわち、描画位置や描画図形データ等で構成されるフレーム情報は、描画データ補正部31で補正された後、データ解析部であるパターンデータデコーダ22と描画データデコーダ23に送られる。   The drawing data read from the input unit 20 is temporarily stored in the pattern memory 21 for each frame area 52. The pattern data for each frame area 52 stored in the pattern memory 21, that is, the frame information composed of the drawing position, the drawing graphic data, etc. is corrected by the drawing data correction unit 31 and then the pattern data which is the data analysis unit The data is sent to the decoder 22 and the drawing data decoder 23.

描画データ補正部31は、ローディング効果補正寸法値算出部31aと、近接効果補正照射量算出部31bと、照射量算出部31cと、照射時間算出部31dとを有する。   The drawing data correction unit 31 includes a loading effect correction dimension value calculation unit 31a, a proximity effect correction dose calculation unit 31b, a dose calculation unit 31c, and an irradiation time calculation unit 31d.

ローディング効果補正寸法値算出部31aでは、ローディング効果補正単位領域(第2の領域)におけるローディング効果によるパターン線幅寸法のずれを補正する、ローディング効果補正寸法値CD(x,y)が算出される。次いで、このローディング効果補正寸法値CD(x,y)に基づいて、第2の領域における電子ビームの基準照射量マップが作成される。このマップは、図6に示すCD(η,Dbase)の関係から、ローディング効果補正寸法値CD(x,y)に対応する基準照射量マップDbase(x,y)を求めることにより作成される。 The loading effect correction dimension value calculation unit 31a calculates a loading effect correction dimension value CD (x, y) that corrects a shift in the pattern line width due to the loading effect in the loading effect correction unit area (second area). . Next, an electron beam reference dose map in the second region is created based on the loading effect correction dimension value CD (x, y). This map is created by obtaining a reference dose map D base (x, y) corresponding to the loading effect correction dimension value CD (x, y) from the relationship of CD (η, D base ) shown in FIG. The

また、ローディング効果補正寸法値算出部31aでは、ローディング効果補正単位領域(第2の領域)におけるローディング効果補正寸法値CD(x,y)に基づいて、第2の領域における近接効果補正係数マップが作成される。このマップは、図6に示すCD(η,Dbase)の関係から、ローディング効果補正寸法値CD(x,y)に対応する近接効果補正係数マップη(x,y)を求めることにより作成される。 In addition, the loading effect correction dimension value calculation unit 31a generates the proximity effect correction coefficient map in the second area based on the loading effect correction dimension value CD (x, y) in the loading effect correction unit area (second area). Created. This map is created by obtaining the proximity effect correction coefficient map η (x, y) corresponding to the loading effect correction dimension value CD (x, y) from the relationship of CD (η, D base ) shown in FIG. The

図6に示すCD(η,Dbase)の関係から、基準照射量マップDbase(x,y)と近接効果補正係数マップη(x,y)を求めることで、任意のパターンに対して同一の寸法値となる補正を実現できる。 By obtaining the reference dose map D base (x, y) and the proximity effect correction coefficient map η (x, y) from the relationship of CD (η, D base ) shown in FIG. It is possible to realize a correction with a dimension value of.

近接効果補正照射量算出部31bでは、ローディング効果補正寸法値算出部31aで求めた基準照射量マップDbase(x,y)と近接効果補正係数マップη(x,y)から、近接効果補正単位領域(第1の領域)における近接効果補正照射量Dが算出される。 In the proximity effect correction dose calculation unit 31b, the proximity effect correction unit is calculated from the reference dose map D base (x, y) obtained by the loading effect correction dimension value calculation unit 31a and the proximity effect correction coefficient map η (x, y). proximity effect correction dose D p is calculated in the region (first region).

照射量算出部31cでは、実際の照射位置での電子ビームの照射量が算出される。すなわち、近接効果補正照射量Dに基づいて、電子ビームの照射量Dが求められる。 In the irradiation amount calculation unit 31c, the irradiation amount of the electron beam at the actual irradiation position is calculated. That is, based on the proximity effect correction dose D p, the dose D of the electron beam is determined.

照射時間算出部31dでは、描画領域の各位置における電子ビームの照射時間Tが算出される。ここで、照射量Dは、照射時間Tと電流密度Jの積になるので、照射時間Tは、照射量Dを電流密度Jで徐することにより求められる。   In the irradiation time calculation unit 31d, the irradiation time T of the electron beam at each position in the drawing region is calculated. Here, since the irradiation amount D is the product of the irradiation time T and the current density J, the irradiation time T can be obtained by gradually decreasing the irradiation amount D by the current density J.

パターンデータデコーダ22からの情報は、ブランキング回路24とビーム成型器ドライバ25に送られる。具体的には、パターンデータデコーダ22で上記データに基づいたブランキングデータが作成され、ブランキング回路24に送られる。また、所望とするビーム寸法データも作成されて、ビーム成型器ドライバ25に送られる。そして、ビーム成型器ドライバ25から、電子光学系10の成形偏向器14に所定の偏向信号が印加されて、電子ビーム54の寸法が制御される。   Information from the pattern data decoder 22 is sent to a blanking circuit 24 and a beam shaper driver 25. Specifically, blanking data based on the data is created by the pattern data decoder 22 and sent to the blanking circuit 24. Desired beam size data is also created and sent to the beam shaper driver 25. Then, a predetermined deflection signal is applied from the beam shaper driver 25 to the shaping deflector 14 of the electron optical system 10 to control the size of the electron beam 54.

図11の偏向制御部30は、セトリング時間決定部29に接続し、セトリング時間決定部29は、副偏向領域偏向量算出部28に接続し、副偏向領域偏向量算出部28は、パターンデータデコーダ22に接続している。また、偏向制御部30は、ブランキング回路24と、ビーム成型器ドライバ25と、主偏向器ドライバ26と、副偏向器ドライバ27とに接続している。   The deflection control unit 30 in FIG. 11 is connected to a settling time determination unit 29, the settling time determination unit 29 is connected to a sub deflection region deflection amount calculation unit 28, and the sub deflection region deflection amount calculation unit 28 is a pattern data decoder. 22 is connected. The deflection control unit 30 is connected to the blanking circuit 24, the beam shaper driver 25, the main deflector driver 26, and the sub deflector driver 27.

描画データデコーダ23の出力は、主偏向器ドライバ26と副偏向器ドライバ27に送られる。そして、主偏向器ドライバ26から、電子光学系10の主偏向部15に所定の偏向信号が印加されて、電子ビーム54が所定の主偏向位置に偏向走査される。また、副偏向器ドライバ27から、副偏向器16に所定の副偏向信号が印加されて、副偏向領域53内での描画が行われる。   The output of the drawing data decoder 23 is sent to the main deflector driver 26 and the sub deflector driver 27. Then, a predetermined deflection signal is applied from the main deflector driver 26 to the main deflection unit 15 of the electron optical system 10, and the electron beam 54 is deflected and scanned to a predetermined main deflection position. Further, a predetermined sub deflection signal is applied from the sub deflector driver 27 to the sub deflector 16, and drawing in the sub deflection region 53 is performed.

次に、電子ビーム描画装置による描画方法について説明する。   Next, a drawing method by the electron beam drawing apparatus will be described.

まず、試料室1内のステージ3上にマスク2を載置する。次いで、ステージ3の位置検出を位置回路5により行い、制御計算機19からの信号に基づいて、ステージ駆動回路4によりステージ3を描画可能な位置まで移動させる。   First, the mask 2 is placed on the stage 3 in the sample chamber 1. Next, the position of the stage 3 is detected by the position circuit 5, and the stage 3 is moved to a position where drawing can be performed by the stage drive circuit 4 based on a signal from the control computer 19.

次に、電子銃6より電子ビーム54を出射する。出射された電子ビーム54は、照明レンズ7により集光される。そして、ブランキング用偏向器13により、電子ビーム54をマスク2に照射するか否かの操作を行う。   Next, an electron beam 54 is emitted from the electron gun 6. The emitted electron beam 54 is collected by the illumination lens 7. Then, the blanking deflector 13 performs an operation for irradiating the mask 2 with the electron beam 54.

第1のアパーチャ17に入射した電子ビーム54は、第1のアパーチャ17の開口部を通過した後、ビーム成型器ドライバ25により制御された成形偏向器14によって偏向される。そして、第2のアパーチャ18に設けられた開口部を通過することにより、所望の形状と寸法を有するビーム形状になる。このビーム形状は、マスク2に照射される電子ビーム54の描画単位である。   The electron beam 54 incident on the first aperture 17 passes through the opening of the first aperture 17 and is then deflected by the shaping deflector 14 controlled by the beam shaper driver 25. And it passes through the opening part provided in the 2nd aperture 18, and becomes a beam shape which has a desired shape and a dimension. This beam shape is a drawing unit of the electron beam 54 applied to the mask 2.

電子ビーム54は、ビーム形状に成形された後、縮小レンズ11によって縮小される。そして、マスク2上における電子ビーム54の照射位置は、主偏向器ドライバ26によって制御された主偏向器15と、副偏向器ドライバ27によって制御された副偏向器16とにより制御される。主偏向器15は、マスク2上の副偏向領域53に電子ビーム54を位置決めする。また、副偏向器16は、副偏向領域53内で描画位置を位置決めする。   The electron beam 54 is shaped into a beam shape and then reduced by the reduction lens 11. The irradiation position of the electron beam 54 on the mask 2 is controlled by the main deflector 15 controlled by the main deflector driver 26 and the sub deflector 16 controlled by the sub deflector driver 27. The main deflector 15 positions the electron beam 54 in the sub deflection region 53 on the mask 2. The sub deflector 16 positions the drawing position in the sub deflection region 53.

マスク2への電子ビーム54による描画は、ステージ3を一方向に移動させながら、電子ビーム54を走査することにより行われる。具体的には、ステージ3を一方向に移動させながら、各副偏向領域53内におけるパターンの描画を行う。そして、1つのフレーム領域52内にある全ての副偏向領域53の描画を終えた後は、ステージ3を新たなフレーム領域52に移動して同様に描画する。   Drawing with the electron beam 54 on the mask 2 is performed by scanning the electron beam 54 while moving the stage 3 in one direction. Specifically, the pattern is drawn in each sub deflection region 53 while moving the stage 3 in one direction. After all the sub-deflection areas 53 in one frame area 52 have been drawn, the stage 3 is moved to a new frame area 52 and drawn similarly.

上記のようにして、マスク2の全てのフレーム領域52の描画を終えた後は、新たなマスクに交換し、上記と同様の方法による描画を繰り返す。   After drawing all the frame regions 52 of the mask 2 as described above, the drawing is replaced with a new mask and drawing by the same method as described above is repeated.

次に、制御計算機19による描画制御について説明する。   Next, drawing control by the control computer 19 will be described.

制御計算機19は、入力部20で磁気ディスクに記録されたマスクの描画データを読み出す。読み出された描画データは、フレーム領域52毎にパターンメモリ21に一時的に格納される。   The control computer 19 reads the drawing data of the mask recorded on the magnetic disk by the input unit 20. The read drawing data is temporarily stored in the pattern memory 21 for each frame area 52.

パターンメモリ21に格納されたフレーム領域52毎の描画データ、つまり、描画位置や描画図形データ等で構成されるフレーム情報は、描画データ補正部31で上記のようにして補正された後、データ解析部であるパターンデータデコーダ22と描画データデコーダ23を介して、副偏向領域偏向量算出部28、ブランキング回路24、ビーム成型器ドライバ25、主偏向器ドライバ26、副偏向器ドライバ27に送られる。   The drawing data for each frame area 52 stored in the pattern memory 21, that is, the frame information composed of the drawing position and drawing graphic data, etc. is corrected by the drawing data correction unit 31 as described above, and then subjected to data analysis. The data is sent to the sub deflection area deflection amount calculation unit 28, the blanking circuit 24, the beam shaper driver 25, the main deflector driver 26, and the sub deflector driver 27 via the pattern data decoder 22 and the drawing data decoder 23. .

パターンデータデコーダ22では、描画データに基づいてブランキングデータが作成されてブランキング回路24に送られる。また、描画データに基づいて所望とするビーム形状データが作成されて副偏向領域偏向量算出部28とビーム成型器ドライバ25に送られる。   The pattern data decoder 22 generates blanking data based on the drawing data and sends it to the blanking circuit 24. Further, desired beam shape data is created based on the drawing data and is sent to the sub deflection region deflection amount calculation unit 28 and the beam shaper driver 25.

副偏向領域偏向量算出部28は、パターンデータデコーダ22により作成したビーム形状データから、副偏向領域53における、1ショットごとの電子ビームの偏向量(移動距離)を算出する。算出された情報は、セトリング時間決定部29に送られ、副偏向による移動距離に対応したセトリング時間が決定される。   The sub deflection region deflection amount calculation unit 28 calculates the deflection amount (movement distance) of the electron beam for each shot in the sub deflection region 53 from the beam shape data created by the pattern data decoder 22. The calculated information is sent to the settling time determination unit 29, and the settling time corresponding to the movement distance by the sub deflection is determined.

セトリング時間決定部29で決定されたセトリング時間は、偏向制御部30へ送られた後、パターンの描画のタイミングを計りながら、偏向制御部30より、ブランキング回路24、ビーム成型器ドライバ25、主偏向器ドライバ26、副偏向器ドライバ27のいずれかに適宜送られる。   The settling time determined by the settling time determination unit 29 is sent to the deflection control unit 30, and then the deflection control unit 30 measures the blanking circuit 24, the beam shaper driver 25, It is appropriately sent to either the deflector driver 26 or the sub deflector driver 27.

ビーム成型器ドライバ25では、光学系10の成形偏向器14に所定の偏向信号が印加されて、電子ビーム54の形状と寸法が制御される。   In the beam shaper driver 25, a predetermined deflection signal is applied to the shaping deflector 14 of the optical system 10, and the shape and size of the electron beam 54 are controlled.

描画データデコーダ23では、描画データに基づいて副偏向領域53の位置決めデータが作成され、このデータは主偏向器ドライバ26に送られる。次いで、主偏向器ドライバ26から主偏向器15へ所定の偏向信号が印加されて、電子ビーム54は、副偏向領域53の所定位置に偏向走査される。   The drawing data decoder 23 generates positioning data for the sub deflection region 53 based on the drawing data, and this data is sent to the main deflector driver 26. Next, a predetermined deflection signal is applied from the main deflector driver 26 to the main deflector 15, and the electron beam 54 is deflected and scanned to a predetermined position in the sub deflection region 53.

描画データデコーダ23では、描画データに基づいて、副偏向器16の走査のための制御信号が生成される。制御信号は、副偏向器ドライバ27に送られた後、副偏向器ドライバ27から副偏向器16に所定の副偏向信号が印加される。副偏向領域53内での描画は、設定されたセトリング時間が経過した後、電子ビーム54を繰り返し照射することによって行われる。   The drawing data decoder 23 generates a control signal for scanning the sub deflector 16 based on the drawing data. After the control signal is sent to the sub deflector driver 27, a predetermined sub deflection signal is applied from the sub deflector driver 27 to the sub deflector 16. Drawing in the sub deflection region 53 is performed by repeatedly irradiating the electron beam 54 after the settling time has elapsed.

尚、本発明は上記実施の形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲内において、種々変形して実施することができる。   The present invention is not limited to the above-described embodiment, and various modifications can be made without departing from the spirit of the present invention.

例えば、上記実施の形態では、近接効果補正とローディング効果補正について述べたが、これらの補正と併せてかぶり効果補正を行うこともできる。この場合、上述したグローバルの領域として、ローディング効果補正用の小領域(第2の領域)に加えて、μm〜mmオーダー、例えば、0.5mm〜1mmの寸法でメッシュ状に区切られたかぶり効果補正用の小領域(第3の領域)を定義する。第2の領域と第3の領域を同じ寸法で区切られた領域とすることもできるし、異なる寸法で区切られた領域とすることもできる。かぶり効果、近接効果およびローディング効果による寸法変動を同時に補正する照射量で電子ビームを照射する方法としては、例えば、特許文献1に記載された方法を参照できる。   For example, although the proximity effect correction and the loading effect correction have been described in the above embodiment, the fogging effect correction can be performed together with these corrections. In this case, in addition to the small area (second area) for correcting the loading effect as the global area described above, the fogging effect correction divided in a mesh shape with a dimension of μm to mm, for example, 0.5 mm to 1 mm, is performed. A small area (third area) is defined. The second region and the third region can be regions separated by the same dimension, or can be regions separated by different dimensions. As a method of irradiating an electron beam with an irradiation amount that simultaneously corrects a dimensional variation due to a fogging effect, a proximity effect, and a loading effect, for example, the method described in Patent Document 1 can be referred to.

かぶり効果補正を行う場合、本発明による荷電粒子ビーム描画方法は、近接効果の影響範囲より大きくかぶり効果の影響範囲より小さい第1の領域に、面積密度が異なる複数の第1のパターンを荷電粒子ビームの照射量を変えて描画し、描画後の第1のパターンの寸法を測定して照射量との関係を求める工程と、第1のパターンの寸法と照射量の離散値をフィッティングして得られる連続的な値から、面積密度の異なる複数の第1のパターン毎に近接効果補正係数と寸法との関係を求め、この関係から第1のパターン間における寸法の差が最小となる近接効果補正係数を求める工程と、第1の領域からかぶり効果の影響範囲以上の距離を置いて設けられた第2の領域に、第1の領域と同じパターンと、このパターンの周囲にかぶり効果の影響範囲より大きい寸法幅で配置された一様な背景パターンとからなる第2のパターンを荷電粒子ビームの照射量を変えて描画し、描画後の第2のパターンの寸法を測定して照射量との関係を求める工程と、第2のパターンの寸法と照射量の離散値をフィッティングして得られる連続的な値から、第1のパターンで近接効果補正が良好な照射条件において、第1のパターンと第2のパターンの寸法差が最小となるかぶり効果補正係数を求める工程とを有する。   When performing the fogging effect correction, the charged particle beam writing method according to the present invention applies a plurality of first patterns having different area densities to a first region that is larger than the influence range of the proximity effect and smaller than the influence range of the fogging effect. Drawing by changing the irradiation amount of the beam, measuring the dimension of the first pattern after drawing to obtain the relationship with the irradiation amount, and fitting the discrete values of the first pattern size and the irradiation amount From the continuous values obtained, the relationship between the proximity effect correction coefficient and the dimension is obtained for each of the plurality of first patterns having different area densities, and from this relationship, the proximity effect correction that minimizes the dimensional difference between the first patterns. The step of obtaining the coefficient, and the second region provided at a distance greater than the range of influence of the fogging effect from the first region, the same pattern as the first region, and the influence of the fogging effect around this pattern A second pattern composed of a uniform background pattern arranged with a width larger than the surrounding is drawn by changing the irradiation amount of the charged particle beam, and the dimension of the second pattern after drawing is measured to determine the irradiation amount. The first pattern under irradiation conditions in which proximity effect correction is good with the first pattern is obtained from the step of obtaining the relationship between the first pattern and the continuous value obtained by fitting the discrete value of the dimension and dose of the second pattern. And obtaining a fogging effect correction coefficient that minimizes the dimensional difference between the second patterns.

また、かぶり効果補正を行う場合、本発明による荷電粒子ビーム描画装置は、面積密度が異なる複数の第1のパターンを荷電粒子ビームの照射量を変えて描画した結果が入力される入力部と、第1のパターンの寸法と照射量の離散値をフィッティングして連続的な値を得る第1の算出部と、この連続的な値から、面積密度の異なる複数の第1のパターン毎に近接効果補正係数と寸法との関係を求め、この関係から第1のパターン間における寸法の差が最小となる近接効果補正係数を求める第2の算出部と、近接効果補正係数を用いて荷電粒子ビームの照射量を求める照射量算出部と、この照射量に基づいて荷電粒子ビームで描画する描画部とを有する。入力部は、第1のパターンの周囲にかぶり効果を与えるための面積密度が一様な領域を付加した第2のパターンを荷電粒子ビームの照射量を変えて描画した結果が入力される。また、第2のパターンの寸法と照射量の離散値をフィッティングして連続的な値を得る第3の算出部と、この連続的な値から、第1のパターンにおいて近接効果補正が良好な照射条件において、第1のパターンと第2のパターンの寸法差が最小となるかぶり効果補正係数を求める第4の算出部とを有する。第3の算出部および第4の算出部は、第1の算出部および第2の算出部と同様に、制御計算機の中に設けることができる。そして、照射量算出部において、近接効果補正係数とかぶり効果補正係数とを用いて荷電粒子ビームの照射量が算出される。   In addition, when performing the fogging effect correction, the charged particle beam drawing apparatus according to the present invention includes an input unit for inputting a result of drawing a plurality of first patterns having different area densities by changing the irradiation amount of the charged particle beam, A first calculation unit that obtains a continuous value by fitting a discrete value of the dimension and dose of the first pattern, and a proximity effect for each of a plurality of first patterns having different area densities from the continuous value A relationship between the correction coefficient and the dimension is obtained, and from this relationship, a second calculation unit that obtains a proximity effect correction coefficient that minimizes the dimensional difference between the first patterns, and the charged particle beam using the proximity effect correction coefficient. An irradiation amount calculation unit for obtaining an irradiation amount and a drawing unit for drawing with a charged particle beam based on the irradiation amount. The input unit receives a result of drawing a second pattern in which a region having a uniform area density for providing a fogging effect around the first pattern is added while changing the irradiation amount of the charged particle beam. In addition, a third calculation unit that obtains a continuous value by fitting a discrete value of the dimension of the second pattern and the irradiation amount, and irradiation with good proximity effect correction in the first pattern from the continuous value And a fourth calculation unit that obtains a fogging effect correction coefficient that minimizes a dimensional difference between the first pattern and the second pattern. Similar to the first calculation unit and the second calculation unit, the third calculation unit and the fourth calculation unit can be provided in the control computer. Then, the irradiation amount calculation unit calculates the irradiation amount of the charged particle beam using the proximity effect correction coefficient and the fogging effect correction coefficient.

さらに、本発明の荷電粒子ビーム描画装置は、フィッティングの精度を評価する評価部を有していてもよい。例えば、図3でのフィッティング値と実験値の差が閾値以上であれば、描画処理を止めて不正なパラメータで描画が行われるのを防ぐ。また、S101で算出したパターン寸法CDに対する近接効果補正係数ηと基準照射量Dbaseの相関関係と、S204のフィッティングで得た照射量と寸法の関係とから、ローディング補正量毎の面積密度0%、50%、100%の各パターン寸法を算出し、近接誤差とローディング補正誤差の予測値を求める。この処理により予備段階で電子ビームの描画精度を判断することができる。 Furthermore, the charged particle beam drawing apparatus of the present invention may have an evaluation unit that evaluates the accuracy of fitting. For example, if the difference between the fitting value and the experimental value in FIG. 3 is greater than or equal to the threshold value, the drawing process is stopped to prevent drawing with an incorrect parameter. Further, the area density for each loading correction amount is 0% from the correlation between the proximity effect correction coefficient η and the reference irradiation amount D base with respect to the pattern dimension CD calculated in S101 and the relationship between the irradiation amount and the dimension obtained by the fitting in S204. , 50% and 100% pattern dimensions are calculated, and predicted values of proximity error and loading correction error are obtained. With this process, the drawing accuracy of the electron beam can be determined at a preliminary stage.

尚、上記実施の形態では電子ビームを用いたが、本発明はこれに限られるものではなく、イオンビームなどの他の荷電粒子ビームを用いた場合にも適用可能である。   In the above embodiment, an electron beam is used. However, the present invention is not limited to this, and the present invention can also be applied to the case where another charged particle beam such as an ion beam is used.

1 試料室
2 マスク
3 ステージ
4 ステージ駆動回路
5 位置回路
6 電子銃
7、8、9、11、12 各種レンズ
10 光学系
13 ブランキング用偏向器
14 成形偏向器
15 主偏向器
16 副偏向器
17 第1のアパーチャ
18 第2のアパーチャ
19 制御計算機
20 入力部
21 パターンメモリ
22 パターンデータデコーダ
23 描画データデコーダ
24 ブランキング回路
25 ビーム成形器ドライバ
26 主偏向器ドライバ
27 副偏向器ドライバ
28 副偏向領域偏向量算出部
29 セトリング時間決定部
30 偏向制御部
31 描画データ補正部
31a ローディング効果補正寸法値算出部
31b 近接効果補正照射量算出部
31c 照射量算出部
31d 照射時間算出部
51 描画されるパターン
52 フレーム領域
53 副偏向領域
54 電子ビーム




DESCRIPTION OF SYMBOLS 1 Sample chamber 2 Mask 3 Stage 4 Stage drive circuit 5 Position circuit 6 Electron gun 7, 8, 9, 11, 12 Various lenses 10 Optical system 13 Blanking deflector 14 Molding deflector 15 Main deflector 16 Sub deflector 17 First aperture 18 Second aperture 19 Control computer 20 Input unit 21 Pattern memory 22 Pattern data decoder 23 Drawing data decoder 24 Blanking circuit 25 Beam shaper driver 26 Main deflector driver 27 Sub deflector driver 28 Sub deflection area deflection Amount calculation unit 29 Settling time determination unit 30 Deflection control unit 31 Drawing data correction unit 31a Loading effect correction dimension value calculation unit 31b Proximity effect correction irradiation amount calculation unit 31c Irradiation amount calculation unit 31d Irradiation time calculation unit 51 Pattern to be drawn 52 Frame Area 53 Sub-deflection area 4 electron beam




Claims (5)

面積密度の異なる複数のパターンを荷電粒子ビームの照射量を変えて描画し、描画後の前記パターンの寸法を測定して前記照射量との関係を求める第1の工程と、
前記寸法と前記照射量の予め定められた条件の離散値をフィッティングして得られる連続的な値から、前記面積密度の異なる複数のパターン毎に近接効果補正係数と前記寸法との関係を求め、この関係から前記パターン間における前記寸法の差が最小となる近接効果補正係数を求める第2の工程とを有し、
前記第2の工程は、連続的な前記寸法毎の前記最小となる近接効果補正係数と、当該近接効果補正係数と相関を有する照射量とを求めることを特徴とする荷電粒子ビーム描画方法。
A first step of drawing a plurality of patterns having different area densities by changing the irradiation amount of the charged particle beam, measuring a dimension of the pattern after drawing, and obtaining a relationship with the irradiation amount;
From a continuous value obtained by fitting a discrete value of a predetermined condition of the dimension and the irradiation amount, a relationship between the proximity effect correction coefficient and the dimension is determined for each of the plurality of patterns having different area densities, the difference of the dimension between the pattern from this relationship have a second step of obtaining a proximity effect correction coefficient that minimizes,
The charged particle beam drawing method characterized in that the second step obtains the minimum proximity effect correction coefficient for each continuous dimension and an irradiation dose correlated with the proximity effect correction coefficient .
前記近接効果補正係数を用いて前記荷電粒子ビームの照射量を求める第3の工程と、
前記第3の工程で求めた照射量に基づき、所定のレジストに前記荷電粒子ビームで描画する第4の工程とを有し、
前記フィッティングには、前記レジストの種類に応じた近似式を用いることを特徴とする請求項1に記載の荷電粒子ビーム描画方法。
A third step of obtaining an irradiation amount of the charged particle beam using the proximity effect correction coefficient;
And a fourth step of drawing with a charged particle beam on a predetermined resist based on the dose determined in the third step,
The charged particle beam drawing method according to claim 1, wherein an approximate expression corresponding to a type of the resist is used for the fitting.
前記近似式は、閾値モデルの式または3次多項式であることを特徴する請求項2に記載の荷電粒子ビーム描画方法。 The charged particle beam drawing method according to claim 2 , wherein the approximate expression is a threshold model expression or a cubic polynomial. 面積密度の異なる複数のパターンを荷電粒子ビームの照射量を変えて描画した結果が入力される入力部と、
前記パターンの寸法と前記照射量の予め定められた条件の離散値をフィッティングして連続的な値を得る第1の算出部と、
前記連続的な値から、前記面積密度の異なる複数のパターン毎に近接効果補正係数と前記寸法との関係を求め、この関係から前記パターン間における前記寸法の差が最小となる近接効果補正係数を求める第2の算出部と、
前記近接効果補正係数を用いて前記荷電粒子ビームの照射量を求める照射量算出部と、
前記照射量に基づいて前記荷電粒子ビームで描画する描画部とを有し、
前記第2の算出部は、連続的な前記寸法毎の前記最小となる近接効果補正係数と、当該近接効果補正係数と相関を有する照射量とを求めることを特徴とする荷電粒子ビーム描画装置。
An input unit for inputting a result of drawing a plurality of patterns with different area densities by changing the irradiation amount of the charged particle beam; and
A first calculation unit that obtains a continuous value by fitting a discrete value of a predetermined condition of the dimension of the pattern and the irradiation amount;
From the continuous value, a relationship between the proximity effect correction coefficient and the dimension is obtained for each of the plurality of patterns having different area densities, and from this relationship, the proximity effect correction coefficient that minimizes the size difference between the patterns is obtained. A second calculating unit to be obtained;
An irradiation amount calculating unit for determining an irradiation amount of the charged particle beam using the proximity effect correction coefficient;
Possess a drawing unit for the drawing with a charged particle beam based on the irradiation dose,
The charged particle beam drawing apparatus, wherein the second calculation unit obtains the minimum proximity effect correction coefficient for each continuous size and an irradiation amount correlated with the proximity effect correction coefficient .
前記フィッティングに閾値モデルの式または3次多項式を用いることを特徴する請求項4に記載の荷電粒子ビーム描画装置。   The charged particle beam drawing apparatus according to claim 4, wherein a threshold model equation or a cubic polynomial is used for the fitting.
JP2009064204A 2009-03-17 2009-03-17 Charged particle beam drawing method and charged particle beam drawing apparatus Active JP5416998B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009064204A JP5416998B2 (en) 2009-03-17 2009-03-17 Charged particle beam drawing method and charged particle beam drawing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009064204A JP5416998B2 (en) 2009-03-17 2009-03-17 Charged particle beam drawing method and charged particle beam drawing apparatus

Publications (2)

Publication Number Publication Date
JP2010219285A JP2010219285A (en) 2010-09-30
JP5416998B2 true JP5416998B2 (en) 2014-02-12

Family

ID=42977804

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009064204A Active JP5416998B2 (en) 2009-03-17 2009-03-17 Charged particle beam drawing method and charged particle beam drawing apparatus

Country Status (1)

Country Link
JP (1) JP5416998B2 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5547113B2 (en) * 2011-02-18 2014-07-09 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and charged particle beam drawing method
JP5731257B2 (en) * 2011-03-31 2015-06-10 株式会社ニューフレアテクノロジー Charged particle beam drawing apparatus and charged particle beam drawing method
JP6951922B2 (en) * 2016-09-28 2021-10-20 株式会社ニューフレアテクノロジー Charged particle beam device and method for correcting misalignment of charged particle beam
US11003737B2 (en) * 2017-04-12 2021-05-11 Samsung Electronics Co., Ltd. Generic high-dimensional importance sampling methodology

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007096347A (en) * 2000-12-26 2007-04-12 Toshiba Corp Rotation compensating device of processed substrate, processing device of resist film, method for compensating rotation of processed substrate, method for processing resist film
JP2004095618A (en) * 2002-08-29 2004-03-25 Fujitsu Ltd Process for producing semiconductor product
JP3848332B2 (en) * 2003-08-29 2006-11-22 キヤノン株式会社 Exposure method and device manufacturing method
JP4476975B2 (en) * 2005-10-25 2010-06-09 株式会社ニューフレアテクノロジー Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus

Also Published As

Publication number Publication date
JP2010219285A (en) 2010-09-30

Similar Documents

Publication Publication Date Title
JP4476975B2 (en) Charged particle beam irradiation amount calculation method, charged particle beam drawing method, program, and charged particle beam drawing apparatus
KR100857959B1 (en) Pattern generation method and charged particle beam writing apparatus
KR102154105B1 (en) Method and system for forming patterns using charged particle beam lithograph
JP5204687B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP5636460B2 (en) Drawing method and drawing apparatus
JP4476987B2 (en) Charged particle beam drawing method, program, and charged particle beam drawing apparatus
KR102033862B1 (en) How to Perform Dose Modulation for Electron Beam Lithography
JP5443548B2 (en) Pattern creating method and charged particle beam drawing apparatus
JP5416998B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP4747112B2 (en) Pattern forming method and charged particle beam drawing apparatus
JP2010225811A (en) Charged particle beam lithography method
JP5437124B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP5547113B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP2010147449A (en) Charged particle lithography method and charged particle lithographic apparatus
JP2010267723A (en) Charged particle beam lithography system and charged particle beam lithography method
US10217606B2 (en) Charged particle beam drawing method and charged particle beam drawing apparatus
JP4825450B2 (en) Pattern writing system, charged beam writing method, and photomask manufacturing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120206

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130306

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130510

TRDD Decision of grant or rejection written
A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131118

R150 Certificate of patent or registration of utility model

Ref document number: 5416998

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250